CN101692423B - 等离子体蚀刻方法 - Google Patents

等离子体蚀刻方法 Download PDF

Info

Publication number
CN101692423B
CN101692423B CN2009100089300A CN200910008930A CN101692423B CN 101692423 B CN101692423 B CN 101692423B CN 2009100089300 A CN2009100089300 A CN 2009100089300A CN 200910008930 A CN200910008930 A CN 200910008930A CN 101692423 B CN101692423 B CN 101692423B
Authority
CN
China
Prior art keywords
plasma
gas
etching
etching method
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2009100089300A
Other languages
English (en)
Other versions
CN101692423A (zh
Inventor
松山昇一郎
本田昌伸
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101692423A publication Critical patent/CN101692423A/zh
Application granted granted Critical
Publication of CN101692423B publication Critical patent/CN101692423B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明提供一种等离子体蚀刻方法,能够在进行施加有高偏置电压的各向异性高的等离子体蚀刻时,抑制ArF光致抗蚀剂的表而以及侧壁的破裂,能够抑制条痕、LER、LWR的发生,精度良好地形成希望形状的图案,以ArF光致抗蚀剂层(102)作为掩模利用处理气体的等离子体对形成于被处理基板上的SiN层(104)或者氧化硅层进行蚀刻,处理气体至少含有CF3I气体,向载置被处理基板的下部电极施加具有13.56MHz以下的频率的高频电力。

Description

等离子体蚀刻方法
技术领域
本发明涉及以ArF光致抗蚀剂作为掩模利用处理气体的等离子体对形成于被处理基板上的被蚀刻层进行蚀刻的等离子体蚀刻方法和计算机存储介质。
背景技术
在现有技术中,在半导体装置的制造工序中,进行以光致抗蚀剂作为掩模,利用处理气体的等离子体,对在被处理基板上形成的氮化硅层、氧化硅层等被蚀刻层进行蚀刻的等离子体蚀刻。
在上述的等离子体蚀刻中,为了应对近年来的半导体装置的电路图案的细微化,将现有技术中所使用的KrF光致抗蚀剂替换为ArF光致抗蚀剂。然而,ArF光致抗蚀剂与KrF光致抗蚀剂相比,其等离子体耐性低,表面会产生破裂。因此,公知有下述技术,即,在使用ArF光致抗蚀剂形成接触孔(contact hole)时,使用CF4、CHF3、CF3I等的处理气体,使气体压力为6.66Pa(50mTorr)以下,对反射防止层进行等离子体蚀刻,由此来抑制ArF光致抗蚀剂的表面破裂(例如,参照专利文献1)。
专利文献1:日本特开2006-32721号公报
如上所述,因为ArF光致抗蚀剂的等离子体耐性低,所以在现有技术中,需要实施降低形成接触孔的等离子体蚀刻时的气体压力等的工序。
此外,本发明人通过详细研究,明确下述问题,即,当在氮化硅层、氧化硅层等的被蚀刻层上形成含有线与间隔(line and spacer)的图案时,若使用ArF光致抗蚀剂,则因为等离子体耐性低而会引起ArF光致抗蚀剂的表面以及侧壁产生破裂,由此引起蚀刻后的形状产生条痕(striation)、LER(Line Edge Roughness(线边缘的粗糙))(线边缘(单侧)的波纹状)、LWR(Line Width Roughness(线宽度的粗糙))(线宽度的偏差)等。此外,在进行这种等离子体蚀刻时,优选对载置被处理基板的下部电极施加13.56MHz以下的频率较低的偏置电压来加速离子,进行各向异性高的等离子体蚀刻,但是,存在下述问题,即,若这样施加高偏置电压,则有可能导致ArF光致抗蚀剂的表面以及侧面的破裂进一步增大,较大地产生条痕、LER、LWR等。
发明内容
本发明是为了解决上述问题而提出的,其目的在于提供一种等离子体蚀刻方法和计算机存储介质,即便在进行施加有高偏置电压的各向异性高的等离子体蚀刻时,也能够抑制ArF光致抗蚀剂的表面以及侧壁的破裂,能够抑制条痕、LER、LWR的发生,精度良好地形成希望形状的图案。
本发明的第一方面为一种等离子体蚀刻方法,其特征在于:该等离子体蚀刻方法以ArF光致抗蚀剂作为掩模,利用处理气体的等离子体对形成于被处理基板上的被蚀刻层进行蚀刻,其中,所述被蚀刻层为氮化硅层或者氧化硅层,所述处理气体至少含有CF3I气体,向载置所述被处理基板的下部电极施加具有13.56MHz以下的频率的高频电力。
本发明第二方面的等离子体蚀刻方法,其特征在于:在第一方面所述的等离子体蚀刻方法中,向所述下部电极施加的具有13.56MHz以下的频率的高频电力为500W以上。
本发明第三方面的等离子体蚀刻方法,其特征在于:在第一方面或者第二方面所述的等离子体蚀刻方法中,所述被蚀刻层具有由线和间隔形成的蚀刻图案,作为线的宽度与间隔的宽度之比的线宽度/间隔宽度为1/1的致密图案和1/10以下的稀疏图案混合存在。
本发明第四方面的等离子体蚀刻方法,其特征在于:在第一方面~第三方面所述的等离子体蚀刻方法中,向所述下部电极施加具有所述13.56MHz以下的频率的高频电力,并且施加具有27MHz以上的频率的第二高频电力。
根据本发明,能够提供一种等离子体蚀刻方法和计算机存储介质,即便在进行施加有高偏置电压的各向异性高的等离子体蚀刻时,也能够抑制ArF光致抗蚀剂的表面以及侧壁的破裂,能够抑制条痕、LER、LWR的发生,精度良好地形成希望形状的图案。
附图说明
图1是表示本发明的等离子体蚀刻方法的实施方式所涉及的半导体晶片的截面构成的图。
图2是表示本发明实施方式所涉及的等离子体蚀刻装置的简要结构的图。
图3是表示实施例与比较例的蚀刻率(致密部分)与偏置功率之间的关系的图表。
图4是表示实施例与比较例的蚀刻率(稀疏部分)与偏置功率之间的关系的图表。
图5是表示实施例与比较例的选择比(致密部分以及稀疏部分)与偏置功率之间的关系的图表。
图6是表示实施例与比较例的偏置功率与ArF抗蚀剂的状态的关系的显微镜照片。
图7是表示使LWR的频率低(波长长)的区域数值化来进行比较用的柱形统计图。
图8是表示使LWR的频率高(波长短)的区域数值化来进行比较用的柱形统计图。
标号说明
101:硅基板;102:ArF光致抗蚀剂103:ARC(反射防止层)层;104:SiN层
具体实施方式
以下,参照附图对本发明的实施方式进行说明。图1是放大表示本实施方式所涉及的等离子体蚀刻方法中的作为被处理基板的半导体晶片的截面构造。此外,图2表示的是本实施方式的等离子体蚀刻装置的结构。首先,参照图2对等离子体蚀刻装置的结构进行说明。
等离子体蚀刻装置构成为气体密封(气密),具有成为电接地电位的处理腔室1。该处理腔室1呈圆筒状,例如由铝等构成。在处理腔室1内设置有水平支撑作为被处理基板的半导体晶片W的载置台2。载置台2例如由铝等构成,经由绝缘板3被支撑在导体的支撑台4上。此外,在载置台2的上方的外周设置有例如由单晶硅形成的聚焦环5。而且,以包围载置台2和支撑台4的周围的方式设置有例如由石英等构成的圆筒状的内壁部件3a。
载置台2通过第一匹配器11a与第一RF电源10a连接,此外,通过第二匹配器11b与第二RF电源10b连接。第二RF电源10b为等离子体形成用的电源,能够从该第二RF电源10b向载置台2供给规定频率(27MHz以上例如40MHz)的高频电力。此外,第一RF电源10a为离子引入用的电源,能够从该第一RF电源10a向载置台2供给比从第二RF电源10b供给的电力频率低的、为13.56MHz以下的规定频率(例如13.56MHz)的高频电力。另一方面,在载置台2的上方,以与载置台2平行相对的方式设置有成为接地电位的喷淋头16,载置台2与喷淋头16起到一对电极的作用。
在载置台2的上面设置有用于静电吸附半导体晶片W的静电卡盘6。该静电卡盘6构成为在绝缘体6b的中间具有电极6a,电极6a与直流电源12连接。通过从直流电源12向电极6a施加直流电压,利用库仑力来吸附半导体晶片W。
在支撑台4的内部形成有冷却介质流路4a,冷却介质流路4a与冷却介质入口配管4b、冷却介质出口配管4c连接。通过使适当的冷却介质例如冷却水等在冷却介质流路4a中循环,能够将支撑台4以及载置台2控制在规定的温度。此外,以贯通载置台2等的方式设置有用于向半导体晶片W的背面侧供给氦气等的冷热传递用气体(backside(背侧气体))的背侧气体供给配管30,背侧气体供给配管30与图未示出的背侧气体供给源连接。通过这些结构,能够将利用静电卡盘6吸附保持在载置台2的上面的半导体晶片W控制在规定的温度。
上述喷淋头16被设置在处理腔室1的顶壁部分。喷淋头16具有主体部16a和成为电极板的上部顶板16b,通过支撑部件45被支撑在处理腔室1的上部。主体部16a由导电性部件例如表面经过阳极氧化处理的铝构成,构成为其下部能够自由装卸地支撑上部顶板16b。
在主体部16a的内部设置有气体扩散室16c,以位于该气体扩散室16c的下部的方式,在主体部16a的底部设置有多个气体流通孔16d。此外,在上部顶板16b上,以沿着厚度方向贯通该上部顶板16b的方式设置有气体导入孔16e,并且该气体导入孔16e与上述的气体流通孔16d重叠。通过这种结构,供给至气体扩散室16c的处理气体通过气体流通孔16d以及气体导入孔16e而呈喷淋状分散供给在处理腔室1内。其中,在主体部16a等上设置有用于使冷却介质循环的图未示出的配管,使得能够在等离子体蚀刻处理中将喷淋头16冷却在规定温度。
在上述主体部16a形成有用于向气体扩散室16c导入处理气体的气体导入口16d。该气体导入口16d与气体供给配管15a连接,该气体供给配管15a的另一端与用于供给蚀刻用的处理气体(蚀刻气体)的处理气体供给源15连接。气体供给配管15a从上游侧开始顺次设置有质量流量控制器(MFC)15b以及开关阀V1。从处理气体供给源15经由气体供给配管15a向气体扩散室16c供给作为用于等离子体蚀刻的处理气体的例如至少含有CF3I气体的气体,然后,从该气体扩散室16c经由气体流通孔16d和气体导入孔16e向腔室1内呈喷淋状地分散供给这些气体。
以从处理腔室1的侧壁向着喷淋板16的高度位置的更上方延伸的方式设置有圆筒状的接地导体1a。该圆筒状的接地导体1a在其上部具有顶壁。
在处理腔室1的底部形成有排气口71,该排气口71经由排气管72与排气装置73连接。排气装置73具有真空泵,通过使该真空泵动作能够将处理腔室1内减压至规定的真空度。另一方面,在处理腔室1的侧壁设置有晶片W的搬入搬出口74,在该搬入搬出口74上设置有用于开闭该搬入搬出口74的门阀75。
图中的76、77为能够自由装卸的沉积屏蔽。沉积屏蔽76沿着处理腔室1的内壁面设置,起到防止蚀刻副产物(沉积物)附着在处理腔室1上的作用,在该沉积屏蔽76的与半导体晶片W大致相同的高度位置设置有与地面DC连接的导电性部件(GND块)79,由此能够防止发生异常放电。
上述结构的等离子体蚀刻装置,通过控制部60总括地(一并)控制其动作。该控制部60具有CPU并且与控制等离子体蚀刻装置的各部的工序控制器61、用户界面62、存储部63连接。
用户界面62由工序管理者为了管理等离子体蚀刻装置进行命令的输入操作的键盘、可视化显示等离子体蚀刻装置的工作状况的显示器等构成。
在存储部63中保存有用于通过控制器61的控制实现由等离子体蚀刻装置实施的各种处理的控制程序(软件)、记录有处理条件数据等的方案。根据需要,通过来自用户界面62的指示等从存储部63调出任意的方案并由控制器61实施,由此,在控制器61的控制下,进行由等离子体蚀刻装置实施的规定处理。此外,控制程序以及处理条件数据等方案可以利用存储在计算机可读取存储介质、例如硬盘、CD、软盘、半导体等中的状态,或者也可以从其它的装置例如通过专用线路随时传送进行在线(on line)利用。
对利用这种结构的等离子体蚀刻装置,对在半导体晶片W上形成的氮化硅层或者氧化硅层等实施等离子体蚀刻的顺序进行说明。首先,打开门阀75,利用图未示出的搬送机械等经由图未示出的负载锁定室,从搬入搬出口74将半导体晶片W搬入到处理腔室1内,并将其载置在载置台2上。之后,使搬送机械向处理腔室1外退出,关闭门阀75。利用排气装置73的真空泵经由排气口71对处理腔室1内进行排气。
在处理腔室1内成为规定的真空度后,从处理气体供给源15向处理腔室1内导入规定的处理气体(蚀刻气体),使处理腔室1内保持在规定的压力,例如3.99Pa(30mTorr),在该状态下从第二RF电源10b向载置台2供给例如频率为40MHz的高频电力。此外,从第一RF电源10a向载置台2供给用于离子引入用的、频率例如为13.56MHz的高频电力。此时,从直流电源12向静电卡盘6的电极6a施加规定的直流电压,使半导体晶片W通过库仑力而被吸附。
此时,如上所述,通过向作为下部电极的载置台2施加高频电力,在作为上部电极的喷淋头16和作为下部电极的载置台2之间形成电场。在半导体晶片W存在的处理空间产生放电,并利用由此形成的处理气体的等离子体,对在半导体晶片W上形成的氮化硅层或者氧化硅层进行蚀刻处理。
然后,若上述的蚀刻处理结束,则停止高频电力的供给以及处理气体的供给,并按照与上述顺序相反的顺序,将半导体晶片W从处理腔室1内搬出。
接着,参照图1,对本实施方式所涉及的等离子体蚀刻方法进行说明。图1是放大表示作为本实施方式所涉及被处理基板的半导体晶片W的主要构成。如该图所示,在直径为300mm的硅基板101的表面形成有被图案化成规定的线和间隔的图案的ArF光致抗蚀剂层102(厚度例如为270nm),在其下层,以ARC(反射防止膜)层103(厚度例如为30nm)、SiN(氮化硅)层104(厚度例如为200nm)的顺序从上层侧开始顺次形成有这些层。
上述结构的半导体晶片W被收容在图2所示的装置的处理腔室1内,被载置在载置台2上,从图1所示的状态开始,以ArF光致抗蚀剂层102作为掩模,对ARC层103、SiN层104进行蚀刻,形成线和间隔的图案。
作为实施例,在蚀刻气体中使用CF3I气体,在压力:3.99Pa(30mTorr),高频电力频率:40MHz(400W)/13.56MHz(500W以及1000W),温度(上部/侧壁部/载置部):60/60/30℃,背侧氦气压力(中央部/周缘部):2000/2000Pa的条件下,进行60秒的等离子体蚀刻。其中,作为线与间隔的图案,使用混合存在有线的宽度与间隔的宽度之比(线的宽度/间隔的宽度)为1/1的致密图案和1/10的稀疏图案的图案。
其结果,在频率为13.56MHz的偏置用电力为0W的情况(参考例)下,对SiN层104的蚀刻率为0,当偏置用电力为500W的情况下以及偏置用电力为1000W的情况下的SiN的蚀刻率以及选择比(SiN的蚀刻率/ArF抗蚀剂的蚀刻率)如下所述:
(偏置用电力=500W)
1/1的致密图案部分
蚀刻率=115nm/min
选择比=1.92
1/10的稀疏图案部分
蚀刻率=89nm/min
选择比=1.39
(偏置用电力=1000W)
1/1的致密图案部分
蚀刻率=200nm/min
选择比=1.82
1/10的稀疏图案部分
蚀刻率=175nm/min
选择比=1.75
作为比较例,对在上述实施例中的蚀刻气体作为CF4气体的情况以及使用CHF3的情况,其它条件与上述实施例和参考例相同来进行蚀刻。这些实施例、比较例和参考例的结果示于图3~图5的图表中。图3表示的是1/1的致密图案部分的SiN的蚀刻率与偏置用电力(偏置功率)的关系,图4表示的是1/10的稀疏图案部分的SiN的蚀刻率与偏置用电力(偏置功率)的关系,图5表示的是致密和稀疏图案部分的选择比和偏置用电压(偏置功率)的关系。如这些图表所示,在蚀刻气体中使用CF3I气体,施加偏置用电力(本实施例中频率为13.56MHz)的实施例时,无论在致密图案的部分中还是在稀疏图案的部分中,均得到与使用CF4气体的情况相同的蚀刻率,并且,选择比比任意的比较例的情况均高。其中,如图3~图5的图表所示,当偏置用电力(偏置功率)为0W时蚀刻率为0。因此,偏置用电力(偏置功率)优选为某一高程度,优选为500W以上。进一步,偏置用电力(偏置功率)优选为1000W左右以上。
此外,图6为表示上述实施例、比较例和参考例中的蚀刻后的ArF抗蚀剂的模样的、利用SEM观测的放大照片。其中,在图6中,上层表示使用CF3I气体的情况,中层表示使用CF4气体的情况,下层表示使用CHF3气体的情况,表示从左侧依次为0W、500W、1000W的情况。如该图6所示,确认在蚀刻气体中使用CF3I气体的实施例中,即便施加500W、1000W的偏置用电力,与比较例的情况相比也能够抑制ArF光致抗蚀剂的表面以及侧壁的破裂,能够抑制条痕、LER、LWR的发生。
图7、图8是根据上述SEM的放大照片使LWR数值化从而以柱形图表表示的图。对于该数值化,根据SEM照片检测出ArF抗蚀剂的线的边缘(根据2次电子的线轮廓推定),沿着线等间隔地测定线宽,对得到的数值进行傅立叶变换,对每频率区域进行比较。其中,线宽的测定,对于图6所示的沿上下方向的640nm的测定长度,以规定间隔2.5nm进行256点测定。此时,利用SEMI的推荐测定条件为,测定长度为2000nm,测定间隔为10nm,测定数为200点,为了详细解析高频成分,按照上述条件进行测定。
图7表示的是频率低(波长长)的区域的结果,图8是表示频率高(波长短)的区域的结果。此外,在各柱形图示中,左侧为使用CF4气体的情况,中央为使用CHF3气体的情况,右侧为使用CF3I气体的情况。如这些图表所示,在使用CF3I气体的情况下,低频区域的LWR为与使用CF4气体的情况相同的程度,高频区域的LWR与使用CF4气体的情况以及使用CHF3气体的情况相比,明确进一步被抑制。
其中,在上述实施例中,对氮化硅层(SiN)的蚀刻进行了说明,但是也能够同样适用于氧化硅层(SiO2)的情况。此外,在上述实施例中,作为蚀刻气体对使用CF3I气体的单气体的情况进行说明,但是也可以使用其它气体与CF3I气体的混合气体的情况,相对于PFC气体的全部气体流量,以至少为1/3以上的CF3I气体流量的方式添加CF3I气体,例如,若使CHF3气体/CF4气体/CF3I气体=120/120/120sccm,则能够确认表现出能够抑制条痕、LER、LWR的发生的显著效果。
在以上的说明中,根据本实施方式,即便在进行施加有高偏置电压的各向异性高的等离子体蚀刻时,也能够抑制ArF光致抗蚀剂的表面以及侧壁的破裂,能够抑制条痕、LER、LWR的发生,精度良好地形成希望形状的图案。其中,本发明并不局限于上述实施方式以及实施例,可以进行各种变形。例如,等离子体蚀刻装置并不局限于图2所示的平行平板型的下部两频率施加型,也可以使用上下两频率施加型的等离子体蚀刻装置、下部1频率施加型的等离子体蚀刻装置等其它的各种等离子体蚀刻装置。

Claims (3)

1.一种等离子体蚀刻方法,其特征在于:
该等离子体蚀刻方法以ArF光致抗蚀剂作为掩模,利用处理气体的等离子体对形成于被处理基板上的被蚀刻层进行蚀刻,其中,
所述被蚀刻层为氮化硅层,
所述处理气体至少含有CF3I气体,
向载置所述被处理基板的下部电极施加具有13.56MHz以下的频率的高频电力,
向所述下部电极施加的具有13.56MHz以下的频率的高频电力为500W以上。
2.如权利要求1所述的等离子体蚀刻方法,其特征在于:
所述被蚀刻层具有由线和间隔形成的蚀刻图案,作为线的宽度与间隔的宽度之比的线的宽度/间隔的宽度为1/1的致密图案和1/10以下的稀疏图案混合存在。
3.如权利要求1所述的等离子体蚀刻方法,其特征在于:
向所述下部电极施加具有所述13.56MHz以下的频率的高频电力,并且施加具有27MHz以上的频率的第二高频电力。
CN2009100089300A 2008-02-12 2009-02-12 等离子体蚀刻方法 Expired - Fee Related CN101692423B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2008030078A JP2009193988A (ja) 2008-02-12 2008-02-12 プラズマエッチング方法及びコンピュータ記憶媒体
JP2008-030078 2008-02-12

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN2011101910424A Division CN102254813A (zh) 2008-02-12 2009-02-12 等离子体蚀刻方法

Publications (2)

Publication Number Publication Date
CN101692423A CN101692423A (zh) 2010-04-07
CN101692423B true CN101692423B (zh) 2011-08-31

Family

ID=40939250

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2009100089300A Expired - Fee Related CN101692423B (zh) 2008-02-12 2009-02-12 等离子体蚀刻方法
CN2011101910424A Pending CN102254813A (zh) 2008-02-12 2009-02-12 等离子体蚀刻方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2011101910424A Pending CN102254813A (zh) 2008-02-12 2009-02-12 等离子体蚀刻方法

Country Status (5)

Country Link
US (1) US20090203218A1 (zh)
JP (1) JP2009193988A (zh)
KR (1) KR101061621B1 (zh)
CN (2) CN101692423B (zh)
TW (1) TW200952064A (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5606060B2 (ja) * 2009-12-24 2014-10-15 東京エレクトロン株式会社 エッチング方法及びエッチング処理装置
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
JP7169866B2 (ja) * 2018-12-14 2022-11-11 東京エレクトロン株式会社 基板処理方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060213866A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma etching method, plasma etching apparatus, control program and computer storage medium
WO2007105261A1 (ja) * 2006-03-09 2007-09-20 Philtech Inc. 層間絶縁膜のドライエッチング方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US37703A (en) * 1863-02-17 Improvement in heading-tools for screws
US37701A (en) * 1863-02-17 Improvement in lateral waste-valves for pumps
US70111A (en) * 1867-10-22 E z b a peck
US66247A (en) * 1867-07-02 The nor
US126668A (en) * 1872-05-14 Improvement in gauges for splitting leather
US6123862A (en) * 1998-04-24 2000-09-26 Micron Technology, Inc. Method of forming high aspect ratio apertures
US6921725B2 (en) * 2001-06-28 2005-07-26 Micron Technology, Inc. Etching of high aspect ratio structures
JP2003086569A (ja) * 2001-09-12 2003-03-20 Tokyo Electron Ltd プラズマ処理方法
US7473377B2 (en) * 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method
US20040087153A1 (en) * 2002-10-31 2004-05-06 Yan Du Method of etching a silicon-containing dielectric material
JP4727171B2 (ja) * 2003-09-29 2011-07-20 東京エレクトロン株式会社 エッチング方法
CN1973363B (zh) * 2004-06-21 2011-09-14 东京毅力科创株式会社 等离子体处理装置和方法
JP4523351B2 (ja) * 2004-07-14 2010-08-11 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP4761502B2 (ja) * 2004-10-07 2011-08-31 株式会社アルバック 層間絶縁膜のドライエッチング方法
JP4827081B2 (ja) * 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
JP2007214299A (ja) * 2006-02-09 2007-08-23 Tokyo Electron Ltd エッチング方法
US20090191715A1 (en) * 2006-03-09 2009-07-30 Toshio Hayashi Method for etching interlayer dielectric film
JP5100075B2 (ja) * 2006-03-28 2012-12-19 東京エレクトロン株式会社 プラズマエッチング方法
TWI437633B (zh) * 2006-05-24 2014-05-11 Ulvac Inc Dry etching method for interlayer insulating film
JP2008016585A (ja) * 2006-07-05 2008-01-24 Kawasaki Microelectronics Kk エッチング装置およびエッチング方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060213866A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma etching method, plasma etching apparatus, control program and computer storage medium
WO2007105261A1 (ja) * 2006-03-09 2007-09-20 Philtech Inc. 層間絶縁膜のドライエッチング方法

Also Published As

Publication number Publication date
CN101692423A (zh) 2010-04-07
KR101061621B1 (ko) 2011-09-01
KR20090087423A (ko) 2009-08-17
TW200952064A (en) 2009-12-16
US20090203218A1 (en) 2009-08-13
CN102254813A (zh) 2011-11-23
JP2009193988A (ja) 2009-08-27

Similar Documents

Publication Publication Date Title
CN101692423B (zh) 等离子体蚀刻方法
CN101826435B (zh) 等离子蚀刻方法及等离子蚀刻装置
CN100375247C (zh) 等离子体处理方法和等离子体处理装置
US9117769B2 (en) Plasma etching method
TWI508164B (zh) Manufacturing method of semiconductor device
CN101521158B (zh) 等离子体蚀刻方法和等离子体蚀刻装置
CN102194686B (zh) 等离子体蚀刻方法
JP4877747B2 (ja) プラズマエッチング方法
CN100487861C (zh) 等离子体蚀刻方法和等离子体蚀刻装置
JP4912907B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
CN101609799B (zh) 等离子体蚀刻方法和等离子体蚀刻装置
CN100521111C (zh) 等离子体蚀刻方法
KR101737021B1 (ko) 플라즈마 처리 방법 및 기억 매체
CN102651336A (zh) 等离子体蚀刻方法和半导体装置的制造方法
KR20170028281A (ko) 다중 패턴화 스킴에 대한 선택적 스페이서 에칭을 위한 방법 및 시스템
US20110049098A1 (en) Plasma etching method
US20200168468A1 (en) Etching method and substrate processing apparatus
US7217665B2 (en) Method of plasma etching high-K dielectric materials with high selectivity to underlying layers
JP2004031888A (ja) フルオロカーボンフィルムの堆積方法
WO2010110878A1 (en) Plasma etching method
JP2004259819A (ja) 試料の表面処理装置及び表面処理方法
JP2007123783A (ja) 半導体装置の製造方法
JP5047644B2 (ja) プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110831

Termination date: 20140212