US10847374B2 - Method for etching features in a stack - Google Patents

Method for etching features in a stack Download PDF

Info

Publication number
US10847374B2
US10847374B2 US15/798,831 US201715798831A US10847374B2 US 10847374 B2 US10847374 B2 US 10847374B2 US 201715798831 A US201715798831 A US 201715798831A US 10847374 B2 US10847374 B2 US 10847374B2
Authority
US
United States
Prior art keywords
stack
etch
recited
gas mixture
etch gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US15/798,831
Other versions
US20190131135A1 (en
Inventor
Leonid Belau
Eric Hudson
Francis Sloan Roberts
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US15/798,831 priority Critical patent/US10847374B2/en
Assigned to LAM RESEARCH CORPORATION reassignment LAM RESEARCH CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BELAU, LEONID, HUDSON, ERIC, ROBERTS, Francis Sloan
Priority to KR1020180127267A priority patent/KR20190049482A/en
Priority to CN201811256527.5A priority patent/CN109994380A/en
Publication of US20190131135A1 publication Critical patent/US20190131135A1/en
Application granted granted Critical
Publication of US10847374B2 publication Critical patent/US10847374B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Definitions

  • the disclosure relates to a method of forming semiconductor devices on a semiconductor wafer. More specifically, the disclosure relates to etching a stack in the formation of memory.
  • etch layers may be etched to form memory holes or lines.
  • Some semiconductor devices may be formed by etching a stack of bilayers of silicon oxide and silicon nitride (ONON). Such stacks may be used in memory applications, such as in forming dynamic random access memory (DRAM) and three dimensional “negative and” gates (3D NAND).
  • DRAM dynamic random access memory
  • 3D NAND three dimensional “negative and” gates
  • a method for etching features in a stack below a carbon containing mask is provided.
  • the stack is cooled to a temperature below ⁇ 20° C.
  • An etch gas is provided comprising a free fluorine providing component, a hydrogen containing component, a hydrocarbon containing component, and a fluorocarbon containing component.
  • a plasma is generated from the etch gas.
  • a bias is provided with a magnitude of at least about 400 volts to accelerate ions from the plasma to the stack.
  • Features are selectively etched in the stack with respect to the carbon containing mask.
  • FIG. 1 is a high level flow chart of an embodiment.
  • FIGS. 2A-B are schematic cross-sectional views of a stack processed according to an embodiment.
  • FIG. 3 is a schematic view of a etch chamber that may be used in an embodiment.
  • FIG. 4 is a schematic view of a computer system that may be used in practicing an embodiment.
  • FIG. 1 is a high level flow chart of an embodiment.
  • a stack is placed in an etch chamber (step 104 ).
  • the stack is disposed below a carbon containing patterned mask.
  • the stack is cooled to a temperature below ⁇ 20° C. (step 108 ).
  • An etch gas is provided by flowing the etch gas into the etch chamber, wherein the etch gas comprises a free fluorine providing component, a hydrogen containing component, a hydrocarbon containing component, and a fluorocarbon containing component (step 112 ).
  • the etch gas is formed into an etch plasma (step 116 ).
  • the stack is exposed to the plasma (step 120 ).
  • a bias is provided with a magnitude of at least 400 volts to accelerate ions from the plasma to the stack (step 124 ).
  • the stack is selectively etched with respect to the carbon containing patterned mask by the etch plasma (step 128 ).
  • the stack is removed from the etch chamber (step 132 ).
  • FIG. 2A is a schematic cross-sectional view of a stack 200 , which in this embodiment comprises a substrate 208 under a plurality of bilayers 212 , which is disposed below a carbon containing patterned mask 216 .
  • one or more layers may be disposed between the substrate 208 and the plurality of bilayers 212 or the plurality of bilayers 212 and the carbon containing patterned mask 216 .
  • this embodiment does not have a silicon containing mask above the plurality of bilayers 212 or above the carbon containing patterned mask 216 .
  • the carbon containing patterned mask 216 is amorphous carbon.
  • patterned mask pattern provides mask features 220 for high aspect ratio contacts.
  • the mask features are formed before the substrate is placed in the etch chamber.
  • the mask features 220 are formed while the substrate is in the etch chamber.
  • the plurality of bilayers 212 are bilayers of a layer of silicon oxide 224 and a layer of silicon nitride 228 .
  • FIG. 3 is a schematic view of an etch reactor that may be used in an embodiment.
  • an etch reactor 300 comprises a gas distribution plate 306 providing a gas inlet and an electrostatic chuck (ESC) 308 , within an etch chamber 349 , enclosed by a chamber wall 352 .
  • a stack 200 is positioned on over the ESC 308 .
  • the ESC 308 may provide a bias from the ESC source 348 .
  • An etch gas source 310 is connected to the etch chamber 349 through the distribution plate 306 .
  • An ESC temperature controller 350 is connected to a chiller 314 . In this embodiment, the chiller 314 provides a coolant to channels 312 in or near the ESC 308 .
  • a radio frequency (RF) source 330 provides RF power to a lower electrode and/or an upper electrode, which in this embodiment are the ESC 308 and the gas distribution plate 306 .
  • RF radio frequency
  • 400 kHz, 60 MHz, and optionally 2 MHz, 27 MHz power sources make up the RF source 330 and the ESC source 348 .
  • the upper electrode is grounded.
  • one generator is provided for each frequency.
  • the generators may be in separate RF sources, or separate RF generators may be connected to different electrodes.
  • the upper electrode may have inner and outer electrodes connected to different RF sources. Other arrangements of RF sources and electrodes may be used in other embodiments.
  • a controller 335 is controllably connected to the RF source 330 , the ESC source 348 , an exhaust pump 320 , and the etch gas source 310 .
  • An example of such a etch chamber is the Exelan FlexTM etch system manufactured by Lam Research Corporation of Fremont, Calif.
  • the process chamber can be a CCP (capacitive coupled plasma) reactor or an ICP (inductive coupled plasma) reactor.
  • FIG. 4 is a high level block diagram showing a computer system 400 , which is suitable for implementing a controller 335 used in embodiments.
  • the computer system may have many physical forms ranging from an integrated circuit, a printed circuit board, and a small handheld device up to a huge super computer.
  • the computer system 400 includes one or more processors 402 , and further can include an electronic display device 404 (for displaying graphics, text, and other data), a main memory 406 (e.g., random access memory (RAM)), storage device 408 (e.g., hard disk drive), removable storage device 410 (e.g., optical disk drive), user interface devices 412 (e.g., keyboards, touch screens, keypads, mice or other pointing devices, etc.), and a communication interface 414 (e.g., wireless network interface).
  • the communication interface 414 allows software and data to be transferred between the computer system 400 and external devices via a link.
  • the system may also include a communications infrastructure 416 (e.g., a communications bus, cross-over bar, or network) to which the aforementioned devices/modules are connected.
  • a communications infrastructure 416 e.g., a communications bus, cross-over bar, or network
  • Information transferred via communications interface 414 may be in the form of signals such as electronic, electromagnetic, optical, or other signals capable of being received by communications interface 414 , via a communication link that carries signals and may be implemented using wire or cable, fiber optics, a phone line, a cellular phone link, a radio frequency link, and/or other communication channels.
  • a communications interface it is contemplated that the one or more processors 402 might receive information from a network, or might output information to the network in the course of performing the above-described method steps.
  • method embodiments may execute solely upon the processors or may execute over a network such as the Internet, in conjunction with remote processors that share a portion of the processing.
  • non-transient computer readable medium is used generally to refer to media such as main memory, secondary memory, removable storage, and storage devices, such as hard disks, flash memory, disk drive memory, CD-ROM and other forms of persistent memory and shall not be construed to cover transitory subject matter, such as carrier waves or signals.
  • Examples of computer code include machine code, such as produced by a compiler, and files containing higher level code that are executed by a computer using an interpreter.
  • Computer readable media may also be computer code transmitted by a computer data signal embodied in a carrier wave and representing a sequence of instructions that are executable by a processor.
  • the stack is cooled to a temperature below ⁇ 20° C. (step 108 ).
  • An etch gas comprising a free fluorine providing component, a hydrogen containing component, a hydrocarbon containing component, and a fluorocarbon containing component is flowed into the etch chamber (step 112 ).
  • the etch gas is 2-150 sccm NF 3 , 20-300 sccm H 2 , 2-40 sccm CF 3 I, 10-60 sccm CH 3 F, and 0-100 sccm CH 2 F 2 .
  • a pressure of 5 to 60 mTorr is provided.
  • the etch gas is formed into an etch plasma (step 116 ). This may be accomplished by providing an excitation RF with a frequency of 60 MHz at 200 to 8000 watts.
  • the stack 200 is exposed to the plasma (step 120 ).
  • a bias with a magnitude of at least about 400 volts is provided (step 124 ).
  • the high bias is provided by providing an RF with a frequency of 400 kHz at 2 kW to 18 kW.
  • the bias causes ions to be accelerated to the stack 200 causing the selective etching of high aspect ratio etch features into the stack 200 with respect to the carbon containing patterned mask (step 128 ).
  • the plasma is maintained for 180 to 3600 seconds.
  • the etch is able to etch both the silicon oxide and silicon nitride layers.
  • the substrate is then removed from the etch chamber (step 132 ).
  • FIG. 2B is a cross-sectional view of the stack 200 after the contacts 232 have been etched.
  • the contacts are high aspect ratio contacts.
  • the high aspect ratio contacts have a height to CD width ratio of greater than 100:1. More preferably, the contacts have a etch depth to neck aspect ratio of greater than 30:1.
  • the etch process is able to selectively etch the silicon oxide and silicon nitride layers with respect to amorphous carbon with a selectivity of greater than 5:1, while etching high aspect ratio features.
  • the resulting features also have reduced bowing, striation, distorting, capping, and tapering.
  • this embodiment allows the use of a carbon containing patterned mask, such as amorphous carbon, without requiring a silicon containing mask such as polysilicon, which reduces costs and defects.
  • the above embodiment increases etch rate and improves contact shape/striation compared to a conventional approach.
  • a fluorine-rich fluorocarbon polymer at the high aspect ratio etch front is enabled by the lower wafer temperature. This increases the silicon oxide and silicon nitride etch rate, while building up less carbon at the etch front. A reduced carbon-rich polymer buildup at the etch front also improves contact shape and reduces sidewall striation.
  • the higher selectivity of silicon oxide and silicon nitride to carbon mask could be achieved. This allows for the use of a thinner carbon containing mask, which improves control and performance of the carbon mask open process.
  • the electrostatic chuck is cooled to a temperature below ⁇ 60° C. In other embodiments, it is believed that when the chuck is cooled to a temperature between ⁇ 30° C. to ⁇ 200° C. the process is improved. In other embodiments, the chuck is cooled to a temperature below ⁇ 40° C. to ⁇ 200° C. In some embodiments, the stack is cooled to a temperature between ⁇ 30° C. to ⁇ 200° C.
  • the etch gas comprises a free fluorine providing component, a hydrogen containing component, a hydrocarbon containing component, and a fluorocarbon containing component and an iodine containing component.
  • a free fluorine providing component is defined as a component that will normally break down in plasma to provide free-fluorine, such as NF 3 and SF 6 .
  • Hydrogen containing components are preferably H 2 , CH 3 F and CH 2 F 2 .
  • various components may form a single polyatomic molecule.
  • CF 3 I may provide both the fluorocarbon containing component and the iodine containing component.
  • a hydrofluorocarbon-containing component may provide the hydrocarbon containing component and the fluorocarbon containing component.
  • Iodine containing components may be selected from the group comprising at least one of trifluoroiodomethane (CF 3 I), pentafluoroiodoethane (C 2 IF 5 ), tetrafluorodiiodoethane (C 2 I 2 F 4 ).
  • CF 3 I trifluoroiodomethane
  • C 2 IF 5 pentafluoroiodoethane
  • C 2 I 2 F 4 tetrafluorodiiodoethane
  • the iodine from the iodine containing component provides an improved sidewall passivation, which helps to reduce bowing.
  • a high quality protective film can be formed on sidewalls of the partially etched features during etching.
  • the etch gas is oxygen free and free from both C 4 F 8 , C 4 F 6 , and C 3 F 8 .
  • the etch gas comprises NF 3 , H 2 , CF 3 I, CH 3 F, and CH 2 F 2 .
  • the etch gas further comprises at least one of C 4 F 8 , C 4 F 6 , C 3 F 8 , SF 6 , CF 4 , CH 4 , or CHF 3 .
  • the ONON stack may be etched to form contact holes or trenches in making a 3D NAND memory device.
  • Other embodiments may etch contact holes to be used in MOC and MOA, which are first metal contacts used to control 3D NAND junctions.
  • Other embodiments may be used for DRAM Capacitor etching.
  • Embodiments provide for a CD less than 100 nm with an etch depth of greater than 20 microns. In other embodiments, the etch depth is greater than 3 microns.
  • Such embodiments allow the etching of at least 48 bilayers of silicon oxide and silicon nitride in a single etch step using a single amorphous carbon mask with a thickness of less than 1 microns.
  • the stack may be a single layer of silicon oxide or silicon nitride. In other embodiments, the stack may be a single layer or multiple layers of other silicon containing materials.
  • the above embodiment used a bias with a magnitude of at least 400 volts. It has been found that a bias with a magnitude of at least 1000 volts would provide an improved etch. It is believed that a bias with a magnitude of at least 2000 volts would provide a further improved etch. Without being bound by theory it is believed that the higher bias would allow for a higher aspect ratio etch, while taking advantage of other features, which allows for the use of an amorphous carbon mask and reducing striation and bowing.
  • liquid nitrogen is used as a coolant that is flowed through the chuck or bottom electrode to provide cooling.
  • liquid Vertel SineraTM manufactured by DuPont Corporation of Wilmington, Del. may be used as the coolant.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

A method for etching features in a stack below a carbon containing mask is provided. The stack is cooled to a temperature below −20° C. An etch gas is provided comprising a free fluorine providing component, a hydrogen containing component, a hydrocarbon containing component, and a fluorocarbon containing component. A plasma is generated from the etch gas. A bias is provided with a magnitude of at least about 400 volts to accelerate ions from the plasma to the stack. Features are selectively etched in the stack with respect to the carbon containing mask.

Description

INCORPORATION BY REFERENCE
The present disclosure incorporates by reference for all purposes the patent application entitled “GAS ADDITIVES FOR SIDEWALL PASSIVATION DURING HIGH ASPECT RATIO CRYOGENIC ETCH” by Hudson et al. filed on Mar. 30, 2017, U.S. application Ser. No. 15/475,021.
BACKGROUND Field
The disclosure relates to a method of forming semiconductor devices on a semiconductor wafer. More specifically, the disclosure relates to etching a stack in the formation of memory.
In forming semiconductor devices, etch layers may be etched to form memory holes or lines. Some semiconductor devices may be formed by etching a stack of bilayers of silicon oxide and silicon nitride (ONON). Such stacks may be used in memory applications, such as in forming dynamic random access memory (DRAM) and three dimensional “negative and” gates (3D NAND).
SUMMARY
To achieve the foregoing and in accordance with the purpose of the present disclosure, a method for etching features in a stack below a carbon containing mask is provided. The stack is cooled to a temperature below −20° C. An etch gas is provided comprising a free fluorine providing component, a hydrogen containing component, a hydrocarbon containing component, and a fluorocarbon containing component. A plasma is generated from the etch gas. A bias is provided with a magnitude of at least about 400 volts to accelerate ions from the plasma to the stack. Features are selectively etched in the stack with respect to the carbon containing mask.
These and other features of the present disclosure will be described in more details below in the detailed description and in conjunction with the following figures.
BRIEF DESCRIPTION OF THE DRAWINGS
The present disclosure is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings and in which like reference numerals refer to similar elements and in which:
FIG. 1 is a high level flow chart of an embodiment.
FIGS. 2A-B are schematic cross-sectional views of a stack processed according to an embodiment.
FIG. 3 is a schematic view of a etch chamber that may be used in an embodiment.
FIG. 4 is a schematic view of a computer system that may be used in practicing an embodiment.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
The present disclosure will now be described in detail with reference to a few preferred embodiments thereof as illustrated in the accompanying drawings. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present disclosure. It will be apparent, however, to one skilled in the art, that the present disclosure may be practiced without some or all of these specific details. In other instances, well known process steps and/or structures have not been described in detail in order to not unnecessarily obscure the present disclosure.
FIG. 1 is a high level flow chart of an embodiment. In this embodiment, a stack is placed in an etch chamber (step 104). The stack is disposed below a carbon containing patterned mask. The stack is cooled to a temperature below −20° C. (step 108). An etch gas is provided by flowing the etch gas into the etch chamber, wherein the etch gas comprises a free fluorine providing component, a hydrogen containing component, a hydrocarbon containing component, and a fluorocarbon containing component (step 112). The etch gas is formed into an etch plasma (step 116). The stack is exposed to the plasma (step 120). A bias is provided with a magnitude of at least 400 volts to accelerate ions from the plasma to the stack (step 124). The stack is selectively etched with respect to the carbon containing patterned mask by the etch plasma (step 128). The stack is removed from the etch chamber (step 132).
EXAMPLE
In an exemplary embodiment, a stack is placed in an etch chamber (step 104). FIG. 2A is a schematic cross-sectional view of a stack 200, which in this embodiment comprises a substrate 208 under a plurality of bilayers 212, which is disposed below a carbon containing patterned mask 216. In this example, one or more layers may be disposed between the substrate 208 and the plurality of bilayers 212 or the plurality of bilayers 212 and the carbon containing patterned mask 216. However, this embodiment does not have a silicon containing mask above the plurality of bilayers 212 or above the carbon containing patterned mask 216. In this example, the carbon containing patterned mask 216 is amorphous carbon. In this example, patterned mask pattern provides mask features 220 for high aspect ratio contacts. In some embodiments, the mask features are formed before the substrate is placed in the etch chamber. In other embodiments, the mask features 220 are formed while the substrate is in the etch chamber. In this embodiment, the plurality of bilayers 212 are bilayers of a layer of silicon oxide 224 and a layer of silicon nitride 228.
FIG. 3 is a schematic view of an etch reactor that may be used in an embodiment. In one or more embodiments, an etch reactor 300 comprises a gas distribution plate 306 providing a gas inlet and an electrostatic chuck (ESC) 308, within an etch chamber 349, enclosed by a chamber wall 352. Within the etch chamber 349, a stack 200 is positioned on over the ESC 308. The ESC 308 may provide a bias from the ESC source 348. An etch gas source 310 is connected to the etch chamber 349 through the distribution plate 306. An ESC temperature controller 350 is connected to a chiller 314. In this embodiment, the chiller 314 provides a coolant to channels 312 in or near the ESC 308. A radio frequency (RF) source 330 provides RF power to a lower electrode and/or an upper electrode, which in this embodiment are the ESC 308 and the gas distribution plate 306. In an exemplary embodiment, 400 kHz, 60 MHz, and optionally 2 MHz, 27 MHz power sources make up the RF source 330 and the ESC source 348. In this embodiment, the upper electrode is grounded. In this embodiment, one generator is provided for each frequency. In other embodiments, the generators may be in separate RF sources, or separate RF generators may be connected to different electrodes. For example, the upper electrode may have inner and outer electrodes connected to different RF sources. Other arrangements of RF sources and electrodes may be used in other embodiments. A controller 335 is controllably connected to the RF source 330, the ESC source 348, an exhaust pump 320, and the etch gas source 310. An example of such a etch chamber is the Exelan Flex™ etch system manufactured by Lam Research Corporation of Fremont, Calif. The process chamber can be a CCP (capacitive coupled plasma) reactor or an ICP (inductive coupled plasma) reactor.
FIG. 4 is a high level block diagram showing a computer system 400, which is suitable for implementing a controller 335 used in embodiments. The computer system may have many physical forms ranging from an integrated circuit, a printed circuit board, and a small handheld device up to a huge super computer. The computer system 400 includes one or more processors 402, and further can include an electronic display device 404 (for displaying graphics, text, and other data), a main memory 406 (e.g., random access memory (RAM)), storage device 408 (e.g., hard disk drive), removable storage device 410 (e.g., optical disk drive), user interface devices 412 (e.g., keyboards, touch screens, keypads, mice or other pointing devices, etc.), and a communication interface 414 (e.g., wireless network interface). The communication interface 414 allows software and data to be transferred between the computer system 400 and external devices via a link. The system may also include a communications infrastructure 416 (e.g., a communications bus, cross-over bar, or network) to which the aforementioned devices/modules are connected.
Information transferred via communications interface 414 may be in the form of signals such as electronic, electromagnetic, optical, or other signals capable of being received by communications interface 414, via a communication link that carries signals and may be implemented using wire or cable, fiber optics, a phone line, a cellular phone link, a radio frequency link, and/or other communication channels. With such a communications interface, it is contemplated that the one or more processors 402 might receive information from a network, or might output information to the network in the course of performing the above-described method steps. Furthermore, method embodiments may execute solely upon the processors or may execute over a network such as the Internet, in conjunction with remote processors that share a portion of the processing.
The term “non-transient computer readable medium” is used generally to refer to media such as main memory, secondary memory, removable storage, and storage devices, such as hard disks, flash memory, disk drive memory, CD-ROM and other forms of persistent memory and shall not be construed to cover transitory subject matter, such as carrier waves or signals. Examples of computer code include machine code, such as produced by a compiler, and files containing higher level code that are executed by a computer using an interpreter. Computer readable media may also be computer code transmitted by a computer data signal embodied in a carrier wave and representing a sequence of instructions that are executable by a processor.
After the stack 200 has been placed into the etch chamber 300, the stack is cooled to a temperature below −20° C. (step 108). An etch gas comprising a free fluorine providing component, a hydrogen containing component, a hydrocarbon containing component, and a fluorocarbon containing component is flowed into the etch chamber (step 112). In this example, the etch gas is 2-150 sccm NF3, 20-300 sccm H2, 2-40 sccm CF3I, 10-60 sccm CH3F, and 0-100 sccm CH2F2. In this example, a pressure of 5 to 60 mTorr is provided. The etch gas is formed into an etch plasma (step 116). This may be accomplished by providing an excitation RF with a frequency of 60 MHz at 200 to 8000 watts. The stack 200 is exposed to the plasma (step 120). A bias with a magnitude of at least about 400 volts is provided (step 124). In this embodiment, the high bias is provided by providing an RF with a frequency of 400 kHz at 2 kW to 18 kW. The bias causes ions to be accelerated to the stack 200 causing the selective etching of high aspect ratio etch features into the stack 200 with respect to the carbon containing patterned mask (step 128). The plasma is maintained for 180 to 3600 seconds. The etch is able to etch both the silicon oxide and silicon nitride layers. The substrate is then removed from the etch chamber (step 132).
FIG. 2B is a cross-sectional view of the stack 200 after the contacts 232 have been etched. The contacts are high aspect ratio contacts. Preferably, the high aspect ratio contacts have a height to CD width ratio of greater than 100:1. More preferably, the contacts have a etch depth to neck aspect ratio of greater than 30:1.
The etch process is able to selectively etch the silicon oxide and silicon nitride layers with respect to amorphous carbon with a selectivity of greater than 5:1, while etching high aspect ratio features. The resulting features also have reduced bowing, striation, distorting, capping, and tapering. In addition, this embodiment allows the use of a carbon containing patterned mask, such as amorphous carbon, without requiring a silicon containing mask such as polysilicon, which reduces costs and defects.
Previous processes that use an etch, where the stack is processed at a temperature above −20° C., relied on a fluorocarbon chemistry to etch and provide sidewall protection. Such a process resulted in a mask to silicon oxide and silicon nitride etch selectivity of less than 5:1. Sidewall protection was provided by polymer deposition, which is controlled by the concentration of carbon, where a higher concentration of carbon increases sidewall deposition, and by oxygen, where a higher concentration of oxygen consumes the deposited polymer. The higher concentration of oxygen also increased the consumption of the mask. Some previous processes used a silicon containing mask.
The above embodiment increases etch rate and improves contact shape/striation compared to a conventional approach. Without being bound by theory, it is proposed that a fluorine-rich fluorocarbon polymer at the high aspect ratio etch front is enabled by the lower wafer temperature. This increases the silicon oxide and silicon nitride etch rate, while building up less carbon at the etch front. A reduced carbon-rich polymer buildup at the etch front also improves contact shape and reduces sidewall striation. In addition, the higher selectivity of silicon oxide and silicon nitride to carbon mask could be achieved. This allows for the use of a thinner carbon containing mask, which improves control and performance of the carbon mask open process.
In some embodiments, to cool the stack to a temperature below −20° C., the electrostatic chuck is cooled to a temperature below −60° C. In other embodiments, it is believed that when the chuck is cooled to a temperature between −30° C. to −200° C. the process is improved. In other embodiments, the chuck is cooled to a temperature below −40° C. to −200° C. In some embodiments, the stack is cooled to a temperature between −30° C. to −200° C.
In some embodiments, the etch gas comprises a free fluorine providing component, a hydrogen containing component, a hydrocarbon containing component, and a fluorocarbon containing component and an iodine containing component. A free fluorine providing component is defined as a component that will normally break down in plasma to provide free-fluorine, such as NF3 and SF6. Hydrogen containing components are preferably H2, CH3F and CH2F2. In addition, various components may form a single polyatomic molecule. For example, CF3I may provide both the fluorocarbon containing component and the iodine containing component. In addition, a hydrofluorocarbon-containing component may provide the hydrocarbon containing component and the fluorocarbon containing component. Iodine containing components may be selected from the group comprising at least one of trifluoroiodomethane (CF3I), pentafluoroiodoethane (C2IF5), tetrafluorodiiodoethane (C2I2F4). Without being bound by theory, it is believed that the iodine from the iodine containing component provides an improved sidewall passivation, which helps to reduce bowing. By using a low substrate temperature in combination with certain reactants, a high quality protective film can be formed on sidewalls of the partially etched features during etching. The low temperature also allows some embodiments where the etch gas is oxygen free and free from both C4F8, C4F6, and C3F8. In other embodiments, the etch gas comprises NF3, H2, CF3I, CH3F, and CH2F2. In some of the embodiments, the etch gas further comprises at least one of C4F8, C4F6, C3F8, SF6, CF4, CH4, or CHF3.
The ONON stack may be etched to form contact holes or trenches in making a 3D NAND memory device. Other embodiments may etch contact holes to be used in MOC and MOA, which are first metal contacts used to control 3D NAND junctions. Other embodiments may be used for DRAM Capacitor etching. Embodiments provide for a CD less than 100 nm with an etch depth of greater than 20 microns. In other embodiments, the etch depth is greater than 3 microns. Such embodiments allow the etching of at least 48 bilayers of silicon oxide and silicon nitride in a single etch step using a single amorphous carbon mask with a thickness of less than 1 microns.
In some embodiments, the stack may be a single layer of silicon oxide or silicon nitride. In other embodiments, the stack may be a single layer or multiple layers of other silicon containing materials.
The above embodiment used a bias with a magnitude of at least 400 volts. It has been found that a bias with a magnitude of at least 1000 volts would provide an improved etch. It is believed that a bias with a magnitude of at least 2000 volts would provide a further improved etch. Without being bound by theory it is believed that the higher bias would allow for a higher aspect ratio etch, while taking advantage of other features, which allows for the use of an amorphous carbon mask and reducing striation and bowing.
In some embodiments, liquid nitrogen is used as a coolant that is flowed through the chuck or bottom electrode to provide cooling. In other embodiments, liquid Vertel Sinera™ manufactured by DuPont Corporation of Wilmington, Del. may be used as the coolant.
While this disclosure has been described in terms of several preferred embodiments, there are alterations, modifications, permutations, and various substitute equivalents, which fall within the scope of this disclosure. It should also be noted that there are many alternative ways of implementing the methods and apparatuses of the present disclosure. It is therefore intended that the following appended claims be interpreted as including all such alterations, modifications, permutations, and various substitute equivalents as fall within the true spirit and scope of the present disclosure.

Claims (13)

What is claimed is:
1. A method for etching features in a stack below an amorphous carbon mask, comprising:
cooling the stack to a temperature below −20° C.;
providing an etch gas mixture comprising a free fluorine providing component gas, a H2 gas, and a gas mixture comprising, a hydrocarbon containing component and a fluorocarbon containing component, wherein the fluorocarbon containing component comprises one of a fluorocarbon gas, hydrofluorocarbon gas or a gas of a fluorocarbon with an iodine component;
generating a plasma from the etch gas mixture;
providing a bias with a magnitude of at least about 400 volts to accelerate ions from the plasma to the stack; and
selectively etching features in the stack with respect to the amorphous carbon mask.
2. The method, as recited in claim 1, wherein the etch gas mixture further comprises an iodine containing component.
3. The method, as recited in claim 1, wherein the etch gas mixture is oxygen free and free from both C4F8 and C4F6.
4. The method, as recited in claim 1, wherein the etch gas mixture comprises NF3, H2, CF3I, CH3F, and CH2F2.
5. The method, as recited in claim 4, wherein the etch gas mixture further comprises at least one of C4F8, C3F8, C4F6, SF6, O2, CF4, CH4, or CHF3.
6. The method, as recited in claim 1, wherein the stack is supported on a chuck in an etch chamber, the method further comprising cooling the chuck to a temperature below −40° C.
7. The method, as recited in claim 1, wherein and the stack includes a plurality of silicon oxide and silicon nitride bilayers.
8. The method, as recited in claim 7, wherein the plurality of silicon oxide and silicon nitride bilayers include at least 48 bilayers.
9. The method, as recited in claim 7, wherein the stack does not have a silicon containing mask.
10. The method, as recited in claim 1, wherein the etch gas mixture further comprises NF3.
11. The method, as recited in claim 1, wherein the etch gas mixture further comprises CF3I.
12. The method, as recited in claim 1, wherein the features have a depth to neck aspect ratio of at least 30:1.
13. The method, as recited in claim 1, wherein the etch gas mixture further comprises at least one of NF3 or SF6.
US15/798,831 2017-10-31 2017-10-31 Method for etching features in a stack Active 2037-11-22 US10847374B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US15/798,831 US10847374B2 (en) 2017-10-31 2017-10-31 Method for etching features in a stack
KR1020180127267A KR20190049482A (en) 2017-10-31 2018-10-24 Method for etching features in a stack
CN201811256527.5A CN109994380A (en) 2017-10-31 2018-10-26 Method for the etch features in stack layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US15/798,831 US10847374B2 (en) 2017-10-31 2017-10-31 Method for etching features in a stack

Publications (2)

Publication Number Publication Date
US20190131135A1 US20190131135A1 (en) 2019-05-02
US10847374B2 true US10847374B2 (en) 2020-11-24

Family

ID=66245617

Family Applications (1)

Application Number Title Priority Date Filing Date
US15/798,831 Active 2037-11-22 US10847374B2 (en) 2017-10-31 2017-10-31 Method for etching features in a stack

Country Status (3)

Country Link
US (1) US10847374B2 (en)
KR (1) KR20190049482A (en)
CN (1) CN109994380A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10998167B2 (en) 2014-08-29 2021-05-04 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US11011388B2 (en) 2017-08-02 2021-05-18 Lam Research Corporation Plasma apparatus for high aspect ratio selective lateral etch using cyclic passivation and etching
US11062920B2 (en) 2014-08-29 2021-07-13 Lam Research Corporation Ion injector and lens system for ion beam milling
US11170997B2 (en) 2017-11-21 2021-11-09 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US11251051B2 (en) * 2018-11-02 2022-02-15 Central Glass Company, Limited Dry etching method
US11289306B2 (en) 2016-02-25 2022-03-29 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10811267B2 (en) * 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
JP7158252B2 (en) * 2018-02-15 2022-10-21 東京エレクトロン株式会社 Plasma etching method and plasma etching apparatus
KR20190098922A (en) * 2018-02-15 2019-08-23 도쿄엘렉트론가부시키가이샤 Plasma etching method and plasma etching apparatus
JP6886940B2 (en) * 2018-04-23 2021-06-16 東京エレクトロン株式会社 Plasma processing method
JP7072440B2 (en) * 2018-05-16 2022-05-20 東京エレクトロン株式会社 Etching method for silicon-containing film, computer storage medium, and etching device for silicon-containing film
KR20210103768A (en) 2020-02-14 2021-08-24 한양대학교 산학협력단 Method of forming fine patterns by the etching selectivity adjustment
CN113643973A (en) * 2020-04-27 2021-11-12 中微半导体设备(上海)股份有限公司 Low-temperature etching method and device
JP2022034956A (en) * 2020-08-19 2022-03-04 東京エレクトロン株式会社 Etching method and plasma processing apparatus
US20230094212A1 (en) * 2021-09-30 2023-03-30 Tokyo Electron Limited Plasma etch process for fabricating high aspect ratio (har) features
WO2024044218A1 (en) * 2022-08-25 2024-02-29 Lam Research Corporation High aspect ratio etch with a liner

Citations (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3704511A (en) 1969-12-18 1972-12-05 Gen Electric Fly{40 s eye lens process
US3899711A (en) 1973-05-09 1975-08-12 Gen Electric Laminated multi-apertured electrode
US4200794A (en) 1978-11-08 1980-04-29 Control Data Corporation Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components and techniques of fabrication and assembly
CA1157511A (en) 1978-11-08 1983-11-22 Sterling P. Newberry Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components
US4419580A (en) 1981-06-26 1983-12-06 Control Data Corporation Electron beam array alignment means
US4873445A (en) 1985-04-29 1989-10-10 Centre National De La Recherche Scientifique Source of ions of the triode type with a single high frequency exitation ionization chamber and magnetic confinement of the multipole type
US4894696A (en) 1985-12-10 1990-01-16 Hitachi, Ltd. Dynamic random access memory having a trench capacitor
US5284544A (en) 1990-02-23 1994-02-08 Hitachi, Ltd. Apparatus for and method of surface treatment for microelectronic devices
JPH06208837A (en) 1993-01-11 1994-07-26 Nippon Telegr & Teleph Corp <Ntt> Plasma drawing grid of ion beam processor
US5767018A (en) 1995-11-08 1998-06-16 Advanced Micro Devices, Inc. Method of etching a polysilicon pattern
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US6235643B1 (en) * 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
US20030003755A1 (en) 2001-06-28 2003-01-02 Micron Technology, Inc. Etching of high aspect ratio structures
US6547977B1 (en) 1998-04-02 2003-04-15 Applied Materials Inc. Method for etching low k dielectrics
US6617595B1 (en) 1999-10-18 2003-09-09 Canon Kabushiki Kaisha Multi-lens type electrostatic lens, electron beam exposure apparatus and charged beam applied apparatus using the lens, and device manufacturing method using these apparatuses
US20030168588A1 (en) 2002-03-05 2003-09-11 Sumitomo Eaton Nova Corporation Ion beam mass separation filter, mass separation method thereof and ion source using the same
US20040084410A1 (en) 2002-10-31 2004-05-06 Lenz Eric H. Methods for etching dielectric materials
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US20050136682A1 (en) 2003-04-09 2005-06-23 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
CN1661762A (en) 2004-02-26 2005-08-31 Tdk株式会社 Ion beam irradiation apparatus and insulating spacer for the same
US20050199822A1 (en) 2004-03-12 2005-09-15 Zyvex Corporation Mems based charged particle deflector design
KR20060030717A (en) 2004-10-06 2006-04-11 삼성전자주식회사 Manufacturing method for semiconductor device
US7037846B2 (en) 2001-04-06 2006-05-02 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low energy ion generation and transport in plasma processing
US20060121721A1 (en) 2004-12-08 2006-06-08 Samsung Electronics Co., Ltd. Methods for forming dual damascene wiring using porogen containing sacrificial via filler material
US20060192104A1 (en) 2003-10-20 2006-08-31 Ionwerks, Inc. Ion mobility TOF/MALDI/MS using drift cell alternating high and low electrical field regions
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060226120A1 (en) 2005-03-30 2006-10-12 Lam Research Corporation Etch profile control
US20070026677A1 (en) 2002-10-11 2007-02-01 Lam Research Corporation Method for plasma etching performance enhancement
US20070049018A1 (en) 2005-08-26 2007-03-01 Sandhu Gurtej S Method to reduce charge buildup during high aspect ratio contact etch
US20070063337A1 (en) 2005-09-22 2007-03-22 Schubert Peter J Chip cooling system
US20070068624A1 (en) 2005-09-28 2007-03-29 Jeon Yun-Kwang Apparatus to treat a substrate and method thereof
US20070181820A1 (en) 2006-02-07 2007-08-09 Samsung Electronics Co. Ltd. Apparatus and method for controlling ion beam
US20080132046A1 (en) 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
US20080179186A1 (en) 2007-01-17 2008-07-31 Kazuhiro Shimura Thin film forming apparatus
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US20080286978A1 (en) 2007-05-17 2008-11-20 Rong Chen Etching and passivating for high aspect ratio features
US20090163035A1 (en) 2005-03-08 2009-06-25 Lam Research Corporation Etch with high etch rate resist mask
US20090203218A1 (en) 2008-02-12 2009-08-13 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US20090275202A1 (en) 2006-11-22 2009-11-05 Masahiko Tanaka Silicon structure having an opening which has a high aspect ratio, method for manufacturing the same, system for manufacturing the same, and program for manufacturing the same, and method for manufacturing etching mask for the silicon structure
US20100009543A1 (en) 2008-07-10 2010-01-14 Eun-Sang Cho Method For Manufacturing Semiconductor Device
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US20100173494A1 (en) 2007-06-09 2010-07-08 Rolith, Inc Method and apparatus for anisotropic etching
US20110100954A1 (en) 2009-11-05 2011-05-05 Hitachi High-Technologies Corporation Plasma etching method and plasma etching apparatus
US7951683B1 (en) 2007-04-06 2011-05-31 Novellus Systems, Inc In-situ process layer using silicon-rich-oxide for etch selectivity in high AR gapfill
US20110151670A1 (en) 2007-11-21 2011-06-23 Lam Research Corporation Method of controlling etch microloading for a tungsten-containing layer
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US20110201208A1 (en) 2008-10-20 2011-08-18 Tokyo Electron Limited Plasma etching method and plasma etching apparatus
US20110207323A1 (en) 2010-02-25 2011-08-25 Robert Ditizio Method of forming and patterning conformal insulation layer in vias and etched structures
KR20110097193A (en) 2010-02-25 2011-08-31 성균관대학교산학협력단 Apparatus for ething atomic layer
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US20120288799A1 (en) 2011-05-09 2012-11-15 Canon Kabushiki Kaisha Charged-particle beam lithographic apparatus and method of manufacturing device
WO2013012620A1 (en) 2011-07-20 2013-01-24 Lam Research Corporation Atomic layer etching using metastables formed from an inert gas
US20130137275A1 (en) * 2011-11-29 2013-05-30 Intermolecular, Inc. Methods for selective etching of a multi-layer substrate
US20130157470A1 (en) 2011-10-31 2013-06-20 Hitachi High-Technologies Corporation Plasma etching method
US20130216959A1 (en) 2012-02-20 2013-08-22 Canon Kabushiki Kaisha Charged particle beam apparatus, and article manufacturing method
US8552334B2 (en) 2008-02-08 2013-10-08 Lam Research Corporation Adjustable gap capacitively coupled RF plasma reactor including lateral bellows and non-contact particle seal
US20130316518A1 (en) 2012-05-23 2013-11-28 Alice Hollister Pecvd deposition of smooth silicon films
US8608973B1 (en) 2012-06-01 2013-12-17 Lam Research Corporation Layer-layer etch of non volatile materials using plasma
US20140021343A1 (en) 2010-08-23 2014-01-23 Exogenesis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology
US20140038412A1 (en) 2012-07-31 2014-02-06 Globalfoundries Inc. Interconnect formation using a sidewall mask layer
US20140043216A1 (en) 2012-08-10 2014-02-13 Qualcomm Mems Technologies, Inc. Boron nitride antistiction films and methods for forming same
US8658511B1 (en) 2012-12-20 2014-02-25 Intermolecular, Inc. Etching resistive switching and electrode layers
US20140065838A1 (en) 2012-08-31 2014-03-06 Carolyn R. Ellinger Thin film dielectric layer formation
US20140076716A1 (en) 2012-09-14 2014-03-20 Vapor Technologies, Inc. Low Pressure Arc Plasma Immersion Coating Vapor Deposition And Ion Treatment
US20140335679A1 (en) 2013-05-09 2014-11-13 Applied Materials, Inc. Methods for etching a substrate
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US20150050807A1 (en) 2013-08-16 2015-02-19 Applied Materials, Inc. Tungsten deposition with tungsten hexafluoride (wf6) etchback
US20150083582A1 (en) 2010-08-04 2015-03-26 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US20150179393A1 (en) 2013-12-20 2015-06-25 Axcelis Technologies, Inc. Reduced trace metals contamination ion source for an ion implantation system
US20150270140A1 (en) 2014-06-09 2015-09-24 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US20150311292A1 (en) 2014-04-28 2015-10-29 Applied Materials, Inc. Utilization of angled trench for effective aspect ratio trapping of defects in strain-relaxed heteroepitaxy of semiconductor films
US20150348792A1 (en) 2013-05-01 2015-12-03 Lam Research Corporation Continuous plasma etch process
US20160049281A1 (en) 2014-08-12 2016-02-18 Lam Research Corporation Differentially pumped reactive gas injector
US20160064232A1 (en) 2014-08-29 2016-03-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US20160064260A1 (en) 2014-08-29 2016-03-03 Lam Research Corporation Ion injector and lens system for ion beam milling
US20160111294A1 (en) 2014-10-21 2016-04-21 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US20160163558A1 (en) 2014-12-04 2016-06-09 Lam Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US20160163557A1 (en) 2014-12-04 2016-06-09 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US20160163561A1 (en) 2014-12-04 2016-06-09 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US20160163556A1 (en) 2014-12-04 2016-06-09 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US20160181117A1 (en) 2014-12-22 2016-06-23 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US20160218015A1 (en) 2015-01-23 2016-07-28 Central Glass Company, Limited Dry Etching Method
US20160268141A1 (en) 2014-12-04 2016-09-15 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US20160343580A1 (en) 2014-12-04 2016-11-24 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US20160351407A1 (en) 2015-05-29 2016-12-01 Tokyo Electron Limited Etching method
US20160379856A1 (en) 2015-06-23 2016-12-29 Tokyo Electron Limited Etching method and plasma processing apparatus
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US20170076955A1 (en) 2013-09-20 2017-03-16 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US20170178920A1 (en) 2014-12-04 2017-06-22 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US20170229316A1 (en) * 2015-08-31 2017-08-10 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
US20180286707A1 (en) 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch

Patent Citations (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3704511A (en) 1969-12-18 1972-12-05 Gen Electric Fly{40 s eye lens process
US3899711A (en) 1973-05-09 1975-08-12 Gen Electric Laminated multi-apertured electrode
US4200794A (en) 1978-11-08 1980-04-29 Control Data Corporation Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components and techniques of fabrication and assembly
CA1157511A (en) 1978-11-08 1983-11-22 Sterling P. Newberry Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components
US4419580A (en) 1981-06-26 1983-12-06 Control Data Corporation Electron beam array alignment means
CA1184239A (en) 1981-06-26 1985-03-19 David M. Walker Electron beam array alignment means
US4873445A (en) 1985-04-29 1989-10-10 Centre National De La Recherche Scientifique Source of ions of the triode type with a single high frequency exitation ionization chamber and magnetic confinement of the multipole type
US4894696A (en) 1985-12-10 1990-01-16 Hitachi, Ltd. Dynamic random access memory having a trench capacitor
US5284544A (en) 1990-02-23 1994-02-08 Hitachi, Ltd. Apparatus for and method of surface treatment for microelectronic devices
JPH06208837A (en) 1993-01-11 1994-07-26 Nippon Telegr & Teleph Corp <Ntt> Plasma drawing grid of ion beam processor
US5767018A (en) 1995-11-08 1998-06-16 Advanced Micro Devices, Inc. Method of etching a polysilicon pattern
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6547977B1 (en) 1998-04-02 2003-04-15 Applied Materials Inc. Method for etching low k dielectrics
US6235643B1 (en) * 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
US6617595B1 (en) 1999-10-18 2003-09-09 Canon Kabushiki Kaisha Multi-lens type electrostatic lens, electron beam exposure apparatus and charged beam applied apparatus using the lens, and device manufacturing method using these apparatuses
US7037846B2 (en) 2001-04-06 2006-05-02 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low energy ion generation and transport in plasma processing
US20030003755A1 (en) 2001-06-28 2003-01-02 Micron Technology, Inc. Etching of high aspect ratio structures
US20030168588A1 (en) 2002-03-05 2003-09-11 Sumitomo Eaton Nova Corporation Ion beam mass separation filter, mass separation method thereof and ion source using the same
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US20070026677A1 (en) 2002-10-11 2007-02-01 Lam Research Corporation Method for plasma etching performance enhancement
US20040084410A1 (en) 2002-10-31 2004-05-06 Lenz Eric H. Methods for etching dielectric materials
US20050136682A1 (en) 2003-04-09 2005-06-23 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US20060192104A1 (en) 2003-10-20 2006-08-31 Ionwerks, Inc. Ion mobility TOF/MALDI/MS using drift cell alternating high and low electrical field regions
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US20050211926A1 (en) 2004-02-26 2005-09-29 Tdk Corporation Ion beam irradiation apparatus and insulating spacer for the same
CN1661762A (en) 2004-02-26 2005-08-31 Tdk株式会社 Ion beam irradiation apparatus and insulating spacer for the same
US20050199822A1 (en) 2004-03-12 2005-09-15 Zyvex Corporation Mems based charged particle deflector design
KR20060030717A (en) 2004-10-06 2006-04-11 삼성전자주식회사 Manufacturing method for semiconductor device
US20060121721A1 (en) 2004-12-08 2006-06-08 Samsung Electronics Co., Ltd. Methods for forming dual damascene wiring using porogen containing sacrificial via filler material
US20090163035A1 (en) 2005-03-08 2009-06-25 Lam Research Corporation Etch with high etch rate resist mask
US20060226120A1 (en) 2005-03-30 2006-10-12 Lam Research Corporation Etch profile control
US20070049018A1 (en) 2005-08-26 2007-03-01 Sandhu Gurtej S Method to reduce charge buildup during high aspect ratio contact etch
US20070063337A1 (en) 2005-09-22 2007-03-22 Schubert Peter J Chip cooling system
US20070068624A1 (en) 2005-09-28 2007-03-29 Jeon Yun-Kwang Apparatus to treat a substrate and method thereof
US20070181820A1 (en) 2006-02-07 2007-08-09 Samsung Electronics Co. Ltd. Apparatus and method for controlling ion beam
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US20090275202A1 (en) 2006-11-22 2009-11-05 Masahiko Tanaka Silicon structure having an opening which has a high aspect ratio, method for manufacturing the same, system for manufacturing the same, and program for manufacturing the same, and method for manufacturing etching mask for the silicon structure
US20080132046A1 (en) 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US20080179186A1 (en) 2007-01-17 2008-07-31 Kazuhiro Shimura Thin film forming apparatus
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US7951683B1 (en) 2007-04-06 2011-05-31 Novellus Systems, Inc In-situ process layer using silicon-rich-oxide for etch selectivity in high AR gapfill
US20080286978A1 (en) 2007-05-17 2008-11-20 Rong Chen Etching and passivating for high aspect ratio features
US20100173494A1 (en) 2007-06-09 2010-07-08 Rolith, Inc Method and apparatus for anisotropic etching
US20110151670A1 (en) 2007-11-21 2011-06-23 Lam Research Corporation Method of controlling etch microloading for a tungsten-containing layer
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US8552334B2 (en) 2008-02-08 2013-10-08 Lam Research Corporation Adjustable gap capacitively coupled RF plasma reactor including lateral bellows and non-contact particle seal
US20090203218A1 (en) 2008-02-12 2009-08-13 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US20100009543A1 (en) 2008-07-10 2010-01-14 Eun-Sang Cho Method For Manufacturing Semiconductor Device
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US20110201208A1 (en) 2008-10-20 2011-08-18 Tokyo Electron Limited Plasma etching method and plasma etching apparatus
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US20110100954A1 (en) 2009-11-05 2011-05-05 Hitachi High-Technologies Corporation Plasma etching method and plasma etching apparatus
US20110207323A1 (en) 2010-02-25 2011-08-25 Robert Ditizio Method of forming and patterning conformal insulation layer in vias and etched structures
KR20110097193A (en) 2010-02-25 2011-08-31 성균관대학교산학협력단 Apparatus for ething atomic layer
US20150083582A1 (en) 2010-08-04 2015-03-26 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US20140021343A1 (en) 2010-08-23 2014-01-23 Exogenesis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology
US20120288799A1 (en) 2011-05-09 2012-11-15 Canon Kabushiki Kaisha Charged-particle beam lithographic apparatus and method of manufacturing device
WO2013012620A1 (en) 2011-07-20 2013-01-24 Lam Research Corporation Atomic layer etching using metastables formed from an inert gas
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US20130157470A1 (en) 2011-10-31 2013-06-20 Hitachi High-Technologies Corporation Plasma etching method
US20140220785A1 (en) 2011-10-31 2014-08-07 Hitachi High-Technologies Corporation Plasma Etching Method
US20130137275A1 (en) * 2011-11-29 2013-05-30 Intermolecular, Inc. Methods for selective etching of a multi-layer substrate
US20130216959A1 (en) 2012-02-20 2013-08-22 Canon Kabushiki Kaisha Charged particle beam apparatus, and article manufacturing method
US20130316518A1 (en) 2012-05-23 2013-11-28 Alice Hollister Pecvd deposition of smooth silicon films
US8608973B1 (en) 2012-06-01 2013-12-17 Lam Research Corporation Layer-layer etch of non volatile materials using plasma
US20140038412A1 (en) 2012-07-31 2014-02-06 Globalfoundries Inc. Interconnect formation using a sidewall mask layer
US20140043216A1 (en) 2012-08-10 2014-02-13 Qualcomm Mems Technologies, Inc. Boron nitride antistiction films and methods for forming same
US20140065838A1 (en) 2012-08-31 2014-03-06 Carolyn R. Ellinger Thin film dielectric layer formation
US20140076716A1 (en) 2012-09-14 2014-03-20 Vapor Technologies, Inc. Low Pressure Arc Plasma Immersion Coating Vapor Deposition And Ion Treatment
US8658511B1 (en) 2012-12-20 2014-02-25 Intermolecular, Inc. Etching resistive switching and electrode layers
US20150348792A1 (en) 2013-05-01 2015-12-03 Lam Research Corporation Continuous plasma etch process
US20140335679A1 (en) 2013-05-09 2014-11-13 Applied Materials, Inc. Methods for etching a substrate
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US20150050807A1 (en) 2013-08-16 2015-02-19 Applied Materials, Inc. Tungsten deposition with tungsten hexafluoride (wf6) etchback
US20170076955A1 (en) 2013-09-20 2017-03-16 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US20150179393A1 (en) 2013-12-20 2015-06-25 Axcelis Technologies, Inc. Reduced trace metals contamination ion source for an ion implantation system
US20150311292A1 (en) 2014-04-28 2015-10-29 Applied Materials, Inc. Utilization of angled trench for effective aspect ratio trapping of defects in strain-relaxed heteroepitaxy of semiconductor films
US20150270140A1 (en) 2014-06-09 2015-09-24 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US20160049281A1 (en) 2014-08-12 2016-02-18 Lam Research Corporation Differentially pumped reactive gas injector
US20160064232A1 (en) 2014-08-29 2016-03-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US20160064260A1 (en) 2014-08-29 2016-03-03 Lam Research Corporation Ion injector and lens system for ion beam milling
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US20160307781A1 (en) 2014-08-29 2016-10-20 Lam Research Corporation Ion injector and lens system for ion beam milling
US20160111294A1 (en) 2014-10-21 2016-04-21 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US20170062181A1 (en) 2014-10-21 2017-03-02 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US20160163556A1 (en) 2014-12-04 2016-06-09 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US20160163561A1 (en) 2014-12-04 2016-06-09 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US20160260620A1 (en) 2014-12-04 2016-09-08 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US20160260617A1 (en) 2014-12-04 2016-09-08 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US20160268141A1 (en) 2014-12-04 2016-09-15 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9378971B1 (en) 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US20160343580A1 (en) 2014-12-04 2016-11-24 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9997372B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US20170178920A1 (en) 2014-12-04 2017-06-22 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9543158B2 (en) 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US20170170026A1 (en) 2014-12-04 2017-06-15 Lam Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US20160163557A1 (en) 2014-12-04 2016-06-09 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US20160163558A1 (en) 2014-12-04 2016-06-09 Lam Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US20160181117A1 (en) 2014-12-22 2016-06-23 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US20160218015A1 (en) 2015-01-23 2016-07-28 Central Glass Company, Limited Dry Etching Method
US20160351407A1 (en) 2015-05-29 2016-12-01 Tokyo Electron Limited Etching method
US20160379856A1 (en) 2015-06-23 2016-12-29 Tokyo Electron Limited Etching method and plasma processing apparatus
US20170229316A1 (en) * 2015-08-31 2017-08-10 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
US20170076945A1 (en) 2015-09-01 2017-03-16 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US20180286707A1 (en) 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch

Non-Patent Citations (17)

* Cited by examiner, † Cited by third party
Title
Briggs et al. U.S. Appl. No. 15/069,022, filed May 10, 2017.
Engelhardt, et al. (1988) "Deep Trench Etching Using CBrF3 and CBrF3/Chlorine Gas Mixture," Siemens AG, Otto-Hahn-Ring, 8000 Munich 83, Germany, pp. 48-54.
Hanson et al. (2003) "Bonding Self-Assembled, Compact Organophosphonate Monolayers to the Native Oxide Surface of Silicon," J. Am. Chem. Soc.,125(51): 16074-16080.
Jim McVittie "Tutorial on Using RF to Control DC Bias" (2007) found in Web-page "https://nccavs-usergroups.avs.org/wp-content/uploads/PAG2007/PEUG_07_5_McVittie.pdf" Used Only As Evidence. (Year: 2007). *
Jung et al. (2005) "Vapor-Phase Self-Assembled Monolayer for Improved Mold Release in Nanoimprint Lithography," Langmuir, 21(4):1158-1161.
Matsuo, S., "Selective Etching of Si Relative to SiO2 Without Undercutting by CBrF3 Plasma," American Institute of Physics, Appl. Phys. Lett., 36(9), May 1, 1980, 4 pp.
Nikolaos V. Mantzaris et al. (Radio-frequency plasmas in CF 4: Self-consistent modeling of the plasma physics and chemistry, J. Appl. Phys. n (12). Jun. 15, 1995 (pp. 6169-6180)) Used Only As Evidence. (Year: 1995). *
Notice of Allowance for Briggs et al. U.S. Appl. No. 15/069,022, filed May 10, 2017.
Office Action from U.S. Appl. No. 15/903,865 dated Sep. 27, 2018.
Ohiwa, et al. (1990) "SiO2 Tapered Etching Employing Magnetron Discharge," Dry Process Symposium, V-3, pp. 105-109.
Ohiwa, et al. (1992) "SiO2 Tapered Etching Employing Magnetron Discharge of Fluorocarbon Gas," Jpn. J. Appl. Phys., vol. 31, Part 1, No. 2A, pp. 405-410.
S. Wolf and R.N. Tauber, (Silicon Processing for the VLSI Era, vol. 1-Process Technology, Lattice Press, 1986)(pp. 542-557) (Year: 1986). *
S. Wolf and R.N. Tauber, (Silicon Processing for the VLSI Era, vol. 1—Process Technology, Lattice Press, 1986)(pp. 542-557) (Year: 1986). *
Yang et al. (2006) "CVD Growth Kinetics of HfB2 Thin Films from the Single-Source Precursor Hf(BH4)4," Chem. Mater., American Chemical Society, 18(21):5088-5096.
Yanguas-Gil et al. (Sep./Oct. 2009) "Highly conformal film growth by chemical vapor deposition. II. Conformality enhancement through growth inhibition," Journal of Vacuum Science & Technology A, 27(5):1244-1248.
Zhou, Han and Bent, Stacey F. (Jul./Aug. 2013) "Fabrication of organic interfacial layers by molecular layer deposition: Present status and future opportunities," Journal of Vacuum Science Technology A, American Vacuum Society, 31(4), 040801-1-040801-18.
Zhuang et al. (2006) "Thermal stability of vapor phase deposited self-assembled monolayers for MEMS anti-stiction," J. Micromech. Microeng., 16:2259-2264.

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10998167B2 (en) 2014-08-29 2021-05-04 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US11062920B2 (en) 2014-08-29 2021-07-13 Lam Research Corporation Ion injector and lens system for ion beam milling
US11289306B2 (en) 2016-02-25 2022-03-29 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US11011388B2 (en) 2017-08-02 2021-05-18 Lam Research Corporation Plasma apparatus for high aspect ratio selective lateral etch using cyclic passivation and etching
US11170997B2 (en) 2017-11-21 2021-11-09 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US11251051B2 (en) * 2018-11-02 2022-02-15 Central Glass Company, Limited Dry etching method

Also Published As

Publication number Publication date
KR20190049482A (en) 2019-05-09
US20190131135A1 (en) 2019-05-02
CN109994380A (en) 2019-07-09

Similar Documents

Publication Publication Date Title
US10847374B2 (en) Method for etching features in a stack
US9779956B1 (en) Hydrogen activated atomic layer etching
US10079154B1 (en) Atomic layer etching of silicon nitride
US10361092B1 (en) Etching features using metal passivation
US9673058B1 (en) Method for etching features in dielectric layers
US9741563B2 (en) Hybrid stair-step etch
KR102547487B1 (en) Dielectric Contact Etching
US20210335624A1 (en) Method for etching an etch layer
US10541141B2 (en) Method for selectively etching with reduced aspect ratio dependence
US10002773B2 (en) Method for selectively etching silicon oxide with respect to an organic mask
US9673057B2 (en) Method for forming stair-step structures
US8946091B2 (en) Prevention of line bending and tilting for etch with tri-layer mask
KR20200003941A (en) Porous low-k dielectric etch
US8828744B2 (en) Method for etching with controlled wiggling
US9484215B2 (en) Sulfur and fluorine containing etch chemistry for improvement of distortion and bow control for har etch
US8906248B2 (en) Silicon on insulator etch
US20110223770A1 (en) Nitride plasma etch with highly tunable selectivity to oxide
WO2024064526A1 (en) Method for etching features in a stack
US10600648B2 (en) Silicon-based deposition for semiconductor processing
WO2024059467A1 (en) Method for etching features using hf gas
US20140030893A1 (en) Method for shrink and tune trench/via cd
JP2024504118A (en) Profile optimization of high aspect ratio memory using etch-front metal catalysts
WO2019168535A1 (en) Silicon-based deposition for semiconductor processing

Legal Events

Date Code Title Description
AS Assignment

Owner name: LAM RESEARCH CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BELAU, LEONID;HUDSON, ERIC;ROBERTS, FRANCIS SLOAN;REEL/FRAME:043997/0145

Effective date: 20171030

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE