WO2019168535A1 - Silicon-based deposition for semiconductor processing - Google Patents

Silicon-based deposition for semiconductor processing Download PDF

Info

Publication number
WO2019168535A1
WO2019168535A1 PCT/US2018/020476 US2018020476W WO2019168535A1 WO 2019168535 A1 WO2019168535 A1 WO 2019168535A1 US 2018020476 W US2018020476 W US 2018020476W WO 2019168535 A1 WO2019168535 A1 WO 2019168535A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
carbon based
etch
patterned mask
silicon oxide
Prior art date
Application number
PCT/US2018/020476
Other languages
French (fr)
Inventor
Tom A. Kamp
Mirzafer K. Abatchev
Original Assignee
Lam Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corporation filed Critical Lam Research Corporation
Priority to PCT/US2018/020476 priority Critical patent/WO2019168535A1/en
Priority to KR1020197033566A priority patent/KR102626483B1/en
Priority to CN201880025529.5A priority patent/CN110520964A/en
Publication of WO2019168535A1 publication Critical patent/WO2019168535A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput

Definitions

  • the disclosure relates to a method of forming semiconductor devices on a semiconductor wafer. More specifically, the disclosure relates forming a silicon- based deposition in the formation of semiconductor devices.
  • a method for processing a stack with a carbon based patterned mask is provided.
  • the stack is placed in an etch chamber.
  • a silicon oxide layer is deposited by atomic layer deposition over the carbon based patterned mask without consuming or attacking the carbon based patterned mask by providing a plurality of cycles, wherein each of the cycles of the plurality of cycles, comprises providing a silicon precursor deposition phase, comprising flowing an atomic layer deposition precursor gas comprising a silicon containing component into the etch chamber, where the atomic layer deposition precursor gas is deposited over the carbon based patterned mask while plasmaless and stopping the flow of the atomic layer deposition precursor gas and providing an oxygen deposition phase, comprising flowing ozone gas into the etch chamber, wherein the ozone gas binds with the deposited precursor gas while plasmaless and stopping the flow of ozone gas into the etch chamber.
  • Part of the silicon oxide layer is etched, comprising flowing a shaping gas comprising a fluorocarbon into the etch chamber, forming the shaping gas into a plasma, which etches the silicon oxide layer, and stopping the flow of the shaping gas.
  • the stack is removed from the etch chamber.
  • an apparatus for etching an etch layer in a stack, wherein the etch layer is below a carbon based patterned mask is provided.
  • a processing chamber is provided.
  • a substrate support is within the processing chamber.
  • a gas inlet provides a process gas into the processing chamber.
  • a gas source provides the process gas to the gas inlet, wherein the gas source comprises an ozone source, an atomic layer deposition precursor silicon containing gas source, and a shaping gas source.
  • An exhaust pump pumps gas from the processing chamber.
  • a lower electrode is disposed below the substrate support.
  • An electrode or a coil is within or adjacent to the processing chamber.
  • At least one power source provides power to the lower electrode and the electrode or coil.
  • a controller is controllably connected to the gas source and at least one power source.
  • the controller comprises at least one processor and computer readable media.
  • the computer readable media comprises computer readable code for depositing by atomic layer deposition a silicon oxide layer over the carbon based patterned mask by providing a plurality of cycles, wherein each of the cycles of the plurality of cycles comprises providing a silicon precursor deposition phase comprising flowing an atomic layer deposition precursor gas comprising a silicon containing component into the etch chamber, where the atomic layer deposition precursor gas is deposited over the carbon based patterned mask while plasmaless and stopping the flow of the atomic layer deposition precursor gas and providing an oxygen deposition phase comprising flowing ozone gas into the etch chamber, wherein the ozone gas binds with the deposited precursor gas, while plasmaless and stopping the flow of ozone gas into the etch chamber, computer readable code for etching the silicon oxide layer comprising flowing a shaping gas comprising a fluorocarbon into the etch chamber and forming the shaping gas into a plasma, which etches the silicon oxide layer.
  • FIG. 1 is a high level flow chart of an embodiment.
  • FIGS. 2A-F are schematic cross-sectional views of a stack processed according to an embodiment.
  • FIG. 3 is a schematic view of a etch chamber that may be used in an embodiment.
  • FIG. 4 is a schematic view of a computer system that may be used in practicing an embodiment.
  • FIG. 5 is a detailed flow chart of a deposition layer formation step.
  • FIG. 6 is a more detailed flow chart of the precursor deposition phase.
  • FIG. 7 is a more detailed flow chart of the oxygen deposition phase.
  • FIG. 8 is a more detailed flow chart of the step of partially etching the silicon oxide based layer.
  • FIG. 1 is a high level flow chart of an embodiment.
  • a stack is placed in a process chamber (step 104).
  • a carbon mask of the stack is trimmed and BARC is etched (step 108).
  • a silicon oxide based layer is deposited over the carbon mask through atomic layer deposition (ALD) (step 112).
  • the silicon oxide based layer is etched (step 116).
  • the carbon mask and BARC are removed (step 120).
  • An etch layer below the silicon oxide based layer is etched (step 124).
  • the stack is removed from the process chamber (step 128).
  • FIG. 2A is a schematic cross-sectional view of a stack 200 on a substrate 204.
  • the substrate 204 is under an etch layer 208, which is under an amorphous carbon layer 212, which is under a hardmask layer 216, which in this example is silicon, which is under a BARC layer 220, which is under a patterned carbon based mask layer 224.
  • the carbon based mask layer 224 is photoresist.
  • different, additional, or less layers may be between the layers of the stack 200.
  • various layers, such as the etch layer 208 may be made of multiple layers, such as a carbon mask layer over a silicon based layer.
  • FIG. 3 schematically illustrates an example of a plasma processing system 300 which may be used to process the stack 200 in accordance with one embodiment of the present invention.
  • the plasma processing system 300 includes a plasma reactor 302 having a plasma processing chamber 304, enclosed by a chamber wall 362.
  • a plasma power supply 306, tuned by a match network 308, supplies power to a TCP coil 310 located near a power window 312 to create a plasma 314 in the plasma processing chamber 304 by providing an inductively coupled power.
  • the TCP coil (upper power source) 310 may be configured to produce a uniform diffusion profile within the plasma processing chamber 304.
  • the TCP coil 310 may be configured to generate a toroidal power distribution in the plasma 314.
  • the power window 312 is provided to separate the TCP coil 310 from the plasma processing chamber 304 while allowing energy to pass from the TCP coil 310 to the plasma processing chamber 304.
  • a wafer bias voltage power supply 316 tuned by a match network 318 provides power to an electrode 320 to set the bias voltage on the process layer 204 which is supported over the electrode 320.
  • a controller 324 sets points for the plasma power supply 306 and the wafer bias voltage power supply 316.
  • the plasma power supply 306 and the wafer bias voltage power supply 316 may be configured to operate at specific radio frequencies such as, 13.56 MHz,
  • Plasma power supply 306 and wafer bias voltage power supply 316 may be appropriately sized to supply a range of powers in order to achieve desired process performance.
  • the plasma power supply 306 may supply the power in a range of 50 to 5000 Watts
  • the wafer bias voltage power supply 316 may supply a bias voltage in a range of 20 to 2000 V.
  • the TCP coil 310 and/or the electrode 320 may be comprised of two or more sub-coils or sub electrodes, which may be powered by a single power supply or powered by multiple power supplies.
  • the plasma processing system 300 further includes a gas source/gas supply mechanism 330.
  • the gas source 330 comprises a trim gas source 350, a silicon precursor source 352, an ozone gas source 354, a shaping gas source 356, a stripping gas source 358, and a feature etch gas source 360.
  • the gas source/gas supply mechanism 330 provides gas to a gas feed 336 in the form of a nozzle.
  • the process gases and byproducts are removed from the plasma processing chamber 304 via a pressure control valve 342 and a pump 344, which also serve to maintain a particular pressure within the plasma processing chamber 304.
  • the gas source/gas supply mechanism 330 is controlled by the controller 324.
  • a Kiyo by Lam Research Corp. of Fremont, CA may be used to practice an embodiment of the invention.
  • FIG. 4 is a high level block diagram showing a computer system 400, which is suitable for implementing a controller 324 used in embodiments.
  • the computer system may have many physical forms, ranging from an integrated circuit, a printed circuit board, and a small handheld device, up to a huge super computer.
  • the computer system 400 includes one or more processors 402, and further can include an electronic display device 404 (for displaying graphics, text, and other data), a main memory 406 (e.g., random access memory (RAM)), storage device 408 (e.g., hard disk drive), removable storage device 410 (e.g., optical disk drive), user interface devices 412 (e.g., keyboards, touch screens, keypads, mice or other pointing devices, etc.), and a communication interface 414 (e.g., wireless network interface).
  • the communication interface 414 allows software and data to be transferred between the computer system 400 and external devices via a link.
  • the system may also include a communications infrastructure 416 (e.g., a communications bus, cross-over bar, or network) to which the aforementioned devices/modules are connected.
  • a communications infrastructure 416 e.g., a communications bus, cross-over bar, or network
  • Information transferred via communications interface 414 may be in the form of signals such as electronic, electromagnetic, optical, or other signals capable of being received by communications interface 414, via a communication link that carries signals and may be implemented using wire or cable, fiber optics, a phone line, a cellular phone link, a radio frequency link, and/or other communication channels.
  • a communications interface it is contemplated that the one or more processors 402 might receive information from a network, or might output information to the network in the course of performing the above-described method steps.
  • method embodiments may execute solely upon the processors or may execute over a network such as the Internet, in conjunction with remote processors that share a portion of the processing.
  • non-transient computer readable medium is used generally to refer to media such as main memory, secondary memory, removable storage, and storage devices, such as hard disks, flash memory, disk drive memory, CD-ROM and other forms of persistent memory, and shall not be construed to cover transitory subject matter, such as carrier waves or signals.
  • Examples of computer code include machine code, such as produced by a compiler, and files containing higher level code that are executed by a computer using an interpreter.
  • Computer readable media may also be computer code transmitted by a computer data signal embodied in a carrier wave and representing a sequence of instructions that are executable by a processor.
  • the carbon based mask layer 224 is trimmed and BARC layer etched (step 108).
  • a trim gas comprising 50 seem N 2 , 15 seem 0 2 , and 150 seem He is flowed into the plasma processing chamber, providing a pressure of 5 mTorr.
  • the plasma power supply 306 provides 900 Watts of TCP power.
  • the BARC layer 220 can be etched either before or after trimming step.
  • An example of a BARC etch flows a BARC etch gas of 15 seem 0 2 , 5 seem CH 4 , and 50 sscm Cl 2 into the plasma processing chamber 304 at a pressure of 8 mTorr.
  • the plasma power supply 306 provides 400 Watt TCP power. A 60 V bias voltage is provided.
  • FIG. 2B is a cross- sectional view of the stack 200 after the carbon based mask layer 224 is trimmed and the BARC layer 220 is etched.
  • a silicon oxide based layer is deposited using atomic layer deposition (step 112).
  • FIG. 5 is a more detailed flow chart of the atomic layer deposition (step 112).
  • the atomic layer deposition (step 112) comprises a plurality of cycles, wherein each cycle comprises a silicon precursor deposition (adsorption) phase (step 504) and an oxygen deposition (precursor oxidizing) phase (step 508).
  • FIG. 6 is a more detailed flow chart of the precursor deposition phase (step 504).
  • a silicon containing precursor was introduced by vapor drawn from vessel sustained at certain temperature to insure consistent flow into the plasma processing chamber 304 (step 604).
  • the silicon containing precursor gas is aminosilane BTBAS
  • FIG. 7 is a more detailed flow chart of the oxygen deposition (Si0 2 forming) phase (step 508).
  • Ozone gas is flowed into the plasma processing chamber 304 (step 704).
  • >50sccm of 0 3 is flowed into the processing chamber 304.
  • the pressure is maintained at >100 mTorr.
  • the ozone gas is deposited on the stack while plasmaless (step 708).
  • FIG. 2C is a cross-sectional view of the stack 200 after the silicon oxide based layer 228 is deposited by atomic layer deposition after specific number of cycles to achieve a target thickness of ALD Oxide .
  • the silicon oxide based layer 228 is partially etched or shaped (step 116).
  • FIG. 8 is a more detailed flow chart of the step of partially etching the silicon oxide based layer 228.
  • a shaping gas is flowed into the plasma processing chamber 304 (step 804).
  • the shaping gas comprises 100 seem of CF 4 , 50 seem of CHF 3 and 9 seem of 0 2 is flowed into the processing chamber 304.
  • the pressure is maintained at 5 mTorr.
  • a plasma is formed from the shaping gas (step 808).
  • 600 Watts of TCP RF power is provided at 13.56 MHz.
  • a Bias Voltage was sustained at 60 V.
  • FIG. 2D is a cross-sectional view of the stack 200 after silicon oxide based layer 228 has been etched or shaped (step 120). Horizontal surfaces of the silicon oxide based layer 228 are etched away so that the carbon based mask layer 224 is exposed, as shown. The remaining silicon oxide based layer 228 forms sidewall spacers on sides of the carbon based mask layer 224.
  • the carbon mask is removed or stripped (step 120).
  • process conditions provide a mask stripping gas of 150 seem 0 2 and 150 seem Ar at a chamber pressure of 10 mTorr.
  • the mask stripping gas is formed into a plasma by providing 600 Watt TCP power.
  • FIG. 2E is a cross-sectional view of the stack 200 after the carbon based mask layer is removed.
  • the stripping of the carbon mask layer also removes the remaining BARC layer.
  • the remaining silicon oxide based layer 228 provides a pattern with twice the density of the carbon mask.
  • the amorphous carbon layer 212 and hardmask layer 216 may be etched in-situ after carbon mask strip.
  • An example of a Si (layer 216) etch process provides an Si etch gas of 50 seem CF 4 and 50 seem Ar at a pressure of 5 mTorr.
  • the Si etch gas is formed into a plasma by providing 500 Watt TCP power with a 100 V bias voltage.
  • An example of a process for etching a-C (layer 212) provides an amorphous carbon etch gas of 80 seem SCL and 90 seem CL at a pressure of 8 mTorr.
  • the amorphous carbon etch gas is formed into a plasma by providing 800 Watt TCP power, 350 V bias voltage.
  • a recipe for etching an etch layer 208 of (Si film in this example): 500 seem HBr, 500 seem He, 15 seem 02 at pressure of 25 mTorr, 350 Watt TCP power and a 300 V bias voltage.
  • the etch layer 208 is etched (step 124).
  • FIG. 2E is a cross-sectional view of the stack 200 after the etch layer is etched.
  • the resulting stack has features etched in the etch layer 208 with twice the density as the original pattern of the carbon based mask layer.
  • the method and apparatus allows for ALD and etching for both feature doubling and etching to occur in the same processing chamber on the same chuck without moving the stack.
  • a fluorocarbon gas such as CF 4
  • the carbon based layer may be amorphous carbon, organic material, or photoresist.
  • the etch layer 208 may comprise a plurality of layers, including another carbon based layer.
  • the silicon oxide based layer 228 may be used as a mask for etching the carbon based layer.
  • the silicon oxide based layer 228 may be removed and another silicon oxide based layer may be provided by ALD.
  • the silicon oxide layer may be partially etched and the carbon based layer removed providing a patterned mask with four times the density as the original pattern.
  • Such subsequent ALD processes may use a plasma for ALD.
  • the apparatus is able to provide a plasmaless ALD and ALD with plasma.

Abstract

A method for processing a stack with a carbon based patterned mask is provided. The stack is placed in an etch chamber. A silicon oxide layer is deposited by atomic layer deposition over the carbon based patterned mask by providing a plurality of cycles, wherein each of the cycles of the plurality of cycles, comprises providing a silicon precursor deposition phase, comprising flowing an atomic layer deposition precursor gas into the etch chamber, where the atomic layer deposition precursor gas is deposited while plasmaless and stopping the flow of the atomic layer deposition precursor gas and providing an oxygen deposition phase, comprising flowing ozone gas into the etch chamber, wherein the ozone gas binds with the deposited precursor gas while plasmaless and stopping the flow of ozone gas into the etch chamber. Part of the silicon oxide layer is etched. The stack is removed from the etch chamber.

Description

SILICON-BASED DEPOSITION FOR SEMICONDUCTOR PROCESSING
CROSS REFERENCE TO RELATED APPLICATION
[0001] This application claims the benefit of priority of U.S. Application No. 15/492,662, filed April 20, 2017, which is incorporated herein by reference for all purposes.
BACKGROUND
[0002] The disclosure relates to a method of forming semiconductor devices on a semiconductor wafer. More specifically, the disclosure relates forming a silicon- based deposition in the formation of semiconductor devices.
[0003] In forming semiconductor devices, various layers are deposited.
SUMMARY
[0004] To achieve the foregoing and in accordance with the purpose of the present disclosure, a method for processing a stack with a carbon based patterned mask is provided. The stack is placed in an etch chamber. A silicon oxide layer is deposited by atomic layer deposition over the carbon based patterned mask without consuming or attacking the carbon based patterned mask by providing a plurality of cycles, wherein each of the cycles of the plurality of cycles, comprises providing a silicon precursor deposition phase, comprising flowing an atomic layer deposition precursor gas comprising a silicon containing component into the etch chamber, where the atomic layer deposition precursor gas is deposited over the carbon based patterned mask while plasmaless and stopping the flow of the atomic layer deposition precursor gas and providing an oxygen deposition phase, comprising flowing ozone gas into the etch chamber, wherein the ozone gas binds with the deposited precursor gas while plasmaless and stopping the flow of ozone gas into the etch chamber. Part of the silicon oxide layer is etched, comprising flowing a shaping gas comprising a fluorocarbon into the etch chamber, forming the shaping gas into a plasma, which etches the silicon oxide layer, and stopping the flow of the shaping gas. The stack is removed from the etch chamber.
[0005] In another manifestation, an apparatus for etching an etch layer in a stack, wherein the etch layer is below a carbon based patterned mask is provided. A processing chamber is provided. A substrate support is within the processing chamber. A gas inlet provides a process gas into the processing chamber. A gas source provides the process gas to the gas inlet, wherein the gas source comprises an ozone source, an atomic layer deposition precursor silicon containing gas source, and a shaping gas source. An exhaust pump pumps gas from the processing chamber. A lower electrode is disposed below the substrate support. An electrode or a coil is within or adjacent to the processing chamber. At least one power source provides power to the lower electrode and the electrode or coil. A controller is controllably connected to the gas source and at least one power source. The controller comprises at least one processor and computer readable media. The computer readable media comprises computer readable code for depositing by atomic layer deposition a silicon oxide layer over the carbon based patterned mask by providing a plurality of cycles, wherein each of the cycles of the plurality of cycles comprises providing a silicon precursor deposition phase comprising flowing an atomic layer deposition precursor gas comprising a silicon containing component into the etch chamber, where the atomic layer deposition precursor gas is deposited over the carbon based patterned mask while plasmaless and stopping the flow of the atomic layer deposition precursor gas and providing an oxygen deposition phase comprising flowing ozone gas into the etch chamber, wherein the ozone gas binds with the deposited precursor gas, while plasmaless and stopping the flow of ozone gas into the etch chamber, computer readable code for etching the silicon oxide layer comprising flowing a shaping gas comprising a fluorocarbon into the etch chamber and forming the shaping gas into a plasma, which etches the silicon oxide layer.
[0006] These and other features of the present disclosure will be described in more detail below in the detailed description of embodiments and in conjunction with the following figures.
BRIEF DESCRIPTION OF THE DRAWINGS
[0007] The present disclosure is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings and in which like reference numerals refer to similar elements and in which:
[0008] FIG. 1 is a high level flow chart of an embodiment.
[0009] FIGS. 2A-F are schematic cross-sectional views of a stack processed according to an embodiment. [0010] FIG. 3 is a schematic view of a etch chamber that may be used in an embodiment.
[0011] FIG. 4 is a schematic view of a computer system that may be used in practicing an embodiment.
[0012] FIG. 5 is a detailed flow chart of a deposition layer formation step.
[0013] FIG. 6 is a more detailed flow chart of the precursor deposition phase.
[0014] FIG. 7 is a more detailed flow chart of the oxygen deposition phase.
[0015] FIG. 8 is a more detailed flow chart of the step of partially etching the silicon oxide based layer.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
[0016] The present embodiments will now be described in detail with reference to a few preferred embodiments thereof as illustrated in the accompanying drawings. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present disclosure. It will be apparent, however, to one skilled in the art, that the present disclosure may be practiced without some or all of these specific details. In other instances, well known process steps and/or structures have not been described in detail in order to not unnecessarily obscure the present disclosure.
[0017] FIG. 1 is a high level flow chart of an embodiment. In this embodiment, a stack is placed in a process chamber (step 104). A carbon mask of the stack is trimmed and BARC is etched (step 108). A silicon oxide based layer is deposited over the carbon mask through atomic layer deposition (ALD) (step 112). The silicon oxide based layer is etched (step 116). The carbon mask and BARC are removed (step 120). An etch layer below the silicon oxide based layer is etched (step 124). The stack is removed from the process chamber (step 128).
Example
[0018] In a preferred embodiment, a stack is placed in a process chamber (step 104). FIG. 2A is a schematic cross-sectional view of a stack 200 on a substrate 204. The substrate 204 is under an etch layer 208, which is under an amorphous carbon layer 212, which is under a hardmask layer 216, which in this example is silicon, which is under a BARC layer 220, which is under a patterned carbon based mask layer 224. In this example, the carbon based mask layer 224 is photoresist. In other embodiments, different, additional, or less layers may be between the layers of the stack 200. In addition, various layers, such as the etch layer 208 may be made of multiple layers, such as a carbon mask layer over a silicon based layer.
[0019] FIG. 3 schematically illustrates an example of a plasma processing system 300 which may be used to process the stack 200 in accordance with one embodiment of the present invention. The plasma processing system 300 includes a plasma reactor 302 having a plasma processing chamber 304, enclosed by a chamber wall 362. A plasma power supply 306, tuned by a match network 308, supplies power to a TCP coil 310 located near a power window 312 to create a plasma 314 in the plasma processing chamber 304 by providing an inductively coupled power. The TCP coil (upper power source) 310 may be configured to produce a uniform diffusion profile within the plasma processing chamber 304. For example, the TCP coil 310 may be configured to generate a toroidal power distribution in the plasma 314. The power window 312 is provided to separate the TCP coil 310 from the plasma processing chamber 304 while allowing energy to pass from the TCP coil 310 to the plasma processing chamber 304. A wafer bias voltage power supply 316 tuned by a match network 318 provides power to an electrode 320 to set the bias voltage on the process layer 204 which is supported over the electrode 320. A controller 324 sets points for the plasma power supply 306 and the wafer bias voltage power supply 316.
[0020] The plasma power supply 306 and the wafer bias voltage power supply 316 may be configured to operate at specific radio frequencies such as, 13.56 MHz,
27 MHz, 2 MHz, 400 kHz, or combinations thereof. Plasma power supply 306 and wafer bias voltage power supply 316 may be appropriately sized to supply a range of powers in order to achieve desired process performance. For example, in one embodiment of the present invention, the plasma power supply 306 may supply the power in a range of 50 to 5000 Watts, and the wafer bias voltage power supply 316 may supply a bias voltage in a range of 20 to 2000 V. In addition, the TCP coil 310 and/or the electrode 320 may be comprised of two or more sub-coils or sub electrodes, which may be powered by a single power supply or powered by multiple power supplies.
[0021] As shown in FIG. 3, the plasma processing system 300 further includes a gas source/gas supply mechanism 330. In this embodiment, the gas source 330 comprises a trim gas source 350, a silicon precursor source 352, an ozone gas source 354, a shaping gas source 356, a stripping gas source 358, and a feature etch gas source 360. The gas source/gas supply mechanism 330 provides gas to a gas feed 336 in the form of a nozzle. The process gases and byproducts are removed from the plasma processing chamber 304 via a pressure control valve 342 and a pump 344, which also serve to maintain a particular pressure within the plasma processing chamber 304. The gas source/gas supply mechanism 330 is controlled by the controller 324. A Kiyo by Lam Research Corp. of Fremont, CA, may be used to practice an embodiment of the invention.
[0022] FIG. 4 is a high level block diagram showing a computer system 400, which is suitable for implementing a controller 324 used in embodiments. The computer system may have many physical forms, ranging from an integrated circuit, a printed circuit board, and a small handheld device, up to a huge super computer. The computer system 400 includes one or more processors 402, and further can include an electronic display device 404 (for displaying graphics, text, and other data), a main memory 406 (e.g., random access memory (RAM)), storage device 408 (e.g., hard disk drive), removable storage device 410 (e.g., optical disk drive), user interface devices 412 (e.g., keyboards, touch screens, keypads, mice or other pointing devices, etc.), and a communication interface 414 (e.g., wireless network interface). The communication interface 414 allows software and data to be transferred between the computer system 400 and external devices via a link. The system may also include a communications infrastructure 416 (e.g., a communications bus, cross-over bar, or network) to which the aforementioned devices/modules are connected.
[0023] Information transferred via communications interface 414 may be in the form of signals such as electronic, electromagnetic, optical, or other signals capable of being received by communications interface 414, via a communication link that carries signals and may be implemented using wire or cable, fiber optics, a phone line, a cellular phone link, a radio frequency link, and/or other communication channels. With such a communications interface, it is contemplated that the one or more processors 402 might receive information from a network, or might output information to the network in the course of performing the above-described method steps. Furthermore, method embodiments may execute solely upon the processors or may execute over a network such as the Internet, in conjunction with remote processors that share a portion of the processing.
[0024] The term“non-transient computer readable medium” is used generally to refer to media such as main memory, secondary memory, removable storage, and storage devices, such as hard disks, flash memory, disk drive memory, CD-ROM and other forms of persistent memory, and shall not be construed to cover transitory subject matter, such as carrier waves or signals. Examples of computer code include machine code, such as produced by a compiler, and files containing higher level code that are executed by a computer using an interpreter. Computer readable media may also be computer code transmitted by a computer data signal embodied in a carrier wave and representing a sequence of instructions that are executable by a processor.
[0025] After the stack 200 has been placed into the plasma processing system 300, the carbon based mask layer 224 is trimmed and BARC layer etched (step 108). In this example, a trim gas comprising 50 seem N2, 15 seem 02, and 150 seem He is flowed into the plasma processing chamber, providing a pressure of 5 mTorr. The plasma power supply 306 provides 900 Watts of TCP power. The BARC layer 220 can be etched either before or after trimming step. An example of a BARC etch flows a BARC etch gas of 15 seem 02, 5 seem CH4, and 50 sscm Cl2 into the plasma processing chamber 304 at a pressure of 8 mTorr. The plasma power supply 306 provides 400 Watt TCP power. A 60 V bias voltage is provided. FIG. 2B is a cross- sectional view of the stack 200 after the carbon based mask layer 224 is trimmed and the BARC layer 220 is etched.
[0026] A silicon oxide based layer is deposited using atomic layer deposition (step 112). FIG. 5 is a more detailed flow chart of the atomic layer deposition (step 112). The atomic layer deposition (step 112) comprises a plurality of cycles, wherein each cycle comprises a silicon precursor deposition (adsorption) phase (step 504) and an oxygen deposition (precursor oxidizing) phase (step 508). FIG. 6 is a more detailed flow chart of the precursor deposition phase (step 504). A silicon containing precursor was introduced by vapor drawn from vessel sustained at certain temperature to insure consistent flow into the plasma processing chamber 304 (step 604). In this example, the silicon containing precursor gas is aminosilane BTBAS
(bis(tertiarybutylamino)silane). Other precursors can work as well, such as H2Si[N(C2¾)2]2 (SAM24). The silicon containing precursor is deposited on the stack while plasmaless (step 608). After 4 seconds the flow of the silicon containing precursor is stopped (step 612). FIG. 7 is a more detailed flow chart of the oxygen deposition (Si02 forming) phase (step 508). Ozone gas is flowed into the plasma processing chamber 304 (step 704). In this example, >50sccm of 03 is flowed into the processing chamber 304. The pressure is maintained at >100 mTorr. The ozone gas is deposited on the stack while plasmaless (step 708). After 2 seconds the flow of ozone is stopped (step 712). FIG. 2C is a cross-sectional view of the stack 200 after the silicon oxide based layer 228 is deposited by atomic layer deposition after specific number of cycles to achieve a target thickness of ALD Oxide .
[0027] The silicon oxide based layer 228 is partially etched or shaped (step 116). FIG. 8 is a more detailed flow chart of the step of partially etching the silicon oxide based layer 228. A shaping gas is flowed into the plasma processing chamber 304 (step 804). In this example, the shaping gas comprises 100 seem of CF4, 50 seem of CHF3 and 9 seem of 02 is flowed into the processing chamber 304. The pressure is maintained at 5 mTorr. A plasma is formed from the shaping gas (step 808). To form the shaping gas into a plasma, 600 Watts of TCP RF power is provided at 13.56 MHz. A Bias Voltage was sustained at 60 V. After removing Si02 from the top of the carbon line and horizontal portion of Si02 layer at the space area the flow of the shaping gas is stopped (step 812). FIG. 2D is a cross-sectional view of the stack 200 after silicon oxide based layer 228 has been etched or shaped (step 120). Horizontal surfaces of the silicon oxide based layer 228 are etched away so that the carbon based mask layer 224 is exposed, as shown. The remaining silicon oxide based layer 228 forms sidewall spacers on sides of the carbon based mask layer 224.
[0028] The carbon mask is removed or stripped (step 120). In this example, process conditions provide a mask stripping gas of 150 seem 02 and 150 seem Ar at a chamber pressure of 10 mTorr. The mask stripping gas is formed into a plasma by providing 600 Watt TCP power. FIG. 2E is a cross-sectional view of the stack 200 after the carbon based mask layer is removed. In this embodiment, the stripping of the carbon mask layer also removes the remaining BARC layer. The remaining silicon oxide based layer 228 provides a pattern with twice the density of the carbon mask. [0029] The amorphous carbon layer 212 and hardmask layer 216 may be etched in-situ after carbon mask strip. An example of a Si (layer 216) etch process provides an Si etch gas of 50 seem CF4 and 50 seem Ar at a pressure of 5 mTorr. The Si etch gas is formed into a plasma by providing 500 Watt TCP power with a 100 V bias voltage. An example of a process for etching a-C (layer 212) provides an amorphous carbon etch gas of 80 seem SCL and 90 seem CL at a pressure of 8 mTorr. The amorphous carbon etch gas is formed into a plasma by providing 800 Watt TCP power, 350 V bias voltage. A recipe for etching an etch layer 208 of (Si film in this example): 500 seem HBr, 500 seem He, 15 seem 02 at pressure of 25 mTorr, 350 Watt TCP power and a 300 V bias voltage. The etch layer 208 is etched (step 124). FIG. 2E is a cross-sectional view of the stack 200 after the etch layer is etched.
[0030] The resulting stack has features etched in the etch layer 208 with twice the density as the original pattern of the carbon based mask layer. The method and apparatus allows for ALD and etching for both feature doubling and etching to occur in the same processing chamber on the same chuck without moving the stack.
[0031] Generally, a fluorocarbon gas, such as CF4, may be used for partially etching the silicon oxide base layer. In various embodiments, the carbon based layer may be amorphous carbon, organic material, or photoresist.
[0032] In various embodiments, the etch layer 208 may comprise a plurality of layers, including another carbon based layer. The silicon oxide based layer 228 may be used as a mask for etching the carbon based layer. The silicon oxide based layer 228 may be removed and another silicon oxide based layer may be provided by ALD. The silicon oxide layer may be partially etched and the carbon based layer removed providing a patterned mask with four times the density as the original pattern. Such subsequent ALD processes may use a plasma for ALD. The apparatus is able to provide a plasmaless ALD and ALD with plasma.
[0033] While this disclosure has been described in terms of several preferred embodiments, there are alterations, modifications, permutations, and various substitute equivalents, which fall within the scope of this disclosure. It should also be noted that there are many alternative ways of implementing the methods and apparatuses of the present disclosure. It is therefore intended that the following appended claims be interpreted as including all such alterations, modifications, permutations, and various substitute equivalents as fall within the true spirit and scope of the present disclosure.

Claims

CLAIMS What is claimed is:
1. A method for processing a stack with a carbon based patterned mask, comprising:
placing the stack in an etch chamber;
depositing by atomic layer deposition a silicon oxide layer over the carbon based patterned mask without consuming or attacking the carbon based patterned mask by providing a plurality of cycles, wherein each of the cycles of the plurality of cycles, comprises:
providing a silicon precursor deposition phase, comprising:
flowing an atomic layer deposition precursor gas comprising a silicon containing component into the etch chamber, where the atomic layer deposition precursor gas is deposited over the carbon based patterned mask, while plasmaless; and
stopping the flow of the atomic layer deposition precursor gas; and
providing an oxygen deposition phase, comprising:
flowing ozone gas into the etch chamber, wherein the ozone gas binds with the deposited precursor gas, while plasmaless; and
stopping the flow of ozone gas into the etch chamber;
etching part of the silicon oxide layer, comprising:
flowing a shaping gas comprising a fluorocarbon into the etch chamber;
forming the shaping gas into a plasma, which etches the silicon oxide layer; and
stopping the flow of the shaping gas; and
removing the stack from the etch chamber.
2. The method, as recited in claim 1, further comprising trimming the carbon based patterned mask after placing the stack in the etch chamber and before depositing the silicon oxide layer over the carbon based patterned mask.
3. The method, as recited in claim 2, further comprising:
stripping the carbon based patterned mask after etching the silicon oxide layer; and
etching an etch layer below the silicon oxide layer after stripping the carbon based patterned mask and before removing the stack from the etch chamber.
4. The method, as recited in claim 3, wherein the carbon based patterned mask comprises at least one of amorphous carbon, organic material, or photoresist.
5. The method, as recited in claim 4, wherein a BARC layer is below the carbon based patterned mask, further comprising etching the BARC layer before depositing the silicon oxide layer over the carbon based patterned mask.
6. The method, as recited in claim 5, wherein the silicon containing component of the atomic layer deposition precursor gas is aminosilane BTBAS
(bis(tertiarybutylamino)silane) or H2Si N(C2H;;)2]2.
7. The method, as recited in claim 6, wherein the flowing of the ozone gas into the etch chamber provides a pressure of greater than 100 mTorr.
8. The method, as recited in claim 1, further comprising:
stripping the carbon based patterned mask after etching the silicon oxide layer; and
etching an etch layer below the silicon oxide layer after stripping the carbon based patterned mask and before removing the stack from the etch chamber.
9. The method, as recited in claim 1 , wherein the carbon based patterned mask comprises at least one of amorphous carbon, organic material, or photoresist.
10. The method, as recited in claim 1, wherein a BARC layer is below the carbon based patterned mask, further comprising etching the BARC layer before depositing the silicon oxide layer over the carbon based patterned mask.
11. The method, as recited in claim 1 , wherein the silicon containing component of the atomic layer deposition precursor gas is aminosilane BTBAS
(bis(tertiarybutylamino)silane) or H2Si[N(C2H5)2]2.
12. The method, as recited in claim 1, wherein the flowing of the ozone gas into the etch chamber provides a pressure of greater than 100 mTorr.
13. An apparatus for etching an etch layer in a stack, wherein the etch layer is below a carbon based patterned mask, comprising
a processing chamber;
a substrate support within the processing chamber; a gas inlet for providing a process gas into the processing chamber;
a gas source for providing the process gas to the gas inlet, wherein the gas source comprises:
an ozone source;
an atomic layer deposition precursor silicon containing gas source; and a shaping gas source;
an exhaust pump for pumping gas from the processing chamber;
a lower electrode;
an electrode or a coil;
at least one power source for providing power to the lower electrode and the electrode or coil; and
a controller controllably connected to the gas source and at least one power source, wherein the controller comprises:
at least one processor; and
computer readable media, comprising:
computer readable code for depositing by atomic layer deposition a silicon oxide layer over the carbon based patterned mask by providing a plurality of cycles, wherein each of the cycles of the plurality of cycles, comprises:
providing a silicon precursor deposition phase, comprising:
flowing an atomic layer deposition precursor gas comprising a silicon containing component into the etch chamber, where the atomic layer deposition precursor gas is deposited over the carbon based patterned mask, while plasmaless; and
stopping the flow of the atomic layer deposition precursor gas; and
providing an oxygen deposition phase, comprising: flowing ozone gas into the etch chamber, wherein the ozone gas binds with the deposited precursor gas, while plasmaless; and stopping the flow of ozone gas into the etch chamber; and
computer readable code for etching the silicon oxide layer, comprising:
flowing a shaping gas comprising a fluorocarbon into the etch chamber; and
forming the shaping gas into a plasma, which etches the silicon oxide layer.
14. The apparatus, as recited in claim 13, wherein etch gas source, further comprises:
a trim gas source;
a stripping gas source; and
a feature etch gas source.
15. The apparatus, as recited in claim 14, wherein the computer readable media further comprises:
computer readable code for trimming the carbon based mask pattern before depositing the atomic layer deposition, comprising:
computer readable code for flowing trimming gas from the trimming gas source into the etch chamber;
computer readable code for providing power to the electrode or coil, which transforms the trimming gas into a plasma, causing the trimming of the carbon based mask; and
computer readable code for stopping the flow of the trimming gas; and computer readable code for stripping the carbon based mask pattern after etching the silicon oxide layer, comprising:
computer readable code for flowing stripping gas from the stripping gas source into the etch chamber;
computer readable code for providing power to the electrode or coil, which transforms the stripping gas into a plasma, causing the stripping of the carbon based mask; and
computer readable code for stopping the flow of the stripping gas; and computer readable code for etching the etch layer after stripping the carbon based mask pattern, comprising:
computer readable code for flowing a feature etch gas from the feature etch gas source into the etch chamber; computer readable code for providing power to the electrode or coil, which transforms the feature etch gas into a plasma, causing the etching of the etch layer; and
computer readable code for stopping the flow of the feature etch gas.
16. The apparatus, as recited in claim 15, wherein the atomic layer deposition precursor silicon containing gas source provides either aminosilane BTBAS (bis(tertiarybutylamino)silane) or ^SUNiC^Hs)·^·
17. The apparatus, as recited in claim 16, wherein a BARC layer is below the carbon based patterned mask, further comprising computer readable code for etching the BARC layer before depositing the silicon oxide layer over the carbon based patterned mask.
PCT/US2018/020476 2018-03-01 2018-03-01 Silicon-based deposition for semiconductor processing WO2019168535A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
PCT/US2018/020476 WO2019168535A1 (en) 2018-03-01 2018-03-01 Silicon-based deposition for semiconductor processing
KR1020197033566A KR102626483B1 (en) 2018-03-01 2018-03-01 Silicon-based deposition for semiconductor processing
CN201880025529.5A CN110520964A (en) 2018-03-01 2018-03-01 The deposition based on silicon for semiconductor processes

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2018/020476 WO2019168535A1 (en) 2018-03-01 2018-03-01 Silicon-based deposition for semiconductor processing

Publications (1)

Publication Number Publication Date
WO2019168535A1 true WO2019168535A1 (en) 2019-09-06

Family

ID=67806375

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2018/020476 WO2019168535A1 (en) 2018-03-01 2018-03-01 Silicon-based deposition for semiconductor processing

Country Status (3)

Country Link
KR (1) KR102626483B1 (en)
CN (1) CN110520964A (en)
WO (1) WO2019168535A1 (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090068179A (en) * 2007-12-21 2009-06-25 에이에스엠 인터내셔널 엔.브이. Process for producing a thin film comprising silicon dioxide
US20100255218A1 (en) * 2009-04-01 2010-10-07 Asm Japan K.K. Method of Depositing Silicon Oxide Film by Plasma Enhanced Atomic Layer Deposition at Low Temperature
US20140099797A1 (en) * 2011-06-03 2014-04-10 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
US20150001687A1 (en) * 2013-06-26 2015-01-01 Semiconductor Manufacturing International (Shanghai) Corporation Double patterning methods and structures
US20160099143A1 (en) * 2014-10-03 2016-04-07 Applied Materials, Inc. High Temperature Silicon Oxide Atomic Layer Deposition Technology

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101855706A (en) * 2007-11-08 2010-10-06 朗姆研究公司 Pitch reduction using oxide spacer
US9390909B2 (en) * 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US20130217240A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
SG10201600832VA (en) * 2015-02-06 2016-09-29 Novellus Systems Inc Conformal deposition of silicon carbide films
US9972502B2 (en) * 2015-09-11 2018-05-15 Lam Research Corporation Systems and methods for performing in-situ deposition of sidewall image transfer spacers
US9824893B1 (en) * 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090068179A (en) * 2007-12-21 2009-06-25 에이에스엠 인터내셔널 엔.브이. Process for producing a thin film comprising silicon dioxide
US20100255218A1 (en) * 2009-04-01 2010-10-07 Asm Japan K.K. Method of Depositing Silicon Oxide Film by Plasma Enhanced Atomic Layer Deposition at Low Temperature
US20140099797A1 (en) * 2011-06-03 2014-04-10 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
US20150001687A1 (en) * 2013-06-26 2015-01-01 Semiconductor Manufacturing International (Shanghai) Corporation Double patterning methods and structures
US20160099143A1 (en) * 2014-10-03 2016-04-07 Applied Materials, Inc. High Temperature Silicon Oxide Atomic Layer Deposition Technology

Also Published As

Publication number Publication date
KR20200118354A (en) 2020-10-15
KR102626483B1 (en) 2024-01-17
CN110520964A (en) 2019-11-29

Similar Documents

Publication Publication Date Title
TWI758404B (en) Hydrogen activated atomic layer etching
US20190189447A1 (en) Method for forming square spacers
US10079154B1 (en) Atomic layer etching of silicon nitride
US9673058B1 (en) Method for etching features in dielectric layers
US9741563B2 (en) Hybrid stair-step etch
US10658194B2 (en) Silicon-based deposition for semiconductor processing
US20130267097A1 (en) Method and apparatus for forming features with plasma pre-etch treatment on photoresist
US20140051256A1 (en) Etch with mixed mode pulsing
KR20200054962A (en) Method for etching the etch layer
CN107919264B (en) Method for selectively etching silicon oxide with respect to organic mask
KR101919641B1 (en) Method for providing high etch rate
US8691698B2 (en) Controlled gas mixing for smooth sidewall rapid alternating etch process
US20140179106A1 (en) In-situ metal residue clean
US9673057B2 (en) Method for forming stair-step structures
US9607848B2 (en) Etch process with pre-etch transient conditioning
US10600648B2 (en) Silicon-based deposition for semiconductor processing
KR102626483B1 (en) Silicon-based deposition for semiconductor processing
US8906248B2 (en) Silicon on insulator etch
US9711359B2 (en) Shadow trim line edge roughness reduction
US20210020441A1 (en) In situ inverse mask patterning
CN111512413A (en) Method for improving deposition induced CD imbalance using carbon-based film spatially selective ashing
KR102658744B1 (en) Shadow trim line edge roughness reduction

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 18907779

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 18907779

Country of ref document: EP

Kind code of ref document: A1