CN110520964A - The deposition based on silicon for semiconductor processes - Google Patents

The deposition based on silicon for semiconductor processes Download PDF

Info

Publication number
CN110520964A
CN110520964A CN201880025529.5A CN201880025529A CN110520964A CN 110520964 A CN110520964 A CN 110520964A CN 201880025529 A CN201880025529 A CN 201880025529A CN 110520964 A CN110520964 A CN 110520964A
Authority
CN
China
Prior art keywords
gas
carbon
etching
pattern mask
computer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201880025529.5A
Other languages
Chinese (zh)
Inventor
汤姆·A·坎普
米尔扎菲尔·K·阿巴特切夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rum Research Corp
Original Assignee
Rum Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rum Research Corp filed Critical Rum Research Corp
Publication of CN110520964A publication Critical patent/CN110520964A/en
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Automation & Control Theory (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Provide a kind of method for handling the stack with carbon-based pattern mask.The stack is placed in etching chamber.Pass through atomic layer deposition, silicon oxide layer deposited on the carbon-based pattern mask is circulated in via offer is multiple, wherein, each circulation in the multiple circulation includes providing the silicon precursor depositional phase, comprising: which atomic layer deposition precursor gas is made to flow into the etching chamber, wherein the atomic layer deposition precursor gas deposits while no plasma, and stops the flowing of the atomic layer deposition precursor gas;And provide the oxygen deposition stage comprising: so that ozone gas is flowed into the etching chamber, wherein the ozone gas while no plasma with the precursor gases deposited in conjunction with, and the flowing stopping for making the ozone gas flow into the etching chamber.Etch a part of the silicon oxide layer.The stack is removed from the etching chamber.

Description

The deposition based on silicon for semiconductor processes
Cross reference to related applications
This application claims the U. S. application No.15/492 that on April 20th, 2017 submits, 662 priority, entire contents It is incorporated herein by reference with for all purposes.
Technical field
This disclosure relates to a kind of method for forming semiconductor devices on the semiconductor wafer.More specifically, this disclosure relates to The deposition based on silicon is formed in the formation of semiconductor devices.
Background technique
In forming semiconductor devices, various layers are deposited.
Summary of the invention
In order to realize foregoing purpose and according to the purpose of the disclosure, provide a kind of for handling with carbon-based patterning The method of the stack of mask.The stack is placed in etching chamber.By atomic layer deposition, via the multiple circulations of offer The silicon oxide layer deposited on the carbon-based pattern mask, without consuming or corroding the carbon-based pattern mask, wherein described Each circulation in multiple circulations includes providing the silicon precursor depositional phase comprising: make the atomic layer deposition comprising siliceous ingredient Precursor gases flow into the etching chamber, wherein the atomic layer deposition precursor gas is deposited on institute while no plasma It states on carbon-based pattern mask, and stops the flowing of the atomic layer deposition precursor gas;And the oxygen deposition stage is provided, Comprising: ozone gas is made to flow into the etching chamber, wherein the ozone gas while no plasma with deposited The precursor gases combine, and make the ozone gas flow into the etching chamber flowing stop.Etch the silica A part of layer comprising: so that the shaping gas comprising fluorocarbon is flowed into the etching chamber, forms the shaping gas For plasma, to etch the silicon oxide layer, and stop the flowing of the shaping gas.From the etching chamber Except the stack.
In another manifestation mode, a kind of device for the etching layer in etch stack part is provided, wherein the erosion Layer is carved below carbon-based pattern mask.Process chamber is provided.Substrate support is in the process chamber.Air inlet is by the processing Gas is provided into the process chamber.The processing gas is provided to the air inlet by gas source, wherein the gas source packet It includes: ozone source, atomic layer deposition precursor silicon-containing gas source and shaping gas source.Emptying pump is from the process chamber gas bleeding.Under Electrode is arranged below the substrate support.Electrode or coil in the process chamber or near.At least one power source to The lower electrode and the electrode or coil provide power.Controller is connected to the gas source and at least one function in which can control Rate source.The controller includes at least one processor and computer-readable medium.The computer-readable medium includes being used for The computer-readable code operated below: by atomic layer deposition, the carbon-based pattern mask is circulated in via offer is multiple Upper silicon oxide layer deposited, wherein each circulation in the multiple circulation includes providing the silicon precursor depositional phase comprising: make Atomic layer deposition precursor gas comprising siliceous ingredient flows into the etching chamber, wherein the atomic layer deposition precursor gas is not having It is deposited on while having plasma on the carbon-based pattern mask, and makes the flowing of the atomic layer deposition precursor gas Stop;And provide the oxygen deposition stage comprising: so that ozone gas is flowed into the etching chamber, wherein the ozone gas is not having Have while plasma in conjunction with the precursor gases deposited, and the ozone gas is made to flow into the etching chamber Flowing stops;And the computer-readable code for etching the silicon oxide layer comprising: make comprising fluorocarbon at Shape gas flows into the etching chamber, and the shaping gas is made to be formed as plasma, to etch the silicon oxide layer.
These features of the invention and other feature will be below in the detailed descriptions of embodiment and in conjunction with following attached Figure is described in more detail.
Detailed description of the invention
Show the disclosure by way of example and not limitation in the accompanying drawings, and the same reference numbers in the drawings refer to Similar element, in which:
Fig. 1 is the high level flow chart of an embodiment.
Fig. 2A-F is the schematic cross sectional views of the stack handled according to an embodiment.
Fig. 3 is the schematic diagram for the etching chamber that can be used in one embodiment.
Fig. 4 is the schematic diagram that can be used for practicing the computer system of an embodiment.
Fig. 5 is the detail flowchart of sedimentary forming step.
Fig. 6 is the more detailed flow chart in precursor deposition stage.
Fig. 7 is the more detailed flow chart in oxygen deposition stage.
The more detailed flow chart for the step of Fig. 8 is part etching oxidation silicon base layer.
Specific embodiment
Several preferred embodiments shown in reference to the drawings carry out detailed description of the present invention embodiment.Under In the description in face, numerous specific details are set forth in order to provide thorough understanding of the present invention.However, for those skilled in the art Member is it is readily apparent that the present invention can be implemented in the case where some or all of these no details.At other In the case of, it is not described in detail well known processing step and/or structure, in order to avoid unnecessarily obscure the present invention.
Fig. 1 is the high level flow chart of an embodiment.In this embodiment, stack is placed into (step in the process chamber It is rapid 104).It modifies the carbon mask of stack and etches BARC (step 108).Silicon base layer will be aoxidized by atomic layer deposition (ALD) It is deposited on (step 112) above carbon mask.Etching oxidation silicon base layer (step 116).Remove carbon mask and BARC (step 120). Etching layer (step 124) below etching oxidation silicon base layer.Stack (step 128) is removed from process chamber.
Embodiment
In preferred embodiments, stack is placed into (step 104) in the process chamber.Fig. 2A is the heap on substrate 204 The schematic sectional view of overlapping piece 200.Substrate 204 is below etching layer 208, and etching layer 208 is below amorphous carbon layer 212, amorphous For carbon-coating 212 below hard mask layer 216, hard mask layer 216 is silicon in this example.Hard mask layer 216 is under BARC layer 220 Side, BARC layer 220 is under carbon-based patterned mask layer 224.In this example, carbon based mask layer 224 is photoresist.In In other embodiments, there can be different, additional or less layer between each layer of stack 200.In addition, such as etching The various layers of layer 208 etc can be made of the multilayer of carbon mask layer on the layer such as based on silicon etc.
Fig. 3 schematically show an embodiment according to the present invention can be used for handling stack 200 it is equal from The example of daughter processing system 300.Plasma process system 300 includes plasma reactor 302, the plasma reaction Device 302 has the plasma processing chamber 304 surrounded by locular wall 362.The plasma power source tuned by matching network 308 306 provide power to the TCP coil 310 being located near power window 312, to pass through the power of offer inductively in plasma Plasma 314 is generated in body process chamber 304.TCP coil (upper power source) 310 can be configured as in plasma processing chamber Uniform diverging profiles are generated in 304.For example, TCP coil 310 can be configured as generates annular function in plasma 314 Rate distribution.Power window 312 is set so that TCP coil 310 is separated with plasma processing chamber 304, while enabling energy from TCP line Circle 310 is transferred to plasma processing chamber 304.From the wafer bias power source 316 that matching network 318 tunes to electrode 320 provide power, so that bias voltage is arranged in the process layer 204 being supported on above electrode 320.Controller 324 is arranged Point for plasma power source 306 and wafer bias power source 316.
Plasma power source 306 and wafer bias power source 316 can be configured as with specific radio frequency operation, Such as it is worked with 13.56MHz, 27MHz, 2MHz, 400kHz or their combination.Plasma power source 306 and wafer bias Voltage power source 316 can suitably determine size to provide a certain range of power, to realize desired process performance.Example Such as, in an embodiment of the invention, plasma power source 306 can provide the power within the scope of 50 to 5000 watts, and And wafer bias power source 316 can be provided in 20 to the bias voltage within the scope of 2000V.In addition, TCP coil 310 and/ Or electrode 320 can be made of two or more subcoils or sub-electrode, the subcoil or sub-electrode can be by single function Rate source provides power or by multiple power source supplies power.
As shown in figure 3, plasma process system 300 further includes gas source/gas organization of supply 330.In the embodiment party In case, gas source 330 includes trim gas source 350, silicon precursor source 352, ozone gas source 354, shaping gas source 356, removing Gas source 358 and feature etch gas source 360.Gas source/gas organization of supply 330 provides gas to gas in the form of nozzle Body feeds part 336.Processing gas and by-product by pressure-control valve 342 and pump 344 from plasma processing chamber 304 It removes, pressure-control valve 342 and pump 344 are also used to maintain the particular pressure in plasma processing chamber 304.Gas source/gas supplies Mechanism 330 is answered to control by controller 324.Lam Res Corp., California Freemont city (Lam can be used Research Corp.) Kiyo implement embodiment of the present invention.
Fig. 4 is to show the high level block diagram of computer system 400, which is suitable for implementation in embodiment Used in controller 324.Computer system can have a variety of physical forms, and range is from integrated circuit, printed circuit board And small hand held devices are to huge super computer.Computer system 400 includes one or more processor 402, and It can further include electronic display unit 404 (for showing figure, text and other data), 406 (example of main memory Such as, random access memory (RAM)), storage equipment 408 (for example, hard disk drive), movable memory equipment 410 is (for example, CD Driver), user interface facilities 412 (for example, keyboard, touch screen, keypad, mouse or other positioning devices etc.) and logical Believe interface 414 (for example, radio network interface).Communication interface 414 enables software and data by link in computer system It is transmitted between 400 and external equipment.System can also include the communications infrastructure 416 (for example, communication bus, crossbar (cross-over bar) or network), equipment/module above-mentioned is connected to the communications infrastructure 416.
Can be via the information that communication interface 414 is transmitted can be by communication link by 414 received signal of communication interface Form, the signal is for example electronics, electromagnetism, light or other signals, and the communication link carries signal simultaneously And it can be real using electric wire or cable, optical fiber, telephone wire, cellular phone link, radio frequency link, and/or other communication channels Existing communication link.Utilize such communication interface, it is contemplated that, one or more processor 402 can be received with automatic network to be believed Breath can be stated during method and step on the implementation to network output information.In addition, method embodiment can only exist It executes or can be incorporated in teleprocessing unit on the network of such as internet etc on processor and execute, the long-range processing The shared part of device is handled.
Term " non-transitory computer-readable medium " is generally used to refer to such as main memory, additional storage, mobile storage Equipment and storage equipment (such as hard disk, flash memory, hard drive memory, CD-ROM and other forms permanent storage Device) etc medium, and the temporary subject matter for being not interpreted as covering such as carrier wave or signal etc.Computer The example of code includes machine code, such as the machine code generated by compiler, and including using interpreter by computer The file of the more advanced code of execution.Computer-readable medium can also be the computer data signal by being embodied in carrier wave Transmit and be expressed as the computer code for the instruction sequence that can be executed by processor.
After stack body 200 is placed into plasma process system 300, modifies carbon based mask layer 224 and lose Carve BARC layer (step 108).In this example, make comprising 50sccmN2、15sccm O2With the trim gas stream of 150sccm He Enter plasma processing chamber, the pressure of 5 millitorrs is provided.Plasma power source 306 provides 900 watts of TCP power.It can repair BARC layer 220 is etched before or after synchronizing is rapid.The example of BARC etch makes 15sccm O in the case where pressure is 8 millitorr2、 5sccm CH4With 50sscm Cl2BARC etch gas flow into plasma processing chamber 304.Plasma power source 306 provides 400 watts of TCP power.The bias voltage of 60V is provided.Fig. 2 B be finishing carbon based mask layer 224 and etch BARC layer 220 it The sectional view of stack 200 afterwards.
Carry out deposited oxide silicon base layer (step 112) using atomic layer deposition.Fig. 5 be atomic layer deposition (step 112) more Detailed flow chart.Atomic layer deposition (step 112) includes multiple circulations, wherein each circulation includes silicon precursor deposition (absorption) Stage (step 504) and oxygen deposition (precursor oxidation) stage (step 508).Fig. 6 is the more detailed of precursor deposition stage (step 504) Thin flow chart.It is introduced by the steam being withdrawn from the container containing silicon precursor, which keeps consistent to ensure at a certain temperature Ground flows into (step 604) in plasma processing chamber 304.It in this example, is that amino silane BTBAS is (double containing silicon precursor gas (tert-butyl butylamino) silane).Other precursors can also work, such as H2Si[N(C2H5)2]2(SAM24).Without it is equal from While daughter by siliceous precursor deposition on stack (step 608).After 4 seconds, stop the flowing (step containing silicon precursor 612).Fig. 7 is oxygen deposition (SiO2Formed) the more detailed flow chart (step 508) in stage.Ozone gas is set to flow into plasma (step 704) in body process chamber 304.In this example, make > the O of 50sccm3Flow into process chamber 304.Pressure is maintained at > 100 millis Support.Ozone gas is deposited on (step 708) on stack while no plasma.After 2 seconds, stop the flowing of ozone (step 712).Fig. 2 C is come deposited oxide silicon base layer 228 in the circulation for passing through specific times by atomic layer deposition to reach mesh Mark the sectional view of the stack 200 after the ALD oxide of thickness.
Oxidation silicon base layer 228 is partially etched or shapes (step 116).Fig. 8 is the step of part etching oxidation silicon base layer 228 Rapid more detailed flow chart.Shaping gas is set to flow into 304 (step 804) of plasma processing chamber.In this example, gas is shaped Body includes the CF for flowing into the 100sccm of process chamber 3044, 50sccm CHF3With the O of 9sccm2.Pressure is maintained at 5 millitorrs.By at Shape gas forms plasma (step 808).In order to make shaping gas form plasma, 600 watts are provided at 13.56 mhz TCP RF power.Bias voltage maintains 60V.At the top of carbon line and the SiO of area of space2The horizontal component of layer removes SiO2Later, the flowing of shaping gas is made to stop (step 812).Fig. 2 D be to oxidation silicon base layer 228 be etched or Shape the sectional view of the stack 200 after (step 120).As shown, the horizontal surface of oxidation silicon base layer 228 is etched away, To expose carbon based mask layer 224.Remaining oxidation silicon base layer 228 is formed between side wall on the side of carbon based mask layer 224 Parting.
Removal or removing carbon mask (step 120).In this example, treatment conditions are provided under the chamber pressure of 10 millitorrs 150sccm O2With the mask stripping gas of 150sccm Ar.By providing 600 watts of TCP power, make mask stripping gas shape As plasma.Fig. 2 E is the sectional view of the stack 200 after removing carbon based mask layer.In this embodiment, carbon The removing of mask layer also removes remaining BARC layer.Remaining oxidation silicon base layer 228 provides the density that density is carbon mask Twice of pattern.
Amorphous carbon layer 212 and hard mask layer 216 can after the removing of carbon mask in-situ etch.Si (layer 216) etch process Example 50sccm CF is provided under the pressure of 5 millitorrs4With the Si etching gas of 50sccm Ar.Have 100V inclined by providing 500 watts of TCP power sources for setting voltage make Si etching gas form plasma.The example of the method for a-C (layer 212) is etched 8 80sccm SO is provided under the pressure of millitorr2With 90sccm O2Amorphous carbon etching gas.By providing 800 watts of TCP power, The bias voltage of 350V makes amorphous carbon etching gas form plasma.It (is in this example Si for etching etching layer 208 Film) formula: under the pressure of 25 millitorrs, the TCP power of 350W and the bias voltage of 300V, 500sccm HBr, 500sccm He, 15sccm O2.Etch 208 (step 124) of etching layer.Fig. 2 E is the section of the stack 200 after etching etching layer Figure.
Obtained stack has the feature etched in etching layer 208, and density is the original graph of carbon based mask layer Twice of case.This method and device make it possible in the case where not moving stack in the same process chamber on same chuck into Row is used for the ALD and etching that feature is doubled and etched.
In general, such as CF4Etc fluorocarbon gas can be used for part etching oxidation silicon base layer.In a variety of embodiment party In case, carbon based layer can be amorphous carbon, organic material or photoresist.
In various embodiments, etching layer 208 may include multiple layers, and multiple layers include another carbon based layer.Silica Base 228 may be used as the mask for etching carbon based layer.Silicon base 228 can be gone to, and can be provided by ALD Another oxidation silicon base layer.Silicon oxide layer can be partly etched and remove carbon based layer, be four times in original graph to provide and have The pattern mask of the density of case.Plasma can be used for ALD by such subsequent ALD technique.The device is capable of providing nothing The ALD of plasma and ALD with plasma.
Although describing the present invention according to several preferred embodiments, in the presence of what is fallen within the scope of the present invention Changes, modifications, displacement and various substitution equivalent programs.It shall also be noted that there is many for realizing methods and apparatus of the present invention Alternative.Therefore, claims appended below is intended to be interpreted as including and fall in the true spirit and scope of the present invention All such changes, modifications, displacement and various substitution equivalent programs.

Claims (17)

1. a kind of method for handling the stack with carbon-based pattern mask comprising:
The stack is placed in etching chamber;
By atomic layer deposition, silicon oxide layer deposited on the carbon-based pattern mask is circulated in via offer is multiple, without disappearing Consume or corrode the carbon-based pattern mask, wherein each circulation in the multiple circulation includes:
The silicon precursor depositional phase is provided comprising:
The atomic layer deposition precursor gas comprising siliceous ingredient is set to flow into the etching chamber, wherein the atomic layer deposition precursor gas Body is deposited on the carbon-based pattern mask while no plasma;And
Stop the flowing of the atomic layer deposition precursor gas;And
The oxygen deposition stage is provided comprising:
So that ozone gas is flowed into the etching chamber, wherein the ozone gas while no plasma with the institute that is deposited Precursor gases combination is stated, and
The flowing for making the ozone gas flow into the etching chamber stops;
Etch a part of the silicon oxide layer comprising:
The shaping gas comprising fluorocarbon is set to flow into the etching chamber;
The shaping gas is set to be formed as plasma, to etch the silicon oxide layer;And
Stop the flowing of the shaping gas;And
The stack is removed from the etching chamber.
2. according to the method described in claim 1, it further includes being placed in the etching chamber later simultaneously by the stack And before the silicon oxide layer is deposited on the carbon-based pattern mask, the carbon-based pattern mask is modified.
3. according to the method described in claim 2, its further include:
The carbon-based pattern mask is removed after etching the silicon oxide layer;And
Before removing the stack after removing the carbon-based pattern mask and from the etching chamber, it is etched in described Etching layer below silicon oxide layer.
4. according to the method described in claim 3, wherein, the carbon-based pattern mask includes amorphous carbon, organic material or light Cause at least one of resist.
5. according to the method described in claim 4, wherein, BARC layer below the carbon-based pattern mask, further include Before the silicon oxide layer is deposited on above the carbon-based pattern mask, the BARC layer is etched.
6. according to the method described in claim 5, wherein, the siliceous ingredient of the atomic layer deposition precursor gas is amino Silane BTBAS (bis- (tert-butyl butylamino) silane) or H2Si[N(C2H5)2]2
7. according to the method described in claim 6, wherein, the flowing offer that the ozone gas flows into the etching chamber is greater than The pressure of 100 millitorrs.
8. according to the method described in claim 1, its further include:
The carbon-based pattern mask is removed after etching the silicon oxide layer;And
Before removing the stack after removing the carbon-based pattern mask and from the etching chamber, it is etched in described Etching layer below silicon oxide layer.
9. according to the method described in claim 1, wherein, the carbon-based pattern mask includes amorphous carbon, organic material or light Cause at least one of resist.
10. according to the method described in claim 1, wherein, BARC layer below the carbon-based pattern mask, further include Before the silicon oxide layer is deposited on above the carbon-based pattern mask, the BARC layer is etched.
11. according to the method described in claim 1, wherein, the siliceous ingredient of the atomic layer deposition precursor gas is amino silicone Alkane BTBAS (bis- (tert-butyl butylamino) silane) or H2Si[N(C2H5)2]2
12. according to the method described in claim 1, wherein, the ozone gas flows into the flowing in the etching chamber and provides greatly In the pressure of 100 millitorrs.
13. a kind of device for the etching layer in etch stack part, wherein the etching layer is under carbon-based pattern mask Side, described device include:
Process chamber;
In the indoor substrate support of processing;
Air inlet is used to provide the processing gas in the process chamber;
Gas source is used to the processing gas being provided to the air inlet, wherein the gas source includes:
Ozone source;
Atomic layer deposition precursor silicon-containing gas source;With
Shaping gas source;
Emptying pump is used for from the process chamber gas bleeding;
Lower electrode;
Electrode or coil;
At least one power source is used to provide power to the lower electrode and the electrode or coil;With
It is connected to the controller of the gas source He at least one power source with can control, wherein the controller includes:
At least one processor;With
Computer-readable medium comprising:
Computer-readable code for following operation: by atomic layer deposition, the carbon-based figure is circulated in via offer is multiple Silicon oxide layer deposited on case mask, wherein each circulation in the multiple circulation includes:
The silicon precursor depositional phase is provided comprising:
The atomic layer deposition precursor gas comprising siliceous ingredient is set to flow into the etching chamber, wherein the atomic layer deposition precursor gas Body is deposited on the carbon-based pattern mask while no plasma;And
Stop the flowing of the atomic layer deposition precursor gas;And
The oxygen deposition stage is provided comprising:
So that ozone gas is flowed into the etching chamber, wherein the ozone gas while no plasma with the institute that is deposited Precursor gases combination is stated, and
The flowing for making the ozone gas flow into the etching chamber stops;And
For etching the computer-readable code of the silicon oxide layer comprising:
The shaping gas comprising fluorocarbon is set to flow into the etching chamber;And
The shaping gas is set to be formed as plasma, to etch the silicon oxide layer.
14. device according to claim 13, wherein etch gas source further include:
Trim gas source;
Strip gas source;With
Feature etch gas source.
15. device according to claim 14, wherein the computer-readable medium further include:
For modifying the computer-readable code of the carbon based mask pattern before depositing the atomic layer deposition comprising:
For making trim gas flow into from the trim gas source computer-readable code of the etching chamber;
Cause so that the trim gas is changed into plasma to described for providing power to the electrode or coil The computer-readable code of the finishing of carbon based mask;And
Computer-readable code for stopping the flowing of the strip gas;With
For removing the computer-readable code of the carbon based mask pattern after etching the silicon oxide layer comprising:
For making strip gas enter from the strip gas source stream computer-readable code of the etching chamber;
For providing power to the electrode or coil, the strip gas is made to be changed into plasma, leads to described carbon-based cover The computer-readable code of the removing of mould;And
Computer-readable code for stopping the flowing of the strip gas;With
For etching the computer-readable code of the etching layer after removing the carbon based mask pattern comprising:
For making feature etching gas flow into from the feature etch gas source computer-readable code of the etching chamber;
For providing power to the electrode or coil, so that the feature etching gas is changed into plasma, cause to described The computer-readable code of the etching of etching layer;And
Computer-readable code for stopping the flowing of the feature etching gas.
16. device according to claim 15, wherein atomic layer deposition precursor silicon-containing gas source provides amino silane BTBAS (bis- (tert-butyl butylamino) silane) or H2Si[N(C2H5)2]2
17. device according to claim 16, wherein BARC layer is also wrapped in the lower section of the carbon-based pattern mask Include the computer for etching the BARC layer before the silicon oxide layer is deposited on the carbon-based pattern mask Readable code.
CN201880025529.5A 2018-03-01 2018-03-01 The deposition based on silicon for semiconductor processes Pending CN110520964A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2018/020476 WO2019168535A1 (en) 2018-03-01 2018-03-01 Silicon-based deposition for semiconductor processing

Publications (1)

Publication Number Publication Date
CN110520964A true CN110520964A (en) 2019-11-29

Family

ID=67806375

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880025529.5A Pending CN110520964A (en) 2018-03-01 2018-03-01 The deposition based on silicon for semiconductor processes

Country Status (3)

Country Link
KR (1) KR102626483B1 (en)
CN (1) CN110520964A (en)
WO (1) WO2019168535A1 (en)

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8592318B2 (en) * 2007-11-08 2013-11-26 Lam Research Corporation Pitch reduction using oxide spacer
US8501637B2 (en) * 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
US8197915B2 (en) * 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
US9390909B2 (en) * 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
WO2012165166A1 (en) * 2011-06-03 2012-12-06 株式会社日立国際電気 Method for manufacturing semiconductor device, substrate processing method, and substrate processing apparatus
US20130217240A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
CN104253027B (en) * 2013-06-26 2017-08-25 中芯国际集成电路制造(上海)有限公司 Double-pattern and forming method thereof
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
TWI693295B (en) * 2015-02-06 2020-05-11 美商諾發系統有限公司 Conformal deposition of silicon carbide films
US9711359B2 (en) * 2015-08-13 2017-07-18 Lam Research Corporation Shadow trim line edge roughness reduction
US9972502B2 (en) * 2015-09-11 2018-05-15 Lam Research Corporation Systems and methods for performing in-situ deposition of sidewall image transfer spacers
US9824893B1 (en) * 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications

Also Published As

Publication number Publication date
KR20200118354A (en) 2020-10-15
WO2019168535A1 (en) 2019-09-06
KR102626483B1 (en) 2024-01-17

Similar Documents

Publication Publication Date Title
TWI774742B (en) Atomic layer etching of silicon nitride
CN101523567B (en) De-fluoridation process
US20190189447A1 (en) Method for forming square spacers
KR101779112B1 (en) Method of forming semiconductor patterns
TW201841256A (en) Hydrogen activated atomic layer etching
CN101971291B (en) Double mask self-aligned double patterning technology (sadpt) process
CN101779276B (en) Mask trimming
TWI735522B (en) Hybrid stair-step etch
CN101779277B (en) Method and equipment for etching dielectric layer arranged below the reflector
CN101292197A (en) Etch features with reduced line edge roughness
US9257300B2 (en) Fluorocarbon based aspect-ratio independent etching
US10658194B2 (en) Silicon-based deposition for semiconductor processing
CN101278381A (en) Vertical profile fixing
TW201801184A (en) Method for etching features in dielectric layers
CN107919264B (en) Method for selectively etching silicon oxide with respect to organic mask
KR20140082575A (en) In-situ metal residue clean
TWI591719B (en) Controlled gas mixing for smooth sidewall rapid alternating etch process
CN115298798A (en) Method of conditioning a plasma processing chamber
TWI591721B (en) Method for providing vias
CN102903609A (en) Method of hard mask CD control by Ar sputtering
CN110520964A (en) The deposition based on silicon for semiconductor processes
US20220301853A1 (en) Method for etching features using a targeted deposition for selective passivation
TWI768026B (en) Silicon-based deposition for semiconductor processing
CN109997212B (en) Method for generating vertical profile in organic layer etching
CN107785253B (en) Line edge roughness surface modification using side sputtering

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination