CN102760646A - 对于具有三层掩膜的蚀刻线弯曲和倾斜的预防 - Google Patents

对于具有三层掩膜的蚀刻线弯曲和倾斜的预防 Download PDF

Info

Publication number
CN102760646A
CN102760646A CN2012101293551A CN201210129355A CN102760646A CN 102760646 A CN102760646 A CN 102760646A CN 2012101293551 A CN2012101293551 A CN 2012101293551A CN 201210129355 A CN201210129355 A CN 201210129355A CN 102760646 A CN102760646 A CN 102760646A
Authority
CN
China
Prior art keywords
mask
etching
organic
layer
hard mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012101293551A
Other languages
English (en)
Other versions
CN102760646B (zh
Inventor
安云今
竹下健二
高桥仁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102760646A publication Critical patent/CN102760646A/zh
Application granted granted Critical
Publication of CN102760646B publication Critical patent/CN102760646B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种对于具有三层掩膜的蚀刻线弯曲和倾斜的预防。提供了一种在蚀刻层上蚀刻特征的方法。用硬掩膜作为蚀刻掩膜,蚀刻有机掩膜层。通过针对所述有机掩膜和蚀刻层选择性地蚀刻所述硬掩膜,从而去除硬掩膜。用所述有机掩膜作为蚀刻掩膜,在所述蚀刻层上蚀刻特征。

Description

对于具有三层掩膜的蚀刻线弯曲和倾斜的预防
背景技术
本发明涉及在半导体装置生产期间通过掩膜蚀刻蚀刻层。更具体地说,本发明涉及使用三层掩膜进行的蚀刻。
发明内容
为了实现前述的内容并依据本发明的目的,提供了一种在蚀刻层上蚀刻特征的方法。使用硬掩膜作为蚀刻掩膜,蚀刻有机的掩膜层。通过针对有机掩膜和蚀刻层选择性地蚀刻硬掩膜,去除硬掩膜。使用有机掩膜作为蚀刻掩膜,将特征蚀刻在蚀刻层上。
在本发明的另一个公开声明中,提供了一种在蚀刻层上蚀刻特征的方法,蚀刻层置于有机掩膜层下面,有机掩膜层置于硬掩膜层下面,硬掩膜层置于图案化光刻胶掩膜下面。使用图案化光刻胶掩膜作为蚀刻掩膜蚀刻硬掩膜层以形成硬掩膜。使用硬掩膜作为蚀刻掩膜蚀刻有机掩膜层,包括提供无氢有机蚀刻气体,使无氢有机蚀刻气体形成等离子体,以及使用等离子体蚀刻有机掩膜。通过针对有机掩膜和蚀刻层选择性地蚀刻硬掩膜以去除硬掩膜。使用有机掩膜作为蚀刻掩膜,在蚀刻层上蚀刻特征。
本发明的这些和其他特征将在本发明的具体实施方式中结合附图更具体地进行说明。
附图说明
在附图中,本发明是通过示例的方法而不是通过约束的方法来说明,附图中类似的参考数字指代类似的元素,其中:
图1是本发明的实施方式的流程图
图2A-E是按照本发明的实施方式而被处理的堆叠的示意图。
图3是可以用来进行蚀刻的等离子处理室的示意图。
图4图示了计算机系统,该计算机系统适用于在本发明的实施方式中起到控制器的作用。
图5是使用含氢蚀刻气体进行处理的堆叠。
图6A-B是没有首先去除硬掩膜而被处理的堆叠。
具体实施方式
现在将参考如附图中所阐释的本发明的一些优选的实施方式详细描述本发明。在以下的描述中,提出许多具体细节以便对本发明有一个彻底的理解。然而对本领域技术人员而言,显而易见,没有这些具体细节的一些或者全部本发明也可以实现。在其它示例中,没有详细描述公知的工艺步骤和/或结构以免不必要地使本发明难以理解。
为了便于理解,图1是用于本发明的实施方式中的处理过程的高阶流程图。提供了在蚀刻层中蚀刻特征的方法。将具有蚀刻层的晶片放入等离子处理室中,蚀刻层在有机层下面,有机层在硬掩膜层下面,硬掩膜层在光刻胶掩膜下面(步骤104)。使用光刻胶掩膜作为蚀刻掩膜蚀刻硬掩膜层(步骤108)。使用硬掩膜作为蚀刻掩膜蚀刻有机掩膜层(步骤112)。优选地,使用无氢蚀刻气体蚀刻有机掩膜层。选择性地去除硬掩膜(步骤116)。然后蚀刻蚀刻层(步骤120)。将晶片从等离子体处理室中去除(步骤124)。
实施例
在本发明的具体的实施例中,将具有蚀刻层的晶片放入等离子处理室,蚀刻层在有机层下面,有机层在硬掩膜层下面,硬掩膜层在光刻胶掩膜下面(步骤104)。图2A是具有光刻胶掩膜216的堆叠200的横截面示意图,其中光刻胶掩膜216在硬掩膜层212上形成,硬掩膜层212在有机掩膜层210上形成,有机掩膜层210在蚀刻层208上形成,蚀刻层208在基底204上形成。诸如抗反射层之类的一个或者多个附加层可置于这个实施例中所述的层之间。另外,一个或多个层可置于蚀刻层208和基底204之间。蚀刻层208可以是诸如二氧化硅,氮化硅,氮氧化硅,或者低k介电材料制成的介电层,或者蚀刻层208也可以是诸如硅之类的导体。在这个实施例中,蚀刻层是氧化硅。在这个实施例中需要注意的是,图案化光刻胶掩膜形成了多个窄线220和宽线224。在这个实施例中,宽线224的宽度比窄线220的宽度宽至少四倍。
图3是等离子处理室300的示意图,其可以在以下一个或多个步骤中使用等离子处理室300。等离子处理室300包括约束环302(但是其他的实施方式可以用其他的约束装置),上部电极304,下部电极308,气体源310和排气泵320。在等离子体处理室300内部,基底204放在下部电极308上面。下部电极308包括合适的基底卡紧装置(例如,静电的机械夹具或者类似物)用来控制基底204。反应器顶308包括上部电极304,上部电极304直接置于下部电极308的对面。上部电极304,下部电极308和约束环302限定了约束等离子体容积340。气体从气体源310通过气体入口343供应给约束等离子体容积340,并且通过排气泵320经由约束环302和排气孔从约束等离子体容积排出。排气泵320除了有助于排除气体外,还有助于调节压强。在这个实施方式中,气体源310包括硬掩膜蚀刻气体源312,有机层蚀刻气体源314,硬掩膜去除气体源316和蚀刻层蚀刻气体源318。气体源310可进一步包括其他气体源。射频电源348与下部电极308电连接。室壁352围绕约束环302,上部电极304和下部电极308。可存在连接射频电源和电极的不同组合方式。在优选实施方式中,27兆赫兹、60兆赫兹和2兆赫兹的功率源组成与下部电极连接的射频电源348,并且上部电极接地。控制器335可控地连接到射频电源348、排气泵320和气体源310。处理室可以是电容耦合等离子体反应器(CCP)或者电感耦合等离子体反应器(ICP)。
图4是示出了计算机系统400的高阶的方框图,适合在本发明的实施方式当中使用控制器335。所述计算机系统可以有许多实物形态,从集成电路,印刷电路板和小型的手持设备直至巨型的超级计算机。计算机系统400包括一个或者多个处理器402,并且还能包括电子显示设备404(用来显示图象,文本和其他数据),主存储器(例如,随机存取存储器(RAM)),存储设备408(例如,硬盘驱动器),移动式存储设备410(例如,光盘驱动器),用户接口设备412(例如,键盘,触摸屏,数字小键盘,鼠标或者其他指点器等等)和通信接口414(例如,无线网络接口)。通信接口414允许软件和数据通过链接在计算机系统400和外部设备之间传输。所述系统还可包括通信基础设施416(例如,通信总线,交叉杆或者网络),上述的装置/模块都和所述通信基础设施416连接。
通过通信接口414传输的信息可以是能通过携带信号的通信链接由通信接口414接收的诸如电子信号,电磁信号,光学信号或者其他信号等信号的形式存在,并且可以使用电线或者电缆、光纤、电话线、移动电话连接、射频连接和/或其他通信信道实现的。使用这样的通信接口,可以预期在实行上述方法步骤的过程中,一个或者多个处理器402可以从网络接收信息,或者可以向网络输出信息。而且,本发明的方法实施方式可以在处理器上单独实行或者可以在网络上实行,所述网络诸如和远程处理器连接的互联网,所述远程处理器分享处理过程的部分。
术语“非瞬时性计算机可读介质”一般被用来指诸如以下媒介:主存储器,辅助存储器,可移动存储器和存储装置诸如硬盘,闪速存储器,硬盘驱动存储器,CD-ROM和其他形式的持久性存储器,并且不应当解释为暂时的主题,诸如载波或者信号。计算机编码的实施例包括诸如由编译程序产生的机器编码,以及包含通过计算机使用翻译器执行的更高阶的编码的文档。计算机可读介质也可以是用包含在载波当中的计算机数据信号传输的并且代表可由处理器执行的一系列指令的计算机编码。
等离子处理室300可以将图案从光刻胶掩膜216传输到硬掩膜层212(步骤108)。图2B是已经蚀刻掉硬掩膜210后堆叠200的横截面示意图。通常,硬掩膜蚀刻气体从硬掩膜蚀刻气体源312流入等离子处理室。硬掩膜蚀刻气体形成等离子体,从而蚀刻硬掩膜层。硬掩膜蚀刻气流然后停止。
然后将图案从硬掩膜212传输到有机掩膜层210,形成图案化的有机掩膜(步骤112)。本发明的优选实施方式当中,有机掩膜的蚀刻包括:将来自有机蚀刻气体源314的无氢有机蚀刻气体注入等离子体处理室,使有机蚀刻气体形成等离子体,用等离子体蚀刻有机掩膜层,然后停止有机蚀刻气体流。更优选地,所述无氢蚀刻气体包括氧硫化碳(COS)、一氧化碳(CO)、二氧化碳(CO2)或者氮气(N2)中的至少一种。更优选地,所述有机蚀刻气体包括COS。一种蚀刻有机掩膜的特定配方提供有10毫托的压强。含100sccm的N2、50sccm的O2和15sccm的COS的无氢蚀刻气体流入等离子体处理室中,中部气体占比重为50%,其中无氢蚀刻气体的50%在中部流入,另外的50%在边缘流入。提供800瓦60兆赫兹的射频。在熄灭等离子体和停止无氢蚀刻气体流之前处理过程持续20秒。另一个实施例配方提供了15毫托的压强。含100sccm的氧气和10sccm的COS的无氢蚀刻气体流入等离子体处理室。提供800瓦60兆赫兹的射频。在熄灭等离子体和停止无氢蚀刻气体流之前处理过程持续50秒。图2C是有机掩膜层210已经蚀刻后堆叠200的横截面示意图。
有选择性地去除硬掩膜212(步骤116)。优选地,用低偏压去除硬掩膜212以便蚀刻最小数量的蚀刻层。优选地,偏电压低于500伏特。更优选地,偏压低于200伏特。优选地,针对蚀刻层,采用至少2∶1的选择比有选择性地蚀刻硬掩膜。更优选地,选择比是至少是4∶1。通常,硬掩膜去除气体从硬掩膜去除气体源316流入等离子体处理室。硬掩膜去除气体形成等离子体,该等离子体去除硬掩膜。然后,停止硬掩膜去除气体流。用于去除硬掩膜的实施例配方提供了70毫托的压强。含80sccm的四氟甲烷(CF4)和100sccm的三氟甲烷(CHF3)的硬掩膜去除气体流入等离子体处理室,中部气体比重占50%。提供800瓦60兆赫兹的射频。在熄灭等离子体和停止硬掩膜去除气体流之前处理过程持续10秒。图2D是去除硬掩膜后堆叠200的横截面示意图。硬掩膜的选择性去除完全去除了硬掩膜。通过只提供60兆赫兹的射频功率,偏置功率保持足够低以使在没有显著蚀刻蚀刻层的情况下去除硬掩膜。
使用有机掩膜作为蚀刻掩膜蚀刻蚀刻层(步骤120)。通常,让蚀刻层蚀刻气体从蚀刻层蚀刻气体源318流入等离子体处理室。蚀刻层蚀刻气体形成等离子体以蚀刻蚀刻层。停止蚀刻层蚀刻气体流。在这个实施方式中,当蚀刻层是氧化硅基蚀刻层的时候,使用针对有机材料选择性地蚀刻二氧化硅的蚀刻方法。这样配方的一个实施例给等离子体处理室提供了30毫托的压强。含300sccm的氩气(Ar)、12sccm的八氟环丁烷(C4F8)和16sccm的氧气的蚀刻层蚀刻气体流入等离子体处理室中,中部气体重量占35%,其中占蚀刻层蚀刻气体重量的35%从中部流入和65%从边缘流入。提供900瓦60兆赫兹的射频,以及提供900瓦27兆赫兹的射频,以及提供600瓦2兆赫兹的射频。图2E是已经蚀刻蚀刻层208后的堆叠200的横截面示意图。优选地,对于窄线220来说,有小于50nm的关键尺寸(CD);对于宽线224来说,有大于300nm的CD。更优选地,宽窄线都有不超过30nm的CD。另外,窄线有高宽比,即线的高度和宽度之比。优选地,窄线的高宽比至少为2∶1。更优选地,窄线的高宽比至少为4∶1。
将晶片从等离子处理室去除(步骤124)。在本发明的这个实施方式当中,等离子体处理室可以用于以下步骤:蚀刻硬掩膜,蚀刻有机掩膜层,去除硬掩膜和蚀刻蚀刻层。在其他实施方式中,可能会将不同的等离子体处理室用于不同的蚀刻步骤。
本发明提供的一个好处是本发明减少了倾斜。另外,本发明减少了窄线靠近宽线处的倾斜。当特征尺寸减少和高宽比增加的时候,线的弯曲/倾斜在线和空间的蚀刻应用中成为了一个严重的问题,特别是对于具有三层(光刻胶掩膜层/硬掩膜层/有机掩膜层)的正紧靠宽线的第一窄线。不受理论的束缚,普遍认为靠近宽线的线的弯曲/倾斜是来自宽线到第一窄线的几何加载作用(loading effect)。
图5是具有基底504,蚀刻层508,有机掩膜层510以及具有窄线520和宽线524的硬掩膜512的堆叠500的示意图。用提供蚀刻掩膜的硬掩膜层512蚀刻有机层510,其中显示了有机蚀刻等离子体离子/自由基流528,其中基于氢的有机蚀刻层蚀刻化学物形成有机蚀刻等离子体。离子/自由基流528显示,可提供均匀的离子/自由基流528,从而在窄线520上提供均匀的离子/自由基流528。在宽线524上时,离子/自由基流528侧向流入以致于更多数量的离子/自由基流流入宽线524和最靠近宽线524的窄线520之间的空间。在宽线和最靠近宽线524的窄线520之间的空间使得在最靠近宽线524的窄线520的一边更快速蚀刻最靠近宽线524的窄线520,从而引起最靠近宽线524的窄线520面向宽线524弯曲或者倾斜,这证实了如同所示的几何加载作用。基于氢的有机层蚀刻化学物因为低硬掩膜选择比引起弯曲/倾斜,从而导致朝向第一单元线侧壁的显著的离子攻击。本发明提供了将几何加载作用最小化的方法。意外地发现,通过提供无氢有机蚀刻气体,可以成功减少几何加载作用。通常认为去除氢减少了来自有机蚀刻气体的等离子体对侧壁的攻击。
本发明的实施方式可以使用含氢气体,以在使用无氢有机蚀刻气体对有机层进行随后的蚀刻之前形成钝化侧壁。本发明的使用包含COS的蚀刻气体的另一实施方式被意外地发现可以阻止有机层的侧壁附着,从而阻止弯曲或者倾斜,并且不要求形成钝化侧壁。
图6A是堆叠600的示意图,堆叠600具有基底604,蚀刻层608,有机掩膜层610,和具有窄线620和宽线624的硬掩膜612。已使用提供有蚀刻掩膜的硬掩膜层612蚀刻有机层610,其中阻止了弯曲或者倾斜。离子和聚合物流628使用硬掩膜612和有机掩膜610作为蚀刻掩膜蚀刻蚀刻层608。可提供均匀的离子和聚合物流628,从而在窄线620上提供均匀的离子和聚合物流628。在宽线624上,离子和聚合物流628从侧向流入以致更多数量的离子和聚合物流流入宽线624和最靠近宽线624的窄线620之间的空间。位于宽线和最靠近宽线624的窄线620之间的空间内的这更多数量的离子和聚合物流628使得在最靠近宽线624的窄线620的一边更快速蚀刻最靠近宽线624的窄线620并且沉积更多的聚合物,从而引起最靠近宽线624的窄线620面向宽线624弯曲或者倾斜,这证实了如同图6B中所示的几何加载作用。如同所示,靠近宽线624产生的特征由于弯曲逐渐减少。本发明提供了将几何加载作用最小化的方法。意外地发现,通过在蚀刻蚀刻层之前去除硬掩膜,减少了几何加载作用。人们认为,去除硬掩膜减少了来自宽线的离子散射,从而减少或者消除了弯曲或者倾斜。
通常,制成硬掩膜层的材料比制成有机掩膜层的材料更耐蚀刻。这样的硬掩膜层可以是非晶碳。更优选地,硬掩膜层用无机材料制成。更优选地,硬掩膜层用含硅材料制成。更优选地,硬掩膜层用氧化硅或者氮化硅基材料制成更好。最优选地,硬掩膜是通过旋制氧化硅制成的。
尽管本发明已依据几个优选的具体实施方式进行了描述,但是存在落入本发明范围之内的改变、组合、和替代等同方式。需要注意的是,有许多实施本发明中的方法和装置的可供选择的方式。因此,意图将下述所附权利要求解释为落入本发明的真实意旨和范围内的改变、组合、和替代等同方式。

Claims (19)

1.在蚀刻层上蚀刻特征的方法,包括:
使用硬掩膜作为蚀刻掩膜,蚀刻有机掩膜层;
针对所述有机掩膜和蚀刻层通过选择性地蚀刻所述硬掩膜去除所述硬掩膜;
使用所述有机掩膜作为蚀刻掩膜,在所述蚀刻层上蚀刻特征。
2.如权利要求1中所述的方法,其中所述去除所述硬掩膜使用小于200伏特的偏压。
3.如权利要求1中所述的方法,其中所述蚀刻所述有机掩膜,使用所述硬掩膜作为蚀刻掩膜,包括:
提供无氢有机蚀刻气体;
使所述无氢有机蚀刻气体形成等离子体;以及
使用所述等离子体蚀刻所述有机掩膜。
4.如权利要求3中所述的方法,其中将所述硬掩膜置于图案化光刻胶掩膜下面,进一步包括通过所述图案化光刻胶掩膜蚀刻所述硬掩膜。
5.如权利要求4中所述的方法,其中所述有机蚀刻气体包括COS或者CO2
6.如权利要求5中所述的方法,其中所述有机蚀刻气体进一步包括CO或N2
7.如权利要求6中所述的方法,进一步包括:
提供侧壁形成气体,该气体包括含氢成分;
使所述侧壁形成气体形成等离子体;以及
在蚀刻有机掩膜之前停止所述侧壁形成气体流。
8.如权利要求4中所述的方法,其中所述硬掩膜包括氧化硅。
9.如权利要求4中所述的方法,其中所述硬掩膜通过旋制氧化硅形成。
10.如权利要求4中所述的方法,其中所述蚀刻层是二氧化硅基层。
11.如权利要求4中所述的方法,其中所述硬掩膜限定了宽线以及邻近 所述宽线的窄线,并且其中在所述蚀刻所述蚀刻层期间所述去除所述硬掩膜减少倾斜。
12.如权利要求11中所述的方法,其中所述窄线具有小于50nm的CD。
13.如权利要求12中所述的方法,其中从所述蚀刻层形成的所述窄线具有大于2∶1的高宽比。
14.如权利要求4中所述的方法,进一步包括:
提供图案化光刻胶掩膜,该光刻胶掩膜置于硬掩膜上面,该硬掩膜置于有机掩膜层上面,该有机掩膜层置于晶片上的蚀刻层上面,该晶片被放入等离子体处理室中;以及
在该蚀刻层上蚀刻特征后将该晶片从该等离子处理室中去除。
15.如权利要求4中所述的方法,其中所述去除所述无机掩膜使用小于500伏特的偏压。
16.在蚀刻层上蚀刻特征的方法,该蚀刻层置于有机掩膜层下面,该有机掩膜层置于硬掩膜层下面,该硬掩膜层置于图案化光刻胶掩膜下面,包括:
使用所述图案化光刻胶掩膜作为蚀刻掩膜蚀刻所述硬掩膜层从而形成硬掩膜;
使用所述硬掩膜作为蚀刻掩膜蚀刻所述有机掩膜层,包括:
提供无氢有机蚀刻气体;
使无氢有机蚀刻气体形成等离子体;以及
使用所述等离子体蚀刻所述有机掩膜;
针对有机掩膜和蚀刻层通过选择性地蚀刻所述硬掩膜而去除所述硬掩膜;以及
使用所述有机掩膜作为蚀刻掩膜在所述蚀刻层上蚀刻特征。
17.如权利要求14中所述的方法,其中所述去除所述无机掩膜使用小于200伏特的偏压。
18.如权利要求14中所述的方法,其中所述无氢有机蚀刻气体包括COS或者CO2
19.如权利要求16中所述的方法,其中所述无氢有机蚀刻气体进一步包 括CO或者N2。 
CN201210129355.1A 2011-04-28 2012-04-27 对于具有三层掩膜的蚀刻线弯曲和倾斜的预防 Active CN102760646B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/096,697 2011-04-28
US13/096,697 US8946091B2 (en) 2011-04-28 2011-04-28 Prevention of line bending and tilting for etch with tri-layer mask

Publications (2)

Publication Number Publication Date
CN102760646A true CN102760646A (zh) 2012-10-31
CN102760646B CN102760646B (zh) 2016-01-06

Family

ID=47055053

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210129355.1A Active CN102760646B (zh) 2011-04-28 2012-04-27 对于具有三层掩膜的蚀刻线弯曲和倾斜的预防

Country Status (4)

Country Link
US (1) US8946091B2 (zh)
CN (1) CN102760646B (zh)
SG (2) SG10201406998YA (zh)
TW (1) TWI579910B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113053899A (zh) * 2021-03-12 2021-06-29 长鑫存储技术有限公司 半导体结构制作方法及半导体结构

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140342553A1 (en) * 2013-05-14 2014-11-20 United Microelectronics Corp. Method for Forming Semiconductor Structure Having Opening
US9034748B2 (en) * 2013-09-04 2015-05-19 International Business Machines Corporation Process variability tolerant hard mask for replacement metal gate finFET devices
US8916475B1 (en) * 2013-11-01 2014-12-23 United Microelectronics Corp. Patterning method
US9385000B2 (en) * 2014-01-24 2016-07-05 United Microelectronics Corp. Method of performing etching process

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100819647B1 (ko) * 2006-06-27 2008-04-04 주식회사 하이닉스반도체 반도체 소자의 제조 방법
CN101241859A (zh) * 2007-02-06 2008-08-13 东京毅力科创株式会社 等离子体蚀刻方法和装置、控制程序和计算机存储介质
US20090047794A1 (en) * 2007-08-10 2009-02-19 Tokyo Electron Limited Method for manufacturing semiconductor device and storage medium
US20100099044A1 (en) * 2008-10-20 2010-04-22 Shin-Etsu Chemical Co.,Ltd. Method for forming resist underlayer film, patterning process using the same, and composition for the resist underlayer film
CN101800174A (zh) * 2010-02-11 2010-08-11 中微半导体设备(上海)有限公司 一种含碳层的等离子刻蚀方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4254430B2 (ja) * 2003-08-07 2009-04-15 ソニー株式会社 半導体装置の製造方法
US7081413B2 (en) * 2004-01-23 2006-07-25 Taiwan Semiconductor Manufacturing Company Method and structure for ultra narrow gate
GB0405325D0 (en) 2004-03-10 2004-04-21 Koninkl Philips Electronics Nv Trench-gate transistors and their manufacture
US7371509B2 (en) * 2004-05-07 2008-05-13 Micron Technology, Inc. Resist pattern and reflow technology
KR100898678B1 (ko) * 2006-10-31 2009-05-22 주식회사 하이닉스반도체 반도체 소자의 제조방법
US8759228B2 (en) * 2007-10-09 2014-06-24 Micron Technology, Inc. Chemistry and compositions for manufacturing integrated circuits
US8815745B2 (en) * 2008-02-01 2014-08-26 Lam Research Corporation Reducing damage to low-K materials during photoresist stripping
US8062971B2 (en) * 2008-03-19 2011-11-22 Infineon Technologies Ag Dual damascene process

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100819647B1 (ko) * 2006-06-27 2008-04-04 주식회사 하이닉스반도체 반도체 소자의 제조 방법
CN101241859A (zh) * 2007-02-06 2008-08-13 东京毅力科创株式会社 等离子体蚀刻方法和装置、控制程序和计算机存储介质
US20090047794A1 (en) * 2007-08-10 2009-02-19 Tokyo Electron Limited Method for manufacturing semiconductor device and storage medium
US20100099044A1 (en) * 2008-10-20 2010-04-22 Shin-Etsu Chemical Co.,Ltd. Method for forming resist underlayer film, patterning process using the same, and composition for the resist underlayer film
CN101800174A (zh) * 2010-02-11 2010-08-11 中微半导体设备(上海)有限公司 一种含碳层的等离子刻蚀方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113053899A (zh) * 2021-03-12 2021-06-29 长鑫存储技术有限公司 半导体结构制作方法及半导体结构

Also Published As

Publication number Publication date
US20120276747A1 (en) 2012-11-01
SG185200A1 (en) 2012-11-29
US8946091B2 (en) 2015-02-03
TW201250830A (en) 2012-12-16
CN102760646B (zh) 2016-01-06
TWI579910B (zh) 2017-04-21
SG10201406998YA (en) 2015-01-29

Similar Documents

Publication Publication Date Title
CN100524668C (zh) 防止在抗蚀剂剥离过程中对多孔低k材料的损伤的方法
US7429533B2 (en) Pitch reduction
KR101184956B1 (ko) 다수의 마스킹 단계를 이용하여 임계 치수를 감소시키는 방법
CN102760646B (zh) 对于具有三层掩膜的蚀刻线弯曲和倾斜的预防
CN103105744A (zh) 具有减小的线条边缘粗糙度的蚀刻特征
CN100472707C (zh) 移除阻挡层后的无晶片自动清洗
CN104246992A (zh) 等离子体蚀刻前处理光刻胶而形成特征的方法和装置
CN101421830A (zh) 无限选择性的光刻胶掩膜蚀刻
KR101528947B1 (ko) 유전체 에칭에서의 프로파일 제어
CN101278381A (zh) 垂直形貌修整
TWI619169B (zh) 具有對低k膜之減少的損傷之有機遮罩的剝離方法
KR102139380B1 (ko) 제어된 위글링에 의한 에칭을 위한 방법
KR102615854B1 (ko) 다공성 로우-k (low-k) 유전체 에칭
CN107919264A (zh) 有关有机掩模的用于选择性地蚀刻氧化硅的方法
TWI591721B (zh) 用以提供介層窗之方法
CN101903978A (zh) 用于注入光刻胶的保护层
TW201306124A (zh) 藉由氬濺鍍之硬遮罩臨界尺寸控制方法
KR101950046B1 (ko) 웨이퍼 베벨 상의 실리사이드 형성의 완화
KR20120122908A (ko) 3 중층 마스크를 이용하는 에칭에 대한 라인 벤딩 및 틸팅 예방
CN101060080B (zh) 在介电层中蚀刻特征的方法
KR20070046095A (ko) 유전층 에칭 방법
KR20200130475A (ko) 인 시츄 (in situ) 역 마스크 패터닝
CN107785253A (zh) 利用侧边溅射的线边缘粗糙表面改进

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant