TWI579910B - 利用三層遮罩進行蝕刻時對於線彎曲及偏斜之防止 - Google Patents

利用三層遮罩進行蝕刻時對於線彎曲及偏斜之防止 Download PDF

Info

Publication number
TWI579910B
TWI579910B TW101114693A TW101114693A TWI579910B TW I579910 B TWI579910 B TW I579910B TW 101114693 A TW101114693 A TW 101114693A TW 101114693 A TW101114693 A TW 101114693A TW I579910 B TWI579910 B TW I579910B
Authority
TW
Taiwan
Prior art keywords
etching
layer
etch
mask
organic
Prior art date
Application number
TW101114693A
Other languages
English (en)
Other versions
TW201250830A (en
Inventor
吳允鎮
竹下健二
高橋均
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201250830A publication Critical patent/TW201250830A/zh
Application granted granted Critical
Publication of TWI579910B publication Critical patent/TWI579910B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

利用三層遮罩進行蝕刻時對於線彎曲及偏斜之防止
本發明係關於在半導體裝置之生產期間經由一遮罩來蝕刻一蝕刻層。更明確而言,本發明係關於使用一種三層遮罩之蝕刻。
本發明係關於在半導體裝置之生產期間經由一遮罩來蝕刻一蝕刻層。更明確而言,本發明係關於使用一種三層遮罩之蝕刻。
為達成上述且依據本發明之目的,提供一種用以蝕刻一蝕刻層中之特徵部之方法。藉由使用一硬性遮罩作為一蝕刻遮罩來蝕刻一有機遮罩層。藉由選擇性地蝕刻相對於有機遮罩層與蝕刻層之硬性遮罩而移除硬性遮罩。藉由有機遮罩層作為一蝕刻遮罩而在蝕刻層中蝕刻特徵部。
在本發明之另一種表現中,提供一種用以蝕刻一蝕刻層中之特徵部之方法,蝕刻層配置在一有機遮罩層下方,有機遮罩層配置在一硬性遮罩層下方,硬性遮罩層配置在一圖案化之光阻遮罩下方。藉由使用圖案化之光阻遮罩作為一蝕刻遮罩來蝕刻硬性遮罩層以形成一硬性遮罩。藉由使用硬性遮罩作為一蝕刻遮罩來蝕刻有機遮罩層,前述方法包括提供一無氫有機蝕刻氣體,使無氫有機蝕刻氣體形成為一電漿,並藉由使用電漿來蝕刻有機遮罩層。藉由選擇性地蝕刻相對於有機遮罩層與蝕刻層之硬性遮罩來移除硬性遮罩。藉由使用有機遮罩層作為一蝕刻遮罩而在蝕刻層中蝕刻特徵部。
本發明之這些與其他特徵將在本發明之詳細說明中且與下述附圖相關聯地更詳細說明於下。
本發明係經由舉例,而非經由限制而顯示在附圖中,其中相 同的參考數字表示類似的元件。
現在將參考如附圖所顯示之一些較佳實施例來詳細說明本發明。在以下說明中,提出許多具體細節以便提供對本發明之徹底理解。然而,熟習本項技藝者將明白可能在沒有某些或所有這些具體細節的情況下實施本發明。在其他實例中,為了不會不必要地模糊化本發明,並未詳細說明熟知的製程步驟及/或構造。
為了幫助理解,圖1係為使用於本發明之一實施例之製程之高階流程圖。提供一種用以蝕刻一蝕刻層中之特徵部之方法。於步驟104,將具有一蝕刻層之一晶圓設置於一電漿處理容室中,其中蝕刻層位於一有機層之下方,有機層位於一硬性遮罩層之下方,硬性遮罩層位於一光阻遮罩之下方。於步驟108,藉由使用光阻遮罩作為一蝕刻遮罩來蝕刻硬性遮罩層。於步驟112,藉由使用硬性遮罩作為一蝕刻遮罩來蝕刻有機遮罩層。最好是,有機遮罩層之蝕刻使用一無氫蝕刻氣體。於步驟116,選擇性地移除硬性遮罩。接著,於步驟120,對蝕刻層進行蝕刻。於步驟124,將晶圓移離電漿處理容室。
例子
在本發明之一具體例子中,於步驟104,將具有一蝕刻層之一晶圓設置於一電漿處理容室中,其中蝕刻層位於一有機層之下方,有機層位於一硬性遮罩層之下方,硬性遮罩層位於一光阻遮罩之下方。圖2A係為具有一光阻遮罩216之一堆疊200之概要剖面圖,其中光阻遮罩216形成在一硬性遮罩層212上面,硬性遮罩層212形成在一有機遮罩層210上面,有機遮罩層210形成在一蝕刻層208上面,蝕刻層208形成在一基板204上面。一個或多個額外層(例如一抗反射層)可能被配置於這個例子所顯示之這些層之間。此外,一個或多個層可能位於蝕刻層208與基板204之間。蝕刻層208可能是一介電層,例如氧化矽、氮化矽、氮氧化矽或一低k介電材料,或者蝕刻層208可能是一導體,例如矽。於此例子中,蝕刻層係為氧化矽。吾人應注意到於此例子中,圖案化之光阻遮罩形成複數條較窄的線220及一條較寬的線224。於 此例中,較寬的線224具有一寬度,其係比較窄的線220之寬度寬了至少四倍。
圖3係為一種可能用於一個或多個下述步驟之電漿處理容室300之概要視圖。電漿處理容室300包含多個限制環部302(雖然其他實施例可能使用其他限制裝置)、一上電極304、一下電極308、一氣體源310以及一排氣泵320。在電漿處理容室300之內,基板204係被安置在下電極308之上。下電極308併入一適當的基板挾持機構(例如靜電、機械夾箝等等),用於固定基板204。反應器頂端328併入直接配置在下電極308對面之上電極304。上電極304、下電極308以及限制環部302定義受侷限的電漿容積340。氣體係藉由氣體源310而經由一進氣口343被提供至受侷限的電漿容積340,並藉由排氣泵320而經由限制環部302與一排放埠而從受侷限的電漿容積被排放出。除幫忙排放氣體以外,排氣泵320幫忙調整壓力。於本實施例中,氣體源310包含一硬性遮罩蝕刻氣體源312、一有機層蝕刻氣體源314、一硬性遮罩移除氣體源316以及一蝕刻層蝕刻氣體源318。氣體源310可更包含其他氣體源。一RF電源348係電連接至下電極308。容室壁面352包圍限制環部302、上電極304以及下電極308。將射頻(RF)功率連接至電極之不同組合是可能的。在一較佳實施例中,27MHz、60MHz以及2MHz電源組成連接至下電極之RF電源348,且上電極係為接地。一控制器335係可控制地連接至RF電源348、排氣泵320以及氣體源310。處理容室可能是一種CCP(電容耦合電漿)反應器或一種ICP(感應耦合電漿)反應器。
圖4係為顯示一種電腦系統400之高階方塊圖,該電腦系統400係適合於實施使用於本發明之實施例之一控制器335。此電腦系統可具有範圍從積體電路、印刷電路板以及小型手提裝置上至巨大的超級電腦之許多實體形式。電腦系統400包含一個或多個處理器402,且更進一步可包含一電子顯示裝置404(用以顯示圖形、文字及其他資料)、一主記憶體406(例如隨機存取記憶體(RAM))、儲存裝置408(例如硬碟機)、可移除儲存裝置410(例如光 碟機),使用者界面裝置412(例如鍵盤、觸控螢幕、鍵板(keypad)、滑鼠或其他指向裝置等),以及一通訊界面414(例如無線網路界面)。通訊界面414允許軟體與資料經由一鏈路(link)而在電腦系統400與外部裝置之間傳輸。此系統亦可包含一通訊基礎結構416(例如一通訊匯流排、交叉桿(cross-over bar)或網路),前述裝置/模組係連接至此通訊基礎結構416。
經由通訊界面414傳輸之資訊,可能以能夠經由一條傳送信號之通訊鏈路而被通訊界面414所接收之信號之型式(例如電子、電磁、光學或其他信號)存在,並可能藉由使用配線或電纜、光纖、一電話線、一蜂巢式行動電話鏈路、一射頻鏈路及/或其他通訊通道而被實施。具有這種通訊界面,一個或多個處理器402在執行上述方法步驟期間,可能從一網路接收資訊,或可能輸出資訊至網路。再者,本發明之方法實施例可能僅在該等處理器上執行,或可能透過一種與分擔此處理之一部分之遠端處理器相關聯之網路(例如網際網路)來執行。
專門用語「非暫時性電腦可讀取媒體」一般係用以表示例如主記憶體、輔助記憶體、可移除式儲存器、以及儲存裝置(例如硬碟、快閃記憶體、磁碟機記憶體、CD-ROM以及其他形式之持續記憶體)之媒體,且不應被解釋成涵蓋過渡性的主題(例如載波或信號)。電腦碼之例子包含例如由編譯器所產生之機器碼,以及藉由使用解譯器而由電腦所執行之包含較高階碼之檔案。電腦可讀取媒體亦可以是計算機碼,其由在一載波中所包含之一電腦資料信號所傳輸,且為可由一處理器所執行之一連串之指令。
於步驟108,可使用電漿處理容室300來將此圖案從光阻遮罩216轉移至硬性遮罩層212。圖2B係為在硬性遮罩層212已被蝕刻之後的堆疊200之概要剖面圖。一般而言,一硬性遮罩蝕刻氣體係從硬性遮罩蝕刻氣體源312流入電漿處理容室中。硬性遮罩蝕刻氣體係被形成為一種蝕刻硬性遮罩層之電漿。然後,停止硬性遮罩蝕刻氣體之流動。
接著,於步驟112,將此圖案從硬性遮罩層212轉移至有機遮 罩層210,以形成一圖案化之有機遮罩層。在本發明之較佳實施例中,有機遮罩層之蝕刻包括:使一無氫有機蝕刻氣體從有機層蝕刻氣體源314流入電漿處理容室中;從有機蝕刻氣體形成一電漿;以電漿蝕刻有機遮罩層;然後,停止有機蝕刻氣體之流動。無氫蝕刻氣體最好是包含硫化羰(COS)、一氧化碳(CO)、二氧化碳(CO2)或氮(N2)之至少一者。有機蝕刻氣體更好是包含COS。用以蝕刻有機遮罩層之一特殊配方,提供了10毫托之壓力。100 sccm N2、50 sccm O2以及15 sccm COS之無氫蝕刻氣體,係以50%之中心權重流入電漿處理容室中,於此50%之無氫蝕刻氣體係於中心流動,而50%係於邊緣流動。提供60 MHz下RF之800瓦特。在減弱電漿並停止無氫蝕刻氣體之流動之前,使此製程維持持續20秒。在另一例子配方中,提供15毫托之壓力。100 sccm O2與10 sccm COS之無氫蝕刻氣體係流入電漿處理容室中。提供60 MHz下RF之800瓦特。在減弱電漿並停止無氫蝕刻氣體之流動之前,使此製程維持持續50秒。圖2C係為在有機遮罩層210已被蝕刻之後的堆疊200之概要剖面圖。
於步驟116,選擇性地移除硬性遮罩層212。最好是使用低偏壓以移除硬性遮罩層212,俾能蝕刻最小數量之蝕刻層。偏壓最好是小於500伏特。偏壓更好是小於200伏特。最好是利用相對於蝕刻層之至少2:1之選擇比,而選擇性地蝕刻硬性遮罩。此選擇比更好是至少4:1。一般而言,一硬性遮罩移除氣體係從硬性遮罩移除氣體源316流入電漿處理容室中。一電漿係由移除硬性遮罩之硬性遮罩移除氣體所形成。接著,停止硬性遮罩移除氣體之流動。用以移除硬性遮罩之配方之例子提供了70毫托之壓力。80 sccm CF4與100 sccm CHF3之一硬性遮罩移除氣體係以50%之中心權重流入電漿處理容室中。提供於60 MHz下RF之800瓦特。在減弱電漿並停止硬性遮罩移除氣體之流動之前,使此製程維持持續10秒。圖2D係為在移除硬性遮罩之後之堆疊200之概要剖面圖。硬性遮罩之選擇性移除完全地移除硬性遮罩。藉由只提供於60 MHz下之射頻(RF)功率,可維持足夠低的偏壓功率,以在無 須大幅地蝕刻蝕刻層的情況下允許硬性遮罩移除。
於步驟120,藉由使用有機遮罩層作為蝕刻遮罩來蝕刻蝕刻層。一般而言,一蝕刻層蝕刻氣體係從蝕刻層蝕刻氣體源318流入電漿處理容室中。一種電漿係由蝕刻層蝕刻氣體所形成,以蝕刻蝕刻層。停止蝕刻層蝕刻氣體之流動。於蝕刻層係為氧化矽基蝕刻層之本實施例中,係使用選擇性地蝕刻相對於有機物之氧化矽之蝕刻。這種配方之一例子提供了30毫托之壓力給電漿處理容室。300 sccm Ar、12 sccm C4F8以及16 sccm O2之蝕刻層蝕刻氣體,係以蝕刻層蝕刻氣體35%於中心流動而65%於邊緣流動之中心權重流入電漿處理容室中。提供60 MHz下RF之900瓦特,提供27 MHz下RF之900瓦特,且提供2 MHz下RF之600瓦特。圖2E係為在蝕刻層208已被蝕刻之後的堆疊200之概要剖面圖。較窄與較寬的線220、224最好是具有較窄的線220小於50 nm而較寬的線大於300 nm之關鍵尺寸(CD)。更好是這些線具有不超過30 nm之。此外,狹窄線具有一深寬比,其係為線高度與線寬度之比率。狹窄線之深寬比最好是至少2比1。狹窄線之深寬比更好是至少4比1。
於步驟124,將晶圓移離電漿處理容室。於本發明之本實施例中,可使用一電漿處理容室於蝕刻硬性遮罩、蝕刻有機遮罩層、移除硬性遮罩並蝕刻蝕刻層之步驟。在其他實施例中,不同的電漿處理容室可用於不同的蝕刻步驟。
由本發明所提供之一項益處係為本發明減少偏斜。此外,本發明減少與較寬的線鄰接的較窄的線之偏斜。隨著特徵部尺寸減少且深寬比增加,在線與空間蝕刻應用上,特別對於直接鄰接一條具有三層(光阻/硬性遮罩層/有機遮罩層)之較寬的線之第一條較窄的線而言,線彎曲/偏斜變成一項嚴重的問題。在不被理論束縛的情況下,吾人相信線鄰接較寬的線之線彎曲/偏斜係為一種從較寬的線至第一條較窄的線之幾何加載效應。
圖5係為具有一基板504、蝕刻層508、一有機遮罩層510,以及一硬性遮罩層512(具有多條較窄的線520與多條較寬的線524) 之一堆疊500之示意圖。有機遮罩層510係利用提供一蝕刻遮罩之硬性遮罩層512而加以蝕刻,於此顯示有機蝕刻電漿離子/自由基流528,於此有機蝕刻電漿係由一氫基有機蝕刻層蝕刻化學劑所形成。離子/自由基流528顯示可能提供一均勻的離子/自由基流528,這提供在較窄的線520上面之一均勻的離子/自由基流528。在較寬的線524上面,離子/自由基流528斜向一邊地流動,俾能使更大數量之離子/自由基流流入在較寬的線524與最靠近較寬的線524之較窄的線520之間的空間中。在較寬的線與最靠近較寬的線524之較窄的線520之間的空間中的這個更大數量之離子/自由基流,會導致最靠近較寬的線524之較窄的線520更快速地在最靠近較寬的線524之較窄的線520之側邊上被蝕刻,這導致最靠近較寬的線524之較窄的線520朝向較寬的線524彎曲或偏斜,這如顯示的說明幾何加載效應。因為低的硬性遮罩選擇比(其導致對第一條單元線之側壁之巨大的離子侵蝕),所以氫基有機層蝕刻化學劑導致彎曲/偏斜。本發明提供使幾何加載效應最小化之方法。吾人意外地發現到,藉由提供一種無氫有機蝕刻氣體,可成功地降低幾何加載效應。吾人相信氫之移除減少來自有機蝕刻氣體之電漿之側壁侵蝕。
本發明之一個實施例可使用一種含氫氣體,用以在使用一無氫有機蝕刻氣體之有機層之後來的蝕刻之前形成鈍化側壁。使用一種包含COS之蝕刻氣體之本發明之另一實施例,意外地被發現防止有機層之側壁附著,防止彎曲或偏斜且不需要鈍化側壁之形成。
圖6A係為具有一基板604、蝕刻層608、一有機遮罩層610以及一硬性遮罩層612(具有多條較窄的線620與多條較寬的線624)之一堆疊600之示意圖。有機遮罩層610已利用提供一蝕刻遮罩之硬性遮罩層612而被蝕刻,於此防止彎曲或偏斜。一離子及聚合物流628係用於藉由使用硬性遮罩層612與有機遮罩層610作為一蝕刻遮罩來蝕刻蝕刻層608。可能提供一均勻的離子及聚合物流628,這提供在較窄的線620上面之一均勻的離子及聚合物流 628。在較寬的線624上面,離子及聚合物流628斜向一邊地流動,俾能使更大數量之離子及聚合物流流入在較寬的線624與最靠近較寬的線624之較窄的線620之間的空間中。在較寬的線與最靠近較寬的線624之較窄的線620之間的空間中的這個更大數量之離子及聚合物流628,會導致最靠近較寬的線624之較窄的線620更快速地在最靠近較寬的線624之較窄的線620之側邊上被蝕刻,並導致更多聚合物被沈積,這導致最靠近較寬的線624之較窄的線620朝向較寬的線624彎曲或偏斜,這可說明幾何加載效應,如圖6B所示的。如所顯示,與較寬的線624鄰接的所產生之特徵部由於彎曲而逐漸變細。本發明提供使幾何加載效應最小化之方法。吾人意外地發現到,藉由在蝕刻蝕刻層之前移除硬性遮罩,可以降低幾何加載效應。吾人相信硬性遮罩之移除減少來自較寬的線之離子散射,這可減少或消除彎曲或偏斜。
一般而言,硬性遮罩層係由一種比有機遮罩層更能抵抗蝕刻之材料所構成。這種硬性遮罩層可能是非晶質碳。硬性遮罩層較佳是由無機材料所構成。硬性遮罩層更好是由一種含矽材料所構成。硬性遮罩層更好是由氧化矽或氮化矽基材料所構成。硬性遮罩最好是旋塗式玻璃。
雖然已從數個較佳實施例的觀點說明本發明,但存在有落在本發明之範疇之內的改變、互換修改,以及各種替代等效設計。吾人亦應注意存在有實現本發明之方法及設備之許多替代方式。因此,吾人意圖下述的附加申請專利範圍被解釋成包含落在本發明之真實精神與範疇之內的所有這種修改、互換以及各種替代等效設計。
104‧‧‧步驟
108‧‧‧步驟
112‧‧‧步驟
116‧‧‧步驟
120‧‧‧步驟
124‧‧‧步驟
200‧‧‧堆疊
204‧‧‧基板
208‧‧‧蝕刻層
210‧‧‧有機遮罩層
212‧‧‧硬性遮罩層
216‧‧‧光阻遮罩
220‧‧‧線
224‧‧‧線
300‧‧‧電漿處理容室
302‧‧‧限制環部
304‧‧‧上電極
308‧‧‧下電極
310‧‧‧氣體源
312‧‧‧硬性遮罩蝕刻氣體源
314‧‧‧有機層蝕刻氣體源
316‧‧‧硬性遮罩移除氣體源
318‧‧‧蝕刻層蝕刻氣體源
320‧‧‧排氣泵
328‧‧‧反應器頂端
335‧‧‧控制器
340‧‧‧限制的電漿容積
343‧‧‧進氣口
348‧‧‧RF電源
352‧‧‧容室壁面
400‧‧‧電腦系統
402‧‧‧處理器
404‧‧‧電子顯示裝置
406‧‧‧主記憶體
408‧‧‧儲存裝置
410‧‧‧可移除儲存裝置
412‧‧‧使用者界面裝置
414‧‧‧通訊界面
416‧‧‧通訊基礎結構
500‧‧‧堆疊
504‧‧‧基板
508‧‧‧蝕刻層
510‧‧‧有機遮罩層
512‧‧‧硬性遮罩層
520‧‧‧線
524‧‧‧線
528‧‧‧有機蝕刻電漿離子/自由基流
600‧‧‧堆疊
604‧‧‧基板
608‧‧‧蝕刻層
610‧‧‧有機遮罩層
612‧‧‧硬性遮罩層
620‧‧‧線
624‧‧‧線
628‧‧‧離子及聚合物流
圖1係為本發明之一實施例之流程圖。
圖2A-2E係為依據本發明之一實施例而被處理之堆疊之概要視圖。
圖3係為可能用來蝕刻之電漿處理容室之概要視圖。
圖4顯示電腦系統,其係適合於實施使用於本發明之實施例之控制器。
圖5係為利用一種含氫蝕刻氣體所處理的堆疊。
圖6A-6B係為在未先行移除硬性遮罩的情況下被處理之堆疊。
104‧‧‧步驟
108‧‧‧步驟
112‧‧‧步驟
116‧‧‧步驟
120‧‧‧步驟
124‧‧‧步驟

Claims (18)

  1. 一種蝕刻一蝕刻層中之複數個特徵部之方法,包含以下步驟:藉由使用一硬性遮罩作為蝕刻遮罩來蝕刻一有機遮罩層;藉由選擇性地蝕刻相對於該有機遮罩層與該蝕刻層之該硬性遮罩來完全移除該硬性遮罩;藉由使用該有機遮罩層作為蝕刻遮罩來蝕刻該蝕刻層中之特徵部,其中該硬性遮罩定義複數條較寬的線以及複數條與該些較寬的線鄰接的較窄的線,且其中移除該硬性遮罩之步驟減少在蝕刻該蝕刻層之步驟期間之偏斜。
  2. 如申請專利範圍第1項所述之蝕刻一蝕刻層中之複數個特徵部之方法,其中該移除該硬性遮罩之步驟使用小於200伏特之偏壓。
  3. 如申請專利範圍第1項所述之蝕刻一蝕刻層中之複數個特徵部之方法,其中該藉由使用該硬性遮罩作為蝕刻遮罩來蝕刻該有機遮罩層之步驟包含:提供一無氫有機蝕刻氣體;使該無氫有機蝕刻氣體形成為一電漿;以及藉由使用該電漿來蝕刻該有機遮罩層。
  4. 如申請專利範圍第3項所述之蝕刻一蝕刻層中之複數個特徵部之方法,其中該硬性遮罩係被配置在一圖案化之光阻遮罩之下,且該方法更包含經由該圖案化之光阻遮罩蝕刻該硬性遮罩。
  5. 如申請專利範圍第4項所述之蝕刻一蝕刻層中之複數個特徵部之方法,其中該有機蝕刻氣體包含COS或CO2
  6. 如申請專利範圍第5項所述之蝕刻一蝕刻層中之複數個特徵部之方法,其中該有機蝕刻氣體更包含CO或N2
  7. 如申請專利範圍第6項所述之蝕刻一蝕刻層中之複數個特徵部之方法,更包含:提供一側壁形成氣體,其包含一含氫成分;從該側壁形成氣體形成一電漿;以及在蝕刻該有機遮罩層之前,停止該側壁形成氣體之流動。
  8. 如申請專利範圍第4項所述之蝕刻一蝕刻層中之複數個特徵部之方法,其中該硬性遮罩包含氧化矽。
  9. 如申請專利範圍第4項所述之蝕刻一蝕刻層中之複數個特徵部之方法,其中該硬性遮罩係由旋塗式玻璃所形成。
  10. 如申請專利範圍第4項所述之蝕刻一蝕刻層中之複數個特徵部之方法,其中該蝕刻層係為氧化矽基層。
  11. 如申請專利範圍第4項所述之蝕刻一蝕刻層中之複數個特徵部之方法,其中該些較窄的線具有小於50nm之CD。
  12. 如申請專利範圍第11項所述之蝕刻一蝕刻層中之複數個特徵部之方法,其中由該蝕刻層所形成之該些較窄的線具有大於2:1之深寬比。
  13. 如申請專利範圍第4項所述之蝕刻一蝕刻層中之複數個特徵部之方法,更包含以下步驟;提供一圖案化之光阻遮罩,其中該圖案化之光阻遮罩配置在該硬性遮罩上面,該硬性遮罩配置在該有機遮罩層上面,該有機遮罩層配置在位於進入一電漿處理容室中的一晶圓上之該蝕刻層 上面;以及在蝕刻該蝕刻層中之該等特徵部之後,將該晶圓移離該電漿處理容室。
  14. 如申請專利範圍第4項所述之蝕刻一蝕刻層中之複數個特徵部之方法,其中該移除該硬性遮罩之步驟使用小於500伏特之偏壓。
  15. 如申請專利範圍第13項所述之蝕刻一蝕刻層中之複數個特徵部之方法,其中該移除該硬性遮罩之步驟使用小於200伏特之偏壓。
  16. 如申請專利範圍第13項所述之蝕刻一蝕刻層中之複數個特徵部之方法,其中該無氫有機蝕刻氣體包含COS或CO2
  17. 一種用以蝕刻一蝕刻層中之複數個特徵部之方法,該蝕刻層配置在一有機遮罩層之下,該有機遮罩層配置在一硬性遮罩層之下,該硬性遮罩層配置在一圖案化之光阻遮罩之下,該方法包含以下步驟:藉由使用該圖案化之光阻遮罩作為一蝕刻遮罩來蝕刻該硬性遮罩層以形成一硬性遮罩;藉由使用該硬性遮罩作為一蝕刻遮罩來蝕刻該有機遮罩層,包含:提供一無氫有機蝕刻氣體;使該無氫有機蝕刻氣體形成為一電漿;及藉由使用該電漿來蝕刻該有機遮罩層;藉由選擇性地蝕刻相對於該有機遮罩層與該蝕刻層之該硬性遮罩來完全移除該硬性遮罩,其中該硬性遮罩定義複數條較寬的線以及複數條與該些較寬的線鄰接的較窄的線,且其中移除該硬 性遮罩之步驟減少在蝕刻該蝕刻層之步驟期間之偏斜;以及藉由使用該有機遮罩層作為一蝕刻遮罩來蝕刻該蝕刻層中之該等特徵部。
  18. 如申請專利範圍第17項所述之用以蝕刻一蝕刻層中之複數個特徵部之方法,其中該無氫有機蝕刻氣體更包含CO或N2
TW101114693A 2011-04-28 2012-04-25 利用三層遮罩進行蝕刻時對於線彎曲及偏斜之防止 TWI579910B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/096,697 US8946091B2 (en) 2011-04-28 2011-04-28 Prevention of line bending and tilting for etch with tri-layer mask

Publications (2)

Publication Number Publication Date
TW201250830A TW201250830A (en) 2012-12-16
TWI579910B true TWI579910B (zh) 2017-04-21

Family

ID=47055053

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101114693A TWI579910B (zh) 2011-04-28 2012-04-25 利用三層遮罩進行蝕刻時對於線彎曲及偏斜之防止

Country Status (4)

Country Link
US (1) US8946091B2 (zh)
CN (1) CN102760646B (zh)
SG (2) SG10201406998YA (zh)
TW (1) TWI579910B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140342553A1 (en) * 2013-05-14 2014-11-20 United Microelectronics Corp. Method for Forming Semiconductor Structure Having Opening
US9034748B2 (en) * 2013-09-04 2015-05-19 International Business Machines Corporation Process variability tolerant hard mask for replacement metal gate finFET devices
US8916475B1 (en) * 2013-11-01 2014-12-23 United Microelectronics Corp. Patterning method
US9385000B2 (en) * 2014-01-24 2016-07-05 United Microelectronics Corp. Method of performing etching process
CN113053899B (zh) * 2021-03-12 2023-04-28 长鑫存储技术有限公司 半导体结构制作方法及半导体结构

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090047794A1 (en) * 2007-08-10 2009-02-19 Tokyo Electron Limited Method for manufacturing semiconductor device and storage medium
US20090093125A1 (en) * 2007-10-09 2009-04-09 Micron Technology, Inc. Chemistry and compositions for manufacturing integrated circuits

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4254430B2 (ja) * 2003-08-07 2009-04-15 ソニー株式会社 半導体装置の製造方法
US7081413B2 (en) * 2004-01-23 2006-07-25 Taiwan Semiconductor Manufacturing Company Method and structure for ultra narrow gate
GB0405325D0 (en) 2004-03-10 2004-04-21 Koninkl Philips Electronics Nv Trench-gate transistors and their manufacture
US7371509B2 (en) * 2004-05-07 2008-05-13 Micron Technology, Inc. Resist pattern and reflow technology
KR100819647B1 (ko) * 2006-06-27 2008-04-04 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR100898678B1 (ko) * 2006-10-31 2009-05-22 주식회사 하이닉스반도체 반도체 소자의 제조방법
JP4912907B2 (ja) * 2007-02-06 2012-04-11 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8815745B2 (en) * 2008-02-01 2014-08-26 Lam Research Corporation Reducing damage to low-K materials during photoresist stripping
US8062971B2 (en) * 2008-03-19 2011-11-22 Infineon Technologies Ag Dual damascene process
JP5336306B2 (ja) * 2008-10-20 2013-11-06 信越化学工業株式会社 レジスト下層膜形成方法、これを用いたパターン形成方法、及びレジスト下層膜材料
CN101800174A (zh) * 2010-02-11 2010-08-11 中微半导体设备(上海)有限公司 一种含碳层的等离子刻蚀方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090047794A1 (en) * 2007-08-10 2009-02-19 Tokyo Electron Limited Method for manufacturing semiconductor device and storage medium
US20090093125A1 (en) * 2007-10-09 2009-04-09 Micron Technology, Inc. Chemistry and compositions for manufacturing integrated circuits

Also Published As

Publication number Publication date
US20120276747A1 (en) 2012-11-01
SG185200A1 (en) 2012-11-29
US8946091B2 (en) 2015-02-03
CN102760646A (zh) 2012-10-31
TW201250830A (en) 2012-12-16
CN102760646B (zh) 2016-01-06
SG10201406998YA (en) 2015-01-29

Similar Documents

Publication Publication Date Title
US7429533B2 (en) Pitch reduction
KR102364485B1 (ko) 조합형 마스크를 이용한 고 애스팩트 비 에칭
US7645707B2 (en) Etch profile control
US7695632B2 (en) Critical dimension reduction and roughness control
US9330926B2 (en) Fabrication of a silicon structure and deep silicon etch with profile control
CN101506939B (zh) 在斜面蚀刻处理期间避免低k损伤
US7560388B2 (en) Self-aligned pitch reduction
KR20190049482A (ko) 스택 내에 피처들을 에칭하기 위한 방법
US20070122977A1 (en) Self-aligned pitch reduction
KR101144022B1 (ko) 에칭된 웨이퍼로부터 포토레지스트 스트립 방법
KR101528947B1 (ko) 유전체 에칭에서의 프로파일 제어
KR102178834B1 (ko) 유전체 층들 내 피처들을 에칭하기 위한 방법
CN101292197A (zh) 具有减小的线条边缘粗糙度的蚀刻特征
TWI579910B (zh) 利用三層遮罩進行蝕刻時對於線彎曲及偏斜之防止
KR20110003465A (ko) 포토레지스트 스트립핑 동안 로우-k 재료에 대한 손상 감소
KR101605005B1 (ko) Arc 층 오프닝을 이용한 cd 바이어스 로딩 제어
KR20180022572A (ko) 반도체 프로세싱을 위한 실리콘-기반 증착
US20070181530A1 (en) Reducing line edge roughness
KR102139380B1 (ko) 제어된 위글링에 의한 에칭을 위한 방법
TW201906005A (zh) 多孔低介電常數介電蝕刻
KR102595435B1 (ko) 패턴 붕괴를 방지하기 위한 에칭 후 처리
KR20120122908A (ko) 3 중층 마스크를 이용하는 에칭에 대한 라인 벤딩 및 틸팅 예방
TWI576909B (zh) 絕緣層上矽蝕刻