KR101605005B1 - Arc 층 오프닝을 이용한 cd 바이어스 로딩 제어 - Google Patents

Arc 층 오프닝을 이용한 cd 바이어스 로딩 제어 Download PDF

Info

Publication number
KR101605005B1
KR101605005B1 KR1020107016297A KR20107016297A KR101605005B1 KR 101605005 B1 KR101605005 B1 KR 101605005B1 KR 1020107016297 A KR1020107016297 A KR 1020107016297A KR 20107016297 A KR20107016297 A KR 20107016297A KR 101605005 B1 KR101605005 B1 KR 101605005B1
Authority
KR
South Korea
Prior art keywords
arc
gas
layer
opening
etching
Prior art date
Application number
KR1020107016297A
Other languages
English (en)
Other versions
KR20100099316A (ko
Inventor
경-구 지
조나단 김
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20100099316A publication Critical patent/KR20100099316A/ko
Application granted granted Critical
Publication of KR101605005B1 publication Critical patent/KR101605005B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

패터닝된 마스크 아래의 반사방지 코팅 (ARC) 아래에 배치된 에칭층 내에 라인 패턴을 에칭하기 위한 방법이 제공된다. 방법은 ARC 층을 오프닝하는 단계를 포함하며, 그 오프닝하는 단계에서, CF3I, (하이드로 탄화 플루오르를 포함하는) 탄화 플루오르 함유 가스, 및 산소 함유 가스를 포함하는 ARC 오프닝 가스가 제공되고, ARC 층을 오프닝하기 위해 ARC 오프닝 가스로부터 플라즈마가 형성되며, ARC 오프닝 가스를 제공하는 것이 중단된다. 라인 패턴 피쳐들은 오프닝된 ARC 층을 통해 에칭층으로 에칭된다.

Description

ARC 층 오프닝을 이용한 CD 바이어스 로딩 제어{CD BIAS LOADING CONTROL WITH ARC LAYER OPEN}
본 발명은 반도체 디바이스의 제조 동안에 마스크를 통해 에칭층을 에칭하는 것에 관한 것이다. 더 구체적으로, 본 발명은 반도체 디바이스들의 제조를 위한 에칭 프로세스 동안에 마스크를 통한 반사방지 코팅 (antireflective coating; ARC) 층의 오프닝을 이용한 정교한 (fine) 피쳐들을 위한 CD 바이어스 로딩 제어에 관한 것이다.
반도체 웨이퍼 프로세싱 동안에, 공지의 패터닝 및 에칭 프로세스들을 사용하여 웨이퍼 내에 반도체 디바이스의 피쳐들이 정의된다. 이들 프로세스들 (포토리소그래피) 에서, 웨이퍼 상에 포토레지스트 (PR) 재료가 증착되고, 그 후 레티클에 의해 필터링된 광에 노출된다. 일반적으로, 레티클은 광이 레티클을 통해 전파하는 것을 차단하는 예시적인 피쳐 지오메트리들로 패터닝된 글래스 플레이트이다.
레티클을 통과한 이후에, 광은 포토레지스트 재료의 표면에 접촉한다. 현상액이 포토레지스트 재료의 일부를 제거할 수 있도록, 광은 포토레지스트 재료의 케미컬 조성을 변화시킨다. 포지티브 포토레지스트 재료들의 경우에는, 노출된 영역들이 제거되고, 네거티브 포토레지스트 재료들의 경우에는, 노출되지 않은 영역들이 제거된다. 그 후, 포토레지스트 재료에 의해 더 이상 보호되지 않는 영역들로부터 아래 놓인 재료를 제거하고, 그에 의해 웨이퍼에서 원하는 피쳐들을 정의하기 위해 웨이퍼가 에칭된다.
통상적으로, 포토리소그래피 단계들에서, 예컨대 저부 반사방지 코팅 (bottom antireflective coating; BARC) 및/또는 유전체 반사방지 코팅 (dielectric antireflective coating; DARC) 층과 같은 하나 이상의 반사방지 코팅 (ARC) 층들이 포토레지스트 마스크 아래에 제공된다. 이들 층들은 포토레지스트의 노출 동안에 반사들을 최소화하거나 또는 제거하고, 이는 정재파 (standing wave) 들을 산출할 수도 있다. 그러한 정재파들은, 포토레지스트 측벽들의 정현 (sinusoidal) "스캘로핑 (scalloping)", 또는 포토레지스트 층의 베이스에서의 "피트 (feet)" 의 형성과 같은 결함들을 결과로 발생시킬 수도 있다. 따라서, BARC/DARC 층들은 일반적으로 포토레지스트 층 아래에 그리고 포토레지스트 마스크를 통해 에칭될 다른 디바이스 재료들 (예컨대, SiO2) 위에 배치된다. BARC/DARC 층들은 유기-기반 또는 무기-기반일 수도 있고, 통상적으로 아래 놓인 유전체 재료와 상이한 재료들로 구성된다. 통상적으로, BARC 층은 유기적이지만, 무기적인 BARC 층은 질화 티타늄 (TiN) 뿐만 아니라 산질화 실리콘 (SiON) 으로 구성될 수도 있다. DARC 층은 SiOx 로 형성될 수도 있다.
ULSI (ultra large scale integrated circuits) 에서의 임계 치수 (CD) 균일성은 고성능 디바이스들에 대해 중대한 파라미터이다. 예컨대, 게이트 전극에서의 CD 균일성은 임계 전압 분배 및 디바이스들의 전체 수율에 영향을 미친다. 반도체 디바이스의 피쳐들의 요구되는 CD 는, 포토리소그래피의 CD 를 제어하거나 또는 에칭 프로세스 동안에 CD 바이어스를 제어함으로써 만족될 수 있다. (CD 스큐 (skew) 라고도 또한 지칭되는) CD 바이어스는 (에칭 이전의) 마스크 CD 와 (에칭 이후의) 결과의 피쳐들의 CD 사이의 차이이다. 에칭 프로세스에 의해 수반되는 CD 바이어스는 에칭 피쳐들의 패턴 밀도에 의존하고, 일반적으로, 그러한 CD 바이어스는 밀집한 (dense) - 패턴 영역에서보다 분리된-패턴 영역에서 더 크다. 일반적으로, 피쳐 패턴에 따른 차이는 "로딩 (loading)" 이라 지칭된다. 패턴에 따른 에칭 레이트에서의 차이는 "에칭-레이트 로딩" 이라 지칭된다. 패턴 밀도에 따른 CD 바이어스에서의 차이는 CD 바이어스 로딩 ("분리-밀집 CD 바이어스 로딩 (Iso-Dense CD bias loading)") 이라 지칭된다. 예컨대, 도 1a 및 도 1b는 각각, 에칭 프로세스 이전의 밀집한 영역 (14) 및 분리된 영역 (16) 내의 패터닝된 마스크 (10) 및 에칭층 (12) 을 개략적으로 예시한다. 도 2a 및 도 2b는 각각, 종래의 에칭 프로세스 이후의 밀집한 영역 (14) 및 분리된 영역 (16) 내의 에칭층 (12) 을 개략적으로 예시한다. 도면들에 도시된 바와 같이, 마스크 (CD1) 와 에칭된 피쳐 (CD2) 사이의 차이인 CD 바이어스는 밀집한 영역 (도 2a) 에서보다 분리된 영역 (도 2b) 에서 더 크다. 또한, 일반적으로, 포토리소그래피에 의해 밀집한 영역에서보다 분리된 영역에서 작은 CD 의 패턴 (예컨대, 정교한 라인 패턴) 을 정의하는 것이 더 어렵다. 따라서, 더 큰 CD 바이어스 로딩은 분리된 패턴 영역에서 작은 CD 를 정의하는 것을 더 어렵게 한다.
본 발명의 개요
전술한 바를 달성하기 위해 그리고 본 발명의 목적에 따르면, 패터닝된 마스크 아래의 반사방지 코팅 (ARC) 층 아래에 배치된 에칭층 내에 라인 패턴을 에칭하기 위한 방법이 제공된다. 그 방법은 ARC 층을 오프닝하는 단계를 포함하고, 그 오프닝하는 단계에서, CF3I, 탄화 플루오르 함유 가스, 및 산소 함유 가스를 포함하는 ARC 오프닝 가스가 제공되고, ARC 층을 오프닝하기 위해 ARC 오프닝 가스로부터 플라즈마가 형성되며, ARC 오프닝 가스를 제공하는 것이 중단된다. 라인 패턴 피쳐들은 오프닝된 ARC 층을 통해 에칭층으로 에칭된다.
본 발명의 다른 표현에서, 패터닝된 마스크 아래의 반사방지 코팅 (ARC) 층 아래에 배치된 에칭층 내에 라인 패턴을 에칭하기 위한 장치가 제공된다. 플라즈마 프로세싱 챔버는, 플라즈마 프로세싱 챔버 엔클로져를 형성하는 챔버 벽, 플라즈마 프로세싱 챔버 엔클로져 내에서 기판을 지지하기 위한 기판 지지체, 플라즈마 프로세싱 챔버 엔클로져 내의 압력을 조절하기 위한 압력 조절기, 플라즈마를 지속시키기 위해 플라즈마 프로세싱 챔버 엔클로져에 전력을 제공하기 위한 적어도 하나의 전극, 적어도 하나의 전극에 전기적으로 접속된 적어도 하나의 RF 전력 소스, 플라즈마 프로세싱 챔버 엔클로져로 가스를 제공하기 위한 가스 주입구, 및 플라즈마 프로세싱 챔버 엔클로져로부터 가스를 배기하기 위한 가스 배출구를 포함한다. 가스 소스는 가스 주입구와 유체 연결된다. 가스 소스는, CF3I 소스, 탄화 플루오르 함유 가스 소스, 및 산소 함유 가스 소스를 포함하는 ARC 오프닝 가스 소스, 및 에칭 가스 소스를 포함한다. 제어기는 가스 소스 및 적어도 하나의 RF 전력 소스에 제어가능하게 접속된다. 제어기는 적어도 하나의 프로세서 및 컴퓨터 판독가능 매체를 포함한다. 컴퓨터 판독가능 매체는, CF3I 소스, 탄화 플루오르 함유 가스 소스, 및 산소 함유 가스 소스 각각으로부터 플라즈마 챔버로 CF3I, 탄화 플루오르 함유 가스, 및 산소 함유 가스를 포함하는 ARC 오프닝 가스를 플로우시키기 위한 컴퓨터 판독가능 코드, ARC 오프닝 가스로부터 플라즈마를 형성하기 위한 컴퓨터 판독가능 코드, 및 ARC 오프닝 가스의 플로우를 중단시키기 위한 컴퓨터 판독가능 코드를 포함하는, ARC 층을 오프닝하기 위한 컴퓨터 판독가능 코드를 포함한다. 또한, 컴퓨터 판독가능 매체는 오프닝된 ARC 를 통해 에칭층으로 라인 패턴 피쳐들을 에칭하기 위한 컴퓨터 판독가능 코드를 포함한다.
본 발명의 이들 및 다른 특징들은 다음의 도면들과 함께 본 발명의 상세한 설명에서 이하 더 상세히 설명될 것이다.
도면의 간단한 설명
본 발명은 유사한 참조 번호들이 유사한 엘리먼트들을 지칭하는 첨부 도면들의 도들에서 한정되지 않게 예로써 예시된다.
도 1a 및 도 1b는 종래의 에칭 프로세스 이전의 밀집한 영역 및 분리된 영역 각각 내의 패터닝된 마스크 및 에칭층을 예시하는 개략적인 단면도들이다.
도 2a 및 도 2b는 도 1a 및 도 1b에 도시된 마스크를 사용하는 종래의 에칭 프로세스 이후의 밀집한 영역 및 분리된 영역 각각 내의 에칭층을 예시하는 개략적인 단면도들이다.
도 3은 본 발명의 실시형태에서 사용되는 프로세스의 고 레벨 플로우 차트이다.
도 4a 및 도 4b는 밀집한 영역 및 분리된 영역 각각 내의 패터닝된 마스크 아래의 ARC 층 아래에 배치된 에칭층을 포함하는, 기판 상에 형성된 층들의 스택을 예시하는 개략적인 단면도들이다.
도 5는 본 발명의 일 실시형태에 따른, ARC 층을 오프닝 (opening) 하기 위해 그리고 선택적으로 에칭층을 에칭하기 위해 사용될 수도 있는 플라즈마 프로세싱 챔버의 개략도이다.
도 6a 및 도 6b는 본 발명의 실시형태들에서 사용되는 제어기를 구현하는데 적합한 컴퓨터 시스템을 예시한다.
도 7은 본 발명의 일 실시형태에 따른, ARC 층 오프닝에 대한 상세한 플로우 차트이다.
도 8a 및 도 8b는 밀집한 영역 및 분리된 영역에서의 ARC 오프닝 단계 이후의 층들의 스택의 개략적인 단면도들이다.
도 9a 및 도 9b는 밀집한 영역 및 분리된 영역 각각 내의 ACL 에칭 단계 이후의 층들의 스택의 개략적인 단면도들이다.
도 10a 및 도 10b는 밀집한 영역 및 분리된 영역 각각 내의 유전체 에칭 및 애싱 (ashing) 이후의 층들의 스택의 개략적인 단면도들이다.
도 11은 본 발명의 일 실시형태에 따른, 종래의 유전체 에칭 및 신규한 유전체 에칭을 위한 CD 바이어스 로딩의 예들을 예시하는 개략도이다.
바람직한 실시형태들의 상세한 설명
이제, 본 발명은 첨부 도면들에서 예시된 바와 같은 본 발명의 몇몇 바람직한 실시형태들을 참조하여 상세히 설명될 것이다. 다음의 설명에서, 본 발명의 철저한 이해를 제공하기 위해 다수의 특정 세부사항들이 설명된다. 그러나, 이들 특정 세부사항들의 일부 또는 전부가 없이도 본 발명이 실시될 수도 있다는 것이 당업자에게 명백할 것이다. 다른 경우들에서, 본 발명을 불필요하게 불명료히 하지 않기 위해 공지의 프로세스 단계들 및/또는 구조들은 상세히 설명되지 않았다.
이해를 용이하게 하기 위해, 도 3은 본 발명의 실시형태에서 사용되는 프로세스의 고 레벨 플로우 차트이다. 도 3에 도시된 바와 같이, 패터닝된 마스크 아래의 반사방지 코팅 (ARC) 층 아래에 배치된 에칭층을 갖는 기판이 제공된다 (단계 102). 본 발명의 이해를 용이하게 하기 위해, 도 4a 및 도 4b는, 밀집한 영역 (202) 및 분리된 영역 (204) 각각 내의 패터닝된 마스크 (26) 아래의 ARC 층 (24) 아래에 배치된 에칭층 (22) 을 포함하는, 기판 (20) 상에 형성된 층들의 스택의 개략적인 단면도들이다. 패터닝된 마스크 (26) 는 포토레지스트 (PR) 마스크일 수도 있다. 이 예에서, 마스크 (26) 는, 에칭층에서 복수의 라인들 및 스페이스들을 형성하기 위한 라인-스페이스 패턴을 갖는 PR 마스크이다. PR 마스크 (26) 는 이머전 (immersion) 193 ㎚ 포토리소그래피로 패터닝될 수도 있다. 도 4a는 라인-스페이스 패턴의 밀집한 영역 (202) 을 도시하고, 도 4b는 라인-스페이스 패턴의 분리된 영역 (204) 을 도시한다.
본 발명의 일 실시형태에 따르면, "밀집한" 영역은 주기적인 라인-앤드-스페이스 패턴들을 갖는 영역으로서 정의될 수도 있고, 그 CD 는 설계 룰에 의존하지만 스페이스 CD 에 대한 라인 CD 의 비율은 1/5:1 로부터 5:1 까지 변화하며, "분리된" 영역은 그 "분리된" 피쳐에 인접한 스페이스 CD 가 설계 룰보다 3 배 더 큰 것으로서 정의될 수도 있으며, 설계 룰은 밀집한 영역 내의 주기적인 패턴에서의 피치의 절반으로서 정의된다. 여기서, 라인-패턴 밀도는 라인 방향에 수직한 단위 길이 당 라인들의 수 (라인-스페이스 패턴들) 이다. 예에서, 다수의 라인들이 제공되는 유사한 치수들의 밀집한 영역과 비교하여, 분리된 영역은 반드시 주기적인 패턴을 가질 필요는 없지만 단일의 또는 몇몇 라인들이 제공된다. 통상적으로, 라인-스페이스 패턴의 CD 는 라인들의 폭이고, 따라서 회로 설계 사양에 따라 각각의 영역에서 라인들의 타겟 폭을 반영한다. 본 발명의 일 실시형태에 따르면, 밀집한 영역 (202) 내의 패터닝된 마스크는 약 66 ㎚ 의 CD 를 가질 수도 있고, 분리된 영역 (204) 내에서는 약 77 ㎚ 의 CD 를 가질 수도 있다. 회로 설계 사양에 따라, 밀집한 영역 내의 CD 는 10 ㎚ 내지 100 ㎚ 의 범위 내에 있을 수도 있고, 분리된 영역 내의 CD 는 10 ㎚ 내지 100 ㎚ 의 범위 내에 있을 수도 있다. CD 의 특정 값이 밀집한 영역 또는 분리된 영역을 정의하지는 않는다는 것이 주의되어야 한다.
도 4a 및 도 4b에 도시된 바와 같이, 에칭층 (22) 은 유전체 층 (28) 및 비결정 탄소층 (ACL) (30) 을 포함할 수도 있다. 유전체 층 (28) 은 질화 실리콘 (SiN), SiO2, 또는 TEOS (tetora-ethyl-ortho-silicate) 와 같은 산화 실리콘 기반 유전체 재료로 이루어질 수도 있다. 비결정 탄소는 폴리머와 유사하지만, CVD 에 의해 200 ℃ 보다 더 높은 고온에서 증착되므로 더 적은 수소 및 더 많은 탄소를 가지며, 따라서, 폴리머보다 더 내에칭성이다. ACL (30) 은 유전체 층 (28) 을 에칭하는데 있어서 하드마스크로서 기능할 수도 있다. ARC 층 (24) 은 PR 마스크 (26) 아래에 형성된 저부 반사방지 코팅 (BARC) 층 (32), 및 BARC 층 (32) 아래의 유전체 반사방지 코팅 (DARC) 층 (34) 을 포함할 수도 있다. 이들 층들은 포토레지스트의 노출 동안에 반사들을 최소화하거나 또는 제거한다. BARC/DARC 층들은 유기-기반 또는 무기-기반일 수도 있고, 통상적으로 아래 놓인 유전체 재료와 상이한 재료들로 구성된다. 예컨대, BARC 층 (32) 이 탄소-기반 유기층인 경우에, 상부 에칭층 (이 예에서는 ACL (30)) 도 또한 탄소-기반 재료이며, 산화 실리콘 (SiOx) 과 같은 무기적인 DARC 층은 에칭층이 ARC 층 오프닝 프로세스 동안에 바람직하지 않게 에칭되는 것을 방지할 것이다. 특정 예에서, 스택은, 약 150 ㎚ 의 두께를 갖는 PR 마스크 (26), 약 60 ㎚ 의 두께를 갖는 BARC 층 (32), 약 60 ㎚ 의 두께를 갖는 DARC 층 (34), 약 180 ㎚ 의 두께를 갖는 ACL 층 (30), 및 약 200 ㎚ 의 두께를 갖는 (SiN 과 같은) 유전체 층 (28) 을 가질 수도 있다. 이 구조는 반도체 디바이스들에서의 게이트 전극들에 적합할 수도 있다.
도 3을 참조하면, 에칭 마스크로서 PR 마스크 (26) 를 사용하여 ARC 층 (24) 이 오프닝된다 (단계 104). 통상적으로, 에칭 프로세스의 제 1 단계는 임의의 ARC 층 (또는 BARC/DARC 층들) 을 오프닝하는 것이다. 통상적으로, 이는, ARC 층이 아래 놓인 층들에 대한 마스크인 것처럼 작용하기 때문에, 크리티컬 (critical) 한 단계이다. 일반적으로, 포토레지스트 마스크는 원하는 피쳐 사이즈들을 정의한다. 에칭 이후에, ARC 층의 CD 가 포토레지스트 마스크 CD 보다 더 넓은 경우에 (라인 피쳐들의 경우), 아래 놓인 에칭층의 최종 CD 도 또한 원하는 바보다 더 넓을 수도 있다.
도 5는 본 발명의 에칭을 위해 사용될 수도 있는 플라즈마 프로세싱 챔버 (400) 의 개략도이다. 플라즈마 프로세싱 챔버 (400) 는 컨파인먼트 링 (confinement ring) 들 (402), 상부 전극 (404), 하부 전극 (408), 가스 소스 (410), 및 가스 배출구에 접속된 배기 펌프 (420) 를 포함한다. 플라즈마 프로세싱 챔버 (400) 내에서, (층들의 스택을 갖는) 기판 (20) 은 하부 전극 (408) 위에 위치된다. 하부 전극 (408) 은 기판 (20) 을 홀딩하기 위해 (예컨대, 정전, 기계적인 클램핑 등과 같은) 적합한 기판 처킹 메커니즘을 포함한다. 리액터 상부 (428) 는 하부 전극 (408) 에 직접적으로 대향하여 배치된 상부 전극 (404) 을 포함한다. 상부 전극 (404), 하부 전극 (408), 및 컨파인먼트 링들 (402) 은 한정된 플라즈마 볼륨을 정의한다. 가스는 가스 소스 (410) 에 의해 한정된 플라즈마 볼륨 (440) 으로 공급되고, 배기 펌프 (420) 에 의해 컨파인먼트 링들 (402) 및 배기 포트를 통해 한정된 플라즈마 볼륨 (440) 으로부터 배기된다. 가스를 배기하는 것을 보조하는 것 이외에, 배기 펌프 (420) 는 압력을 조절하는 것을 보조한다. 이 실시형태에서, 가스 소스 (410) 는, CF3I 소스 (416), 산소 함유 가스 소스 (412), 및 탄화 플루오르 함유 가스 소스 (418) 를 포함하는 ARC 오프닝 가스 소스 (430) 를 포함한다. 가스 소스 (410) 는, 프로세싱 챔버 (400) 에서 수행될 에칭층(들)에 대한 후속하는 에칭 프로세스들을 위한 에칭 가스 소스 (414) 와 같은 다른 가스 소스들을 더 포함할 수도 있다.
도 5에 도시된 바와 같이, RF 소스 (448) 는 하부 전극 (408) 에 전기적으로 접속된다. 챔버 벽들 (452) 은 컨파인먼트 링들 (402), 상부 전극 (404), 및 하부 전극 (408) 을 둘러싼다. RF 소스 (448) 는 2 ㎒ 전력 소스, 60 ㎒ 전력 소스, 및 27 ㎒ 전력 소스를 포함할 수도 있다. 전극에 RF 전력을 접속시키는 다른 조합들이 가능하다. 본 발명의 바람직한 실시형태에서 사용될 수도 있는, 캘리포니아, 프리몬트 소재의 LAM Research CorporationTM 에 의해 제조된 Exelan® 시리즈와 같은 LAM Research Corporation 의 유전체 에칭 시스템들의 경우에, 27 ㎒, 2 ㎒, 및 60 ㎒ 의 전력 소스들이 하부 전극에 접속된 RF 전력 소스 (448) 를 형성하고, 상부 전극은 접지된다. 제어기 (435) 는 RF 소스 (448), 배기 펌프 (420), 및 가스 소스 (410) 에 제어가능하게 접속된다.
도 6a 및 도 6b는 본 발명의 실시형태들에서 사용되는 제어기 (435) 를 구현하는데 적합한 컴퓨터 시스템 (600) 을 예시한다. 도 6a는 컴퓨터 시스템의 일 가능한 물리적인 형태를 도시한다. 당연히, 컴퓨터 시스템은, 집적 회로, 프린트 회로 보드 및 작은 휴대용 디바이스로부터 대형 슈퍼 컴퓨터까지의 범위의 다수의 물리적인 형태들을 가질 수도 있다. 컴퓨터 시스템 (600) 은 모니터 (602), 디스플레이 (604), 하우징 (606), 디스크 드라이브 (608), 키보드 (610), 및 마우스 (612) 를 포함한다. 디스크 (614) 는 컴퓨터 시스템 (600) 으로부터 그리고 컴퓨터 시스템 (600) 으로 데이터를 전송하는데 사용되는 컴퓨터-판독가능 매체이다.
도 6b는 컴퓨터 시스템 (600) 에 대한 블록도의 예이다. 시스템 버스 (620) 에는 매우 다양한 서브시스템들이 부착되어 있다. (중앙 처리 장치 또는 CPU 라고도 또한 지칭되는) 프로세서(들) (622) 이 메모리 (624) 를 포함하는 스토리지 디바이스들에 커플링된다. 메모리 (624) 는 랜덤 액세스 메모리 (RAM) 및 판독-전용 메모리 (ROM) 를 포함한다. 당업계에 공지되어 있는 바와 같이, ROM 은 데이터 및 명령들을 CPU 에 단방향으로 전송하도록 동작하고, 통상적으로 RAM 은 데이터 및 명령들을 양방향 방식으로 전송하는데 사용된다. 메모리들의 이들 타입들의 양자는 이하 설명되는 컴퓨터-판독가능 매체 중 임의의 적합한 매체를 포함할 수도 있다. 또한, 고정된 디스크 (626) 가 CPU (622) 에 양방향으로 커플링되고; 그 고정된 디스크 (626) 는 부가적인 데이터 스토리지 용량을 제공하며 또한 이하 설명되는 컴퓨터-판독가능 매체 중 임의의 매체를 포함할 수도 있다. 고정된 디스크 (626) 는 프로그램들, 데이터 등을 저장하는데 사용될 수도 있고, 통상적으로, 1차 스토리지보다 더 느린 (하드 디스크와 같은) 2차 스토리지 매체이다. 고정된 디스크 (626) 내에 보유된 정보는, 적절한 경우들에서, 메모리 (624) 내의 가상 메모리로서 표준 양식으로 포함될 수도 있다는 것이 인지될 것이다. 제거가능한 디스크 (614) 는 이하 설명되는 컴퓨터-판독가능 매체 중 임의의 매체의 형태를 취할 수도 있다.
또한, CPU (622) 는 디스플레이 (604), 키보드 (610), 마우스 (612), 및 스피커들 (630) 과 같은 다양한 입력/출력 디바이스들에 커플링된다. 일반적으로, 입력/출력 디바이스는, 비디오 디스플레이들, 트랙 볼들, 마우스들, 키보드들, 마이크로폰들, 터치-감응형 디스플레이들, 트랜스듀서 카드 리더들, 자성 또는 페이퍼 테이프 리더들, 타블렛들, 스타일러스들, 음성 또는 필기 인식기들, 바이오메트릭 리더들, 또는 다른 컴퓨터들 중 임의의 것일 수도 있다. CPU (622) 는 네트워크 인터페이스 (640) 를 사용하여 다른 컴퓨터 또는 텔레커뮤니케이션 네트워크에 선택적으로 커플링될 수도 있다. 그러한 네트워크 인터페이스를 이용하여, CPU 가 상술된 방법 단계들을 수행하는 동안에 네트워크에 정보를 출력할 수도 있거나 또는 네트워크로부터 정보를 수신할 수도 있다는 것이 예상된다. 또한, 본 발명의 방법 실시형태들은 CPU (622) 상에서 단독으로 실행될 수도 있거나, 또는 프로세싱의 일부를 공유하는 원격 CPU 와 함께 인터넷과 같은 네트워크를 통해 실행될 수도 있다.
또한, 본 발명의 실시형태들은, 다양한 컴퓨터-구현된 동작들을 수행하기 위해 컴퓨터 코드를 갖는 컴퓨터-판독가능 매체를 갖는 컴퓨터 스토리지 제품들에 관한 것이다. 매체 및 컴퓨터 코드는, 본 발명의 목적들을 위해 특별히 설계되고 구축된 것들일 수도 있거나, 또는 컴퓨터 소프트웨어 업계들의 당업자에 대해 공지되고 입수가능한 종류일 수도 있다. 컴퓨터-판독가능 매체의 예들은: 하드 디스크들, 플로피 디스크들 및 자성 테이프와 같은 자성 매체; CD-ROM들 및 홀로그래픽 디바이스들과 같은 광학 매체; 플롭티컬 (floptical) 디스크들과 같은 자기-광학 매체; 및 주문형 집적 회로 (ASIC) 들, 프로그래밍 가능한 로직 디바이스 (PLD) 들, 및 ROM 및 RAM 디바이스들과 같은, 프로그램 코드를 저장하고 실행하도록 특별히 구성된 하드웨어 디바이스들을 이들에 한정되지 않게 포함한다. 컴퓨터 코드의 예들은, 컴파일러에 의해 생성되는 것과 같은 머신 코드, 번역기를 사용하여 컴퓨터에 의해 실행되는 상위 레벨 코드를 포함하는 파일들을 포함한다. 또한, 컴퓨터 판독가능 매체는 반송파로 구현되고 프로세서에 의해 실행가능한 명령들의 시퀀스를 표현하는 컴퓨터 데이터 신호에 의해 송신되는 컴퓨터 코드일 수도 있다.
도 7은 상술된 플라즈마 프로세싱 챔버 (400) 을 사용하여 수행될 수도 있는 ARC 층 오프닝 (도 3의 단계 104) 에 대한 상세한 플로우 차트이다. 스택을 갖는 기판 (20) 이 플라즈마 프로세싱 챔버 (400) 내에 제공된다. ARC 층을 오프닝하기 이전에, 동일한 프로세싱 챔버 (400) 에서 마스크 (26) 의 패터닝이 또한 수행될 수도 있다. ARC 층 오프닝 프로세스 (단계 104) 에서, 도 7에 도시된 바와 같이, 탄화 플루오르 가스, CF3I, 및 산소 함유 가스를 포함하는 ARC 오프닝 가스가 제공된다 (단계 110). 본 발명의 일 실시형태에 따르면, ARC 오프닝 단계에 첨가제로서 새로운 가스, 즉 CF3I 가 도입된다. CF3I 는 낮은 지구 온난화 지수 (global warming potential; GWP) 을 갖는다고 알려져 있다. 이산화 실리콘 및 질화 실리콘의 에칭에서 일반적으로 사용되는 PFC (perfluorocarbon) 들의 지구 온난화 효과에 관련하여, CF3I 로 PFC들을 가능하게 치환하는 것이 제안되었다. 그러나, 본 발명에서, CF3I 는 CD 바이어스 로딩을 개선하기 위한 ARC 층 오프닝 단계에서 주요 에천트가 아닌 첨가제로서 도입된다. 상술된 바와 같이, 작은 피쳐들을 위한 유전체 에칭 프로세스들에서 CD 바이어스 로딩은 문제가 되었다. 다양한 에천트들 및 첨가제들에 대한 수년의 연구 및 실험들 이후에, 출원인들은, 유전체 층 에칭 프로세스에 대한 에천트가 아닌 첨가제로서 ARC 층 오프닝에서 CF3I 를 사용하는 것은 유전체 에칭에 대한 분리-밀집 CD 바이어스 로딩을 예상외로 개선한다는 것을 마침내 발견하였다.
본 명세서 및 청구의 범위에서, "탄화 플루오르" 라는 용어는 탄화 플루오르 및 수소를 함유하는 탄화 플루오르의 타입인 하이드로 탄화 플루오르 (hydrofluorocarbon) 를 포함하는 것을 의미한다. 본 발명의 일 실시형태에서, 탄화 플루오르 함유 가스는 CF4 또는 CHF3 중 적어도 하나를 함유할 수도 있다. 더 일반적으로, 탄화 플루오르 함유 가스는 예컨대 CF4, CH3F, CH2F2 등과 같은 탄화 플루오르 및/또는 하이드로 탄화 플루오르 컴포넌트들을 함유할 수도 있다. 특정 예에서, 플라즈마 챔버 내의 압력은 100 mTorr 로 세팅되고, 약 150 sccm 의 CF4, 약 20 sccm 의 CHF3, 약 50 sccm 의 CF3I, 및 약 5 sccm 내지 10 sccm 의 O2 를 포함하는 ARC 오프닝 가스가 제공된다. 더 일반적으로, CF3I 가 에천트 가스의 총 플로우의 약 1 내지 50 % 를 갖는 것이 바람직하다. CF3I 가 에천트 가스의 총 플로우의 약 2 내지 40 % 를 갖는 것이 바람직하다. CF3I 가 에천트 가스의 총 플로우의 약 10 내지 25 % 를 갖는 것이 더 바람직하다.
그 후, ARC 층을 오프닝 (단계 114) 하기 위해 ARC 오프닝 가스로부터 플라즈마가 형성된다 (단계 112). 이 예에서, RF 소스는 약 240 초 동안 27 ㎒ 에서 500 와트를 제공한다. ARC 층을 오프닝한 이후에, ARC 오프닝 가스 플로우가 중단된다 (단계 116). 도 8a 및 도 8b는 밀집한 영역 (202) 및 분리된 영역 (204) 각각 내의 ARC 오프닝 단계 (104) 이후의 층들의 스택의 개략적인 단면도들이다. 일례에 따르면, ARC 오프닝 단계 이후의 CD (CD1) 는 밀집한 영역 (202) 에서 약 83 ㎚ (CD1Dense) 이고, 분리된 영역 (204) 에서 95 ㎚ (CD1Iso) 이다.
본 발명의 실시형태에 따르면, 도 3에 도시된 바와 같이, ARC 층을 오프닝하는데 사용된 동일한 플라즈마 프로세싱 챔버 (400) 를 사용하여 에칭층 (22) 으로 피쳐들이 에칭될 수도 있다 (단계 106). 예컨대, ACL (30) 은 마스크로서 오프닝된 ARC 층 (24) 을 사용하여 에칭될 수도 있다. 예컨대, 그러한 ACL 에칭은 15 mTorr 의 챔버 압력 하에서, 약 200 sccm 의 O2 를 포함하는 케미스트리를 사용할 수도 있다. RF 전력 소스는 60 ㎒ 에서 700 와트를 제공한다. 도 9a 및 도 9b는 밀집한 영역 (202) 및 분리된 영역 (204) 각각 내의 ACL 에칭 단계 이후의 층들의 스택의 개략적인 단면도들이다. 예에 따르면, ACL 에칭 이후의 CD (CD2) 는, 밀집한 영역 (202) 에서 약 69 ㎚ (CD2Dense) 이고, 분리된 영역 (204) 에서 83 ㎚ (CD2Iso) 이다.
그 후, 에칭 마스크로서 패터닝된 ACL (30) 을 사용하여 피쳐들을 형성하기 위해 유전체 층 (28) 이 에칭될 수도 있다. 예컨대, 유전체 에칭은, 70 mTorr 의 챔버 압력 하에서 약 400 sccm 의 CF4, 약 26 sccm 의 CHF3, 및 약 26 sccm 의 O2 를 포함하는 케미스트리를 사용할 수도 있다. RF 전력 소스는 60 ㎒ 에서 1200 와트를 제공한다. 이 예에서, 패터닝된 ACL (30) 은 유전체 에칭에 대한 하드 마스크로서 고려될 수도 있다. 따라서, 임의의 잔여의 마스크가 애싱 프로세스 등에 의해 제거될 수도 있다 (단계 108). 도 10a 및 도 10b는 밀집한 영역 (202) 및 분리된 영역 (204) 각각 내의 유전체 에칭 및 애싱 이후의 층들의 스택의 개략적인 단면도들이다. 이 예에 따르면, 유전체 (이 예에서는 SiN4) 에칭 이후의 CD (CD3) 는, 밀집한 영역 (202) 에서 약 65 ㎚ (CD3Dense) 이고, 분리된 영역 (204) 에서 89 ㎚ (CD3Iso) 이다.
본 발명의 일 실시형태에 따르면, 오프닝 ARC 단계로부터 유전체 에칭 단계까지 플라즈마는 소멸되지 않는다. 각각의 가스들의 하나 이상의 컴포넌트들이 연속하는 프로세스들에서 공통이므로, 플로우 레이트(들)을 변경하고/하거나, 컴포넌트 가스 플로우(들)의 몇몇을 중단시키고/시키거나, 새로운 컴포넌트 가스 플로우(들)을 첨가함으로써, 전체 가스 플로우를 중단시키거나 또는 플라즈마를 소멸시키지 않으면서 에칭 가스는 다음의 프로세스 단계에 대한 에칭 가스로 변화될 수 있다. 예컨대, 에칭층이 ACL 이 없이 SiN 과 같은 유전체 층을 포함하는 경우에, (CF4, CHF3 과 같은) 탄화 플루오르 함유 가스 및 산소 함유 가스에 대한 가스 플로우 레이트를 증가시키고 ARC 오프닝 가스로부터 CF3I 를 중단시킴으로써, 유전체 에칭을 위한 에칭 가스가 제공될 수 있다. ARC 오프닝 가스에 의해 생성된 플라즈마는 후속하는 에칭 가스에 의해 유지된다. RF 소스의 전력 및/또는 주파수, 및 챔버 압력이 또한 특정 프로세스 요구조건들에 따라 변화된다는 것이 주의되어야 한다.
상술된 바와 같이, CD 바이어스 로딩은 밀집한 영역과 분리된 영역 사이의 CD 바이어스에서의 차이로서 정의된다 (CD 바이어스 로딩 = CD 바이어스 Iso - CD 바이어스 Dense). 각각의 CD 바이어스는 에칭 프로세스 이전 및 이후의 CD 에서의 차이이다 (CD 바이어스 Dense = CD Initial Dense - CD Final Dense; CD 바이어스 Iso = CD Initial Iso - CD Final Iso). 여기서, "Initial" 은 관심 있는 에칭 프로세스 이전을 의미하고, "Final" 은 관심 있는 에칭 프로세스 이후를 의미한다. 따라서,
CD 바이어스 로딩 = CD 바이어스 Iso - CD 바이어스 Dense
= CD Initial Iso - CD Final Iso - (CD Initial Dense - CD Final Dense)
= CD Initial Iso - CD Initial Dense - (CD Final Iso - CD Final Dense)
≡ ΔInitial - ΔFinal
여기서, Δ 는 밀집한 영역과 분리된 영역 사이의 CD 에서의 차이이다.
상술된 예에 따르면, ARC 오프닝 프로세스 이후에, ΔARC 가 12 ㎚ 이고; ACL 에칭 프로세스 이후에, ΔARC 가 14 ㎚ 이며; (애싱 이후의) 유전체 (SiN4) 에칭 프로세스 이후에, ΔSiN4 는 24 ㎚ 이다. 초기 PR 마스크 CD 가 밀집한 영역 (202) 에서 66 ㎚ 이고 분리된 영역 (204) 에서 77 ㎚ 이므로, ΔPR 은 11 ㎚ 이다. 따라서, 본 발명의 실시형태에 따르면, 패터닝된 마스크 (26) 를 사용하는 유전체 에칭 프로세스에 대한 CD 로딩은 ΔSiN4 - ΔPR = 12 ㎚ 이다.
비교를 위해, 다음의 결과들은, 종래의 ARC 오프닝 가스를 사용하는 동일한 ΔPR = 11 ㎚ 를 갖는 종래의 유전체 에칭 프로세스로부터 획득된다. 이 참조된 예에서, 종래의 ARC 오프닝 가스는 200 sccm 의 CF4 및 20 sccm 의 CHF3 (CF3I 첨가제 없음) 을 함유한다. 후속하는 ACL 에칭 프로세스, 유전체 프로세스 및 애싱이 동일 조건 하에서 수행된다. 결과의 CD들은, ARC 오프닝 이후의 CD1 은 밀집한 영역에서 약 74 ㎚ (CD1Dense) 이고 분리된 영역에서 99 ㎚ (CD1Iso) 이고; ACL 에칭 이후의 CD2 는 밀집한 영역에서 약 58 ㎚ (CD2Dense) 이고 분리된 영역에서 80 ㎚ (CD2Iso) 이며; 유전체 (이 예에서는 SiN4) 에칭 이후의 CD3 은 밀집한 영역에서 약 52 ㎚ (CD3Dense) 이고, 분리된 영역에서 87 ㎚ (CD3Iso) 이다. 따라서, 종래의 유전체 에칭 프로세스에 따르면, ARC 오프닝 프로세스 이후에, ΔARC 는 25 ㎚ 이고; ACL 에칭 프로세스 이후에, ΔACL 은 22 ㎚ 이며; (애싱 이후의) 유전체 (SiN4) 에칭 프로세스 이후에, ΔSiN4 는 35 ㎚ 이고, 유전체 에칭 프로세스에 대한 결과의 CD 로딩은 ΔSiN4 - ΔPR = 24 ㎚ 이다. 그러나, 이 종래의 에칭 예에서, 밀집한 영역에서의 CD 는 66 ㎚ 가 아닌 약 52 ㎚ 이다.
도 11은 (종래의 CF4-기반 ARC 오프닝 가스를 갖는) 종래의 유전체 에칭 (40) 및 본 발명의 일 실시형태에 따른, (CF3I-첨가된 ARC 오프닝 가스를 갖는) 신규한 유전체 에칭 (42) 에 대한 CD 바이어스 로딩의 예들을 개략적으로 예시한다. CD 바이어스는 "밀집한 CD (the dense CD)" 라 지칭되는, 밀집한 영역의 CD, 즉 라인들의 폭에 대하여 플로팅 (plot) 된다. 상이한 밀집한 CD들을 갖는 밀집한 패턴들이 동일한 영역 당 라인 수 (라인 밀도) 를 갖지만 CD들 (라인 폭) 은 상이하다는 것이 주의되어야 한다. 도 11에 도시된 바와 같이, 동일한 밀집한 영역 CD 에 대한 종래의 CD 바이어스 로딩과 비교하여, 본 발명의 실시형태들에 따른 CD 바이어스 로딩은 대략 20 ㎚ 개선된다. 예들 및 수들은 모두 단지 예시적일 뿐이며, 어떠한 방식으로도 본 발명의 범위를 한정하도록 의도되지 않는다는 것이 주의되어야 한다.
넓게 말하자면, 애스팩트 비가 CD 바이어스 로딩에 영향을 미친다고 생각된다. 이론에 속박되지 않으면서, 피쳐의 표면에 도달하는 중성 (neutral) 들에 대한 이온들의 비율은 피쳐들 사이의 스페이스의 애스팩트 비에 의존할 것이다. 더 구체적으로, 피쳐들의 표면에 도달하는 이온들의 수는 애스팩트 비에 작은 의존성을 이방성으로 갖지만, 중성들의 수는 이들의 모션이 기본적으로 등방성이기 때문에 애스팩트 비에 더 크게 의존할 것이다. 중성들이 표면에 도달하는 경우에, 결과의 라인 CD 는 더 크게 되고, 이는 CD 바이어스 로딩의 주요 메커니즘이다. 분리된 영역 내의 라인 폭 (iso CD) 에 대하여, 플라즈마에서 증착에 기여하는 중성들의 수가 감소되는 경우에, iso 피쳐는 더 작은 CD 를 가질 수도 있다 (따라서 더 작은 CD 바이어스를 가질 수도 있다). 결과의 밀집한 CD (CD 바이어스) 가 또한 감소하더라도, 플라즈마 내의 중성들을 제어함으로써, iso CD 바이어스의 감소가 밀집한 CD 바이어스보다 더 빠르다는 것이 발견된다. 즉, 더 작은 CD 바이어스 로딩은 밀집한 CD 가 작게되도록 허용되는 경우에, 달성된다. 본 발명의 실시형태들에 따르면, CD 바이어스 로딩은 밀집한 CD 에 상당히 영향을 미치지 않음으로써 감소되고/개선된다.
본 발명이 여러 바람직한 실시형태들의 견지에서 설명되었지만, 본 발명의 범위 내에 속하는 변형들, 치환들, 및 다양한 대체 균등물들이 존재한다. 또한, 본 발명의 방법들 및 장치들을 구현하는 다수의 다른 방식들이 존재한다는 것이 주의된다. 따라서, 다음의 첨부된 청구의 범위는, 본 발명의 진정한 사상 및 범위 내에 속하는 것으로서 모든 그러한 변형들, 치환들, 및 다양한 대체 균등물들을 포함하는 것으로서 해석되도록 의도된다.

Claims (30)

  1. 패터닝된 마스크 아래의 반사방지 코팅 (ARC) 층 아래에 배치된 에칭층 내에 라인 패턴을 에칭하기 위한 방법으로서,
    상기 ARC 층을 오프닝 (open) 하는 단계; 및
    상기 오프닝된 ARC 층을 통해 상기 에칭층으로 라인 패턴 피쳐들을 에칭하는 단계를 포함하며,
    상기 ARC 층을 오프닝하는 단계는,
    CF3I, 탄화 플루오르 (fluorocarbon) 함유 가스, 및 산소 함유 가스를 포함하는 ARC 오프닝 가스를 제공하는 단계;
    상기 ARC 층을 오프닝하기 위해 상기 ARC 오프닝 가스로부터 플라즈마를 형성하는 단계; 및
    상기 ARC 오프닝 가스를 제공하는 것을 중단시키는 단계를 포함하며,
    상기 CF3I는 상기 ARC 오프닝 가스의 총 플로우의 1 내지 50 % 를 갖는, 라인 패턴 에칭 방법.
  2. 제 1 항에 있어서,
    상기 에칭층은 유전체 층인, 라인 패턴 에칭 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 플라즈마는 상기 ARC 층을 오프닝하는 단계로부터 상기 라인 패턴 피쳐들을 에칭하는 단계까지 소멸되지 않는, 라인 패턴 에칭 방법.
  4. 패터닝된 마스크 아래의 반사방지 코팅 (ARC) 층 아래에 배치된 에칭층 내에 라인 패턴을 에칭하기 위한 방법으로서,
    상기 ARC 층을 오프닝 (open) 하는 단계; 및
    상기 오프닝된 ARC 층을 통해 상기 에칭층으로 라인 패턴 피쳐들을 에칭하는 단계를 포함하며,
    상기 ARC 층을 오프닝하는 단계는,
    CF3I, 탄화 플루오르 (fluorocarbon) 함유 가스, 및 산소 함유 가스를 포함하는 ARC 오프닝 가스를 제공하는 단계;
    상기 ARC 층을 오프닝하기 위해 상기 ARC 오프닝 가스로부터 플라즈마를 형성하는 단계; 및
    상기 플라즈마가 유지되도록 상기 탄화 플루오르 함유 가스 및 상기 산소 함유 가스를 계속 제공하는 동안 상기 CF3I를 중단함으로써 상기 ARC 오프닝 가스를 제공하는 것을 중단시키는 단계를 포함하고,
    상기 라인 패턴 피쳐들을 에칭하는 단계는,
    상기 탄화 플루오르 함유 가스 및 상기 산소 함유 가스를 에칭 가스로서 계속 제공하는 단계;
    상기 에칭 가스에 의해 상기 플라즈마를 유지하는 단계; 및
    상기 에칭 가스를 제공하는 것을 중단시키는 단계를 더 포함하고,
    상기 CF3I는 상기 ARC 오프닝 가스의 총 플로우의 1 내지 50 % 를 갖는, 라인 패턴 에칭 방법.
  5. 패터닝된 마스크 아래의 반사방지 코팅 (ARC) 층 아래에 배치된 에칭층 내에 라인 패턴을 에칭하기 위한 방법으로서,
    상기 ARC 층을 오프닝 (open) 하는 단계; 및
    상기 오프닝된 ARC 층을 통해 상기 에칭층으로 라인 패턴 피쳐들을 에칭하는 단계를 포함하며,
    상기 ARC 층을 오프닝하는 단계는,
    CF3I, 탄화 플루오르 (fluorocarbon) 함유 가스, 및 산소 함유 가스를 포함하는 ARC 오프닝 가스를 제공하는 단계;
    상기 ARC 층을 오프닝하기 위해 상기 ARC 오프닝 가스로부터 플라즈마를 형성하는 단계; 및
    상기 ARC 오프닝 가스를 제공하는 것을 중단시키는 단계를 포함하고,
    상기 패터닝된 마스크는 라인-스페이스 패턴을 갖는 포토레지스트 (PR) 마스크이고, 상기 CF3I 는 상기 ARC 오프닝 가스의 총 플로우의 1 내지 50 % 를 가짐으로써 상기 에칭층의 상기 라인 패턴 피쳐들의 분리된 영역과 밀집한 (dense) 영역 사이의 CD 바이어스 로딩을 감소시키는, 라인 패턴 에칭 방법.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 ARC 층은,
    저부 반사방지 코팅 (BARC) 층; 또는
    유전체 반사방지 코팅 (DARC) 층 중 적어도 하나를 포함하는, 라인 패턴 에칭 방법.
  7. 제 1 항 또는 제 2 항에 있어서,
    상기 탄화 플루오르 함유 가스는,
    CF4; 또는
    CHF3 중 적어도 하나를 포함하는, 라인 패턴 에칭 방법.
  8. 삭제
  9. 제 1 항 또는 제 2 항에 있어서,
    상기 CF3I 는 상기 ARC 오프닝 가스의 총 플로우의 2 내지 40 % 를 갖는, 라인 패턴 에칭 방법.
  10. 제 1 항 또는 제 2 항에 있어서,
    상기 CF3I 는 상기 ARC 오프닝 가스의 총 플로우 중 10 내지 25 % 를 갖는, 라인 패턴 에칭 방법.
  11. 패터닝된 마스크 아래의 반사방지 코팅 (ARC) 층 아래에 배치된 에칭층 내에 라인 패턴을 에칭하기 위한 장치로서,
    플라즈마 프로세싱 챔버;
    가스 주입구와 유체 연결되는 가스 소스; 및
    상기 가스 소스 및 적어도 하나의 RF 전력 소스에 제어가능하게 접속된 제어기를 포함하며,
    상기 플라즈마 프로세싱 챔버는,
    플라즈마 프로세싱 챔버 엔클로져를 형성하는 챔버 벽;
    상기 플라즈마 프로세싱 챔버 엔클로져 내에서 기판을 지지하기 위한 기판 지지체;
    상기 플라즈마 프로세싱 챔버 엔클로져 내의 압력을 조절하기 위한 압력 조절기;
    플라즈마를 지속시키기 위해 상기 플라즈마 프로세싱 챔버 엔클로져에 젼력을 제공하기 위한 적어도 하나의 전극;
    상기 적어도 하나의 전극에 전기적으로 접속된 상기 적어도 하나의 RF 전력 소스;
    상기 플라즈마 프로세싱 챔버 엔클로져로 가스를 제공하기 위한 가스 주입구; 및
    상기 플라즈마 프로세싱 챔버 엔클로져로부터 가스를 배기하기 위한 가스 배출구를 포함하고,
    상기 가스 소스는,
    CF3I 소스, 탄화 플루오르 함유 가스 소스, 및 산소 함유 가스 소스를 포함하는 ARC 오프닝 가스 소스; 및
    에칭 가스 소스를 포함하고,
    상기 제어기는,
    적어도 하나의 프로세서; 및
    컴퓨터 판독가능 매체를 포함하고,
    상기 컴퓨터 판독가능 매체는,
    상기 ARC 층을 오프닝하기 위한 컴퓨터 판독가능 코드; 및
    상기 오프닝된 ARC 층을 통해 상기 에칭층으로 라인 패턴 피쳐들을 에칭하기 위한 컴퓨터 판독가능 코드를 포함하고,
    상기 ARC 층을 오프닝하기 위한 컴퓨터 판독가능 코드는,
    상기 CF3I 소스, 상기 탄화 플루오르 함유 가스 소스, 및 상기 산소 함유 가스 소스 각각으로부터 상기 플라즈마 프로세싱 챔버로 CF3I, 탄화 플루오르 함유 가스, 및 산소 함유 가스를 포함하는 ARC 오프닝 가스를 플로우시키기 위한 컴퓨터 판독가능 코드;
    상기 ARC 오프닝 가스로부터 플라즈마를 형성하기 위한 컴퓨터 판독가능 코드; 및
    상기 ARC 오프닝 가스의 플로우를 중단시키기 위한 컴퓨터 판독가능 코드를 포함하고,
    상기 CF3I는 상기 ARC 오프닝 가스의 총 플로우의 1 내지 50 % 를 갖는, 라인 패턴 에칭 장치.
  12. 패터닝된 마스크 아래의 반사방지 코팅 (ARC) 층 아래에 배치된 에칭층 내에 라인 패턴을 에칭하기 위한 장치로서,
    상기 ARC 층을 오프닝하는 수단; 및
    상기 오프닝된 ARC 층을 통해 상기 에칭층으로 라인 패턴 피쳐들을 에칭하는 수단을 포함하며,
    상기 ARC 층을 오프닝하는 수단은,
    CF3I, 탄화 플루오르 함유 가스, 및 산소 함유 가스를 포함하는 ARC 오프닝 가스를 제공하는 수단;
    상기 ARC 층을 오프닝하기 위해 상기 ARC 오프닝 가스로부터 플라즈마를 형성하는 수단; 및
    상기 ARC 오프닝 가스를 제공하는 것을 중단시키는 수단을 포함하고,
    상기 CF3I는 상기 ARC 오프닝 가스의 총 플로우의 1 내지 50 % 를 갖는, 라인 패턴 에칭 장치.
  13. 제 12 항에 있어서,
    상기 플라즈마는 상기 ARC 층의 오프닝으로부터 상기 라인 패턴 피쳐들의 에칭까지 소멸되지 않고,
    상기 라인 패턴 피쳐들을 에칭하는 수단은,
    탄화 플루오르 함유 가스 및 산소 함유 가스를 포함하는 에칭 가스를 제공하는 수단;
    상기 에칭 가스에 의해 상기 플라즈마를 유지하는 수단; 및
    상기 에칭 가스를 제공하는 것을 중단시키는 수단을 포함하는, 라인 패턴 에칭 장치.
  14. 제 12 항 또는 제 13 항에 있어서,
    상기 패터닝된 마스크는 라인-스페이스 패턴을 갖는 포토레지스트 (PR) 마스크이고, 상기 ARC 오프닝 가스 내의 CF3I 는 상기 에칭층의 상기 라인 패턴 피쳐들의 분리된 영역과 밀집한 (dense) 영역 사이의 CD 바이어스 로딩을 감소시키는, 라인 패턴 에칭 장치.
  15. 제 12 항 또는 제 13 항에 있어서,
    상기 ARC 층은,
    저부 반사방지 코팅 (BARC) 층; 또는
    유전체 반사방지 코팅 (DARC) 층 중 적어도 하나를 포함하는, 라인 패턴 에칭 장치.
  16. 제 12 항 또는 제 13 항에 있어서,
    상기 ARC 오프닝 가스를 제공하는 수단은,
    CF4; 또는
    CHF3 중 적어도 하나를 포함하는 탄화 플루오르 함유 가스를 제공하는, 라인 패턴 에칭 장치.
  17. 삭제
  18. 제 12 항 또는 제 13 항에 있어서,
    상기 ARC 오프닝 가스를 제공하는 수단은, 상기 ARC 오프닝 가스의 총 플로우의 2 내지 40 % 를 갖는 CF3I 플로우를 제공하는, 라인 패턴 에칭 장치.
  19. 제 12 항 또는 제 13 항에 있어서,
    상기 ARC 오프닝 가스를 제공하는 수단은, 상기 ARC 오프닝 가스의 총 플로우 중 10 내지 25 % 를 갖는 CF3I 플로우를 제공하는, 라인 패턴 에칭 장치.
  20. 제 1 항에 있어서,
    상기 ARC 층은 유기층을 포함하는, 라인 패턴 에칭 방법.
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
KR1020107016297A 2007-12-21 2008-12-09 Arc 층 오프닝을 이용한 cd 바이어스 로딩 제어 KR101605005B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US1639707P 2007-12-21 2007-12-21
US61/016,397 2007-12-21

Publications (2)

Publication Number Publication Date
KR20100099316A KR20100099316A (ko) 2010-09-10
KR101605005B1 true KR101605005B1 (ko) 2016-03-21

Family

ID=40824983

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107016297A KR101605005B1 (ko) 2007-12-21 2008-12-09 Arc 층 오프닝을 이용한 cd 바이어스 로딩 제어

Country Status (5)

Country Link
US (1) US8470715B2 (ko)
KR (1) KR101605005B1 (ko)
CN (1) CN102318037B (ko)
TW (1) TWI488233B (ko)
WO (1) WO2009085597A2 (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010205967A (ja) * 2009-03-04 2010-09-16 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
JP5568340B2 (ja) * 2010-03-12 2014-08-06 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US20140179106A1 (en) * 2012-12-21 2014-06-26 Lam Research Corporation In-situ metal residue clean
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10658174B2 (en) * 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10734238B2 (en) * 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002021586A1 (fr) 2000-09-07 2002-03-14 Daikin Industries, Ltd. Gaz d'attaque à sec et procédé correspondant
JP2005072260A (ja) 2003-08-25 2005-03-17 Sanyo Electric Co Ltd プラズマ処理方法、プラズマエッチング方法、固体撮像素子の製造方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5935762A (en) * 1997-10-14 1999-08-10 Industrial Technology Research Institute Two-layered TSI process for dual damascene patterning
JP3066007B2 (ja) * 1998-06-24 2000-07-17 株式会社日立製作所 プラズマ処理装置およびプラズマ処理方法
US7250371B2 (en) 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
US7510976B2 (en) 2006-04-21 2009-03-31 Applied Materials, Inc. Dielectric plasma etch process with in-situ amorphous carbon mask with improved critical dimension and etch selectivity

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002021586A1 (fr) 2000-09-07 2002-03-14 Daikin Industries, Ltd. Gaz d'attaque à sec et procédé correspondant
JP2005072260A (ja) 2003-08-25 2005-03-17 Sanyo Electric Co Ltd プラズマ処理方法、プラズマエッチング方法、固体撮像素子の製造方法

Also Published As

Publication number Publication date
WO2009085597A3 (en) 2009-09-11
US20100323525A1 (en) 2010-12-23
CN102318037A (zh) 2012-01-11
KR20100099316A (ko) 2010-09-10
US8470715B2 (en) 2013-06-25
WO2009085597A2 (en) 2009-07-09
CN102318037B (zh) 2014-03-05
TWI488233B (zh) 2015-06-11
TW200941574A (en) 2009-10-01

Similar Documents

Publication Publication Date Title
KR101605005B1 (ko) Arc 층 오프닝을 이용한 cd 바이어스 로딩 제어
JP5081917B2 (ja) フッ素除去プロセス
US7491647B2 (en) Etch with striation control
KR101184956B1 (ko) 다수의 마스킹 단계를 이용하여 임계 치수를 감소시키는 방법
US7645707B2 (en) Etch profile control
US8268118B2 (en) Critical dimension reduction and roughness control
JP5086090B2 (ja) 水素流量傾斜化によるフォトレジストプラズマコンディショニング工程を含むエッチング方法及び装置
US7429533B2 (en) Pitch reduction
KR101534883B1 (ko) 마스크 트리밍
US7785484B2 (en) Mask trimming with ARL etch
KR20100106347A (ko) 산화물 스페이서를 이용한 피치 감소
KR101562408B1 (ko) Arc 레이어 개방을 이용한 라인 폭 거칠기 제어
KR20060126909A (ko) 피쳐 임계 치수의 감소
KR101528947B1 (ko) 유전체 에칭에서의 프로파일 제어
JP4791964B2 (ja) ランピングによるエッチング方法及び装置
KR101155843B1 (ko) 균일성 제어에 의한 에칭
US7396769B2 (en) Method for stripping photoresist from etched wafer
US20060011578A1 (en) Low-k dielectric etch

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
LAPS Lapse due to unpaid annual fee