JP5086090B2 - 水素流量傾斜化によるフォトレジストプラズマコンディショニング工程を含むエッチング方法及び装置 - Google Patents

水素流量傾斜化によるフォトレジストプラズマコンディショニング工程を含むエッチング方法及び装置 Download PDF

Info

Publication number
JP5086090B2
JP5086090B2 JP2007538921A JP2007538921A JP5086090B2 JP 5086090 B2 JP5086090 B2 JP 5086090B2 JP 2007538921 A JP2007538921 A JP 2007538921A JP 2007538921 A JP2007538921 A JP 2007538921A JP 5086090 B2 JP5086090 B2 JP 5086090B2
Authority
JP
Japan
Prior art keywords
conditioning
plasma
etching
gas
flow rate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007538921A
Other languages
English (en)
Other versions
JP2008518463A (ja
JP2008518463A5 (ja
Inventor
カナリク・ケレン・ジェイコブス
エップラー・アーロン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2008518463A publication Critical patent/JP2008518463A/ja
Publication of JP2008518463A5 publication Critical patent/JP2008518463A5/ja
Application granted granted Critical
Publication of JP5086090B2 publication Critical patent/JP5086090B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

本発明は、半導体デバイス製造におけるフォトレジストマスクの使用に関し、特に、半導体デバイス製造中のフォトレジストマスクを介したエッチングに関する。
半導体ウェーハプロセス中、半導体デバイスの要素(feature)は、周知のパターン形成及びエッチングプロセスを使用して、ウェーハ内に形成される。こうしたプロセスでは、フォトレジスト(PR)材料をウェーハ上に堆積させてよく、その後、レチクルでフィルタリングした光で露光する。レチクルは、一般に、光がレチクルを伝播するのを遮断する典型的な要素形状によりパターン形成されたガラス板である。
レチクル通過後、光は、フォトレジスト材料の表面に到達する。光は、現像剤によりフォトレジスト材料の一部を除去できるようにフォトレジスト材料の化学組成を変化させる。ポジティブフォトレジスト材料の場合には、露光領域が除去され、ネガティブフォトレジスト材料の場合には、非露光領域が除去される。その後、ウェーハをエッチングし、フォトレジスト材料により保護されなくなった領域から下層材料を除去し、これにより、ウェーハ内で所望の要素を製造する。
密度を向上させるために、要素サイズが低減される。これは、要素の限界寸法(CD)を低減することで達成し得るものであり、フォトレジストの分解能の改善が必要となる。フォトレジストの分解能を改善する一方法は、より薄いフォトレジストマスクを提供することである。
フォトレジストにおいて小さなCDサイズを生成するために、新たなフォトレジスト材料(193及び157nm PR)が追求されているが、こうしたレジストは、以前のDIV及び248nmフォトレジストのマスクと比べ、プラズマによる損傷に対する抵抗性が低い。更に、現在の単一層のアプローチでは、次第に薄いレジストを使用して、要素の分解能と一致させる必要がある。これは、酸化物エッチングにとって十分なレジストを提供し得ない場合があり、ストリーエーション等、他の複合的問題を発生させ得る。縮小する要素寸法に対応するために、業界では、複数のプロセス工程を含む多層的アプローチ等、新たな技術の研究を行ってきた。新たな技術への切り替えは、間違いなく多くの費用と時間とを要する。
上記を達成するために、本発明の目的に従って、基板上においてフォトレジストマスクを介して、エッチング層内で要素をエッチングする方法を提供する。フォトレジストマスクの下にエッチング層が配置された基板を、処理チャンバ内に配置する。フォトレジストマスクのコンディショニングを行い、このコンディショニングは、或る流量の水素含有ガスと、或る流量のフルオロカーボン及びハイドロフルオロカーボンの少なくとも一方とを含むコンディショニングガスを処理チャンバへ提供するステップと、コンディショニングプラズマを形成するためにコンディショニングガスを活性化させるステップとを含む。コンディショニングプラズマを停止する。エッチングプラズマを処理チャンバに提供するが、このエッチングプラズマはコンディショニングプラズマとは異なるものとする。要素は、エッチングプラズマにより、エッチング層にエッチングされる。
本発明の別の実施例では、基板上において反射防止膜及びフォトレジストマスクを介して、エッチング層内で要素をエッチングする方法を提供する。反射防止膜及びフォトレジストマスクの下にエッチング層が配置された基板を、処理チャンバ内に配置する。反射防止膜を開口させ、この開口処理は、或る流量の水素含有ガスと、或る流量のフルオロカーボン及びハイドロフルオロカーボンの少なくとも一方とを含むコンディショニングガスを処理チャンバへ提供するステップと、コンディショニングプラズマを形成するためにコンディショニングガスを活性化させるステップとを含む。コンディショニングプラズマは、反射防止膜を開口させた後、停止する。エッチングプラズマを処理チャンバに提供するが、このエッチングプラズマはコンディショニングプラズマとは異なる。要素は、エッチングプラズマにより、反射防止膜及びフォトレジストマスクを介して、エッチング層にエッチングされる。
本発明の上記その他の特徴は、以下の本発明の詳細な説明において、添付図面に基づき更に詳細に説明する。
同様の参照符号が同様の要素を示す添付図面の図は、本発明を限定としてではなく一例として示す。
以下、本発明について、添付図面に例示した幾つかの好適な実施形態を参照して、詳細に説明する。以下の説明では、本発明を完全に理解するために、多数の具体的な詳細について述べる。しかしながら、こうした具体的な詳細の一部又は全部が無くとも、本発明を実施し得ることは、当業者には明らかとなろう。別の事例において、周知のプロセスステップ及び/又は構造については、本発明の不必要に曖昧にしないために、詳細な説明は省略する。
図1は、本発明の一実施形態の高レベルフローチャートである。エッチング層とマスクとを有する基板を、処理チャンバに提供する(ステップ104)。図2Aは、ウェーハの一部又はウェーハ上の層となり得る基板204の断面概略図である。エッチング層208は、基板204の上に形成される。エッチング層208は基板204の上にある状態で図示されているが、他の実施形態では、一枚以上の層が、エッチング層208と基板204との間に存在してもよい。マスク212は、エッチング層208上に形成される。この例では、BARC(底面反射防止膜)210をエッチング層208とマスク212との間に配置する。好適な実施形態において、エッチング層208は、単層である。好ましくは、単層は、エッチング層を形成する単層の厚さ全体で均一となる。
図3は、本発明の好適な実施形態において使用し得る処理チャンバ300の概略図である。この実施形態において、プラズマ処理チャンバ300は、カリフォルニア州フレモントのLamR Research Corpの200nm 2300Exelanであり、閉じ込めリング302と、上部電極304と、底部電極308と、ガスソース310と、排出ポンプ320とを備える。ガスソース310は、第一のガスソース312と、第二のガスソース314と、第三のガスソース316とを備えてよい。プラズマ処理チャンバ300内では、上にエッチング層を堆積させた基板ウェーハ204を、下部電極308上に位置決めする。下部電極308には、基板ウェーハ204を保持するために適切な基板チャック機構(静電、機械クランプ等)が組み込まれている。リアクタ最上部328には、下部電極308の正反対に設置された上部電極304が組み込まれている。上部電極304、下部電極308、及び閉じ込めリング302は、閉じ込めプラズマ容積340を定める。ガスは、ガスソース310により、ガス入口343を介して閉じ込めプラズマ容積へ供給され、排出ポンプ320により、閉じ込めリング302と排出ポートとを介して、閉じ込めプラズマ容積から排出される。排出ポンプ320は、プラズマ処理チャンバのガス出口を形成する。この実施形態において、上部電極304は接地される。RFソース348は、下部電極308に電気的に接続される。チャンバ壁352は、プラズマエンクロージャを定め、その内部に閉じ込めリング302、上部電極304、及び下部電極308が設置される。RFソース348は、27MHz電源と2MHz電源とを備えてよい。RF電力を電極に接続する異なる組み合わせも可能である。コントローラ335は、RFソース348、排出ポンプ320、閉じ込めリング302、第一のガスソース312に接続された第一の制御バルブ337、第二のガスソース314に接続された第二の制御バルブ339、及び第三のガスソース316に接続された第三の制御バルブ341と制御可能に接続される。ガス入口343は、ガスソース312、314、316からのガスをプラズマ処理エンクロージャへ提供する。ガス入口343にシャワヘッドを接続してもよい。ガス入口343は、各ガスソースに対する単一の入口にしてよく、或いは各ガスソースに対して異なる入口にしてもよく、或いは各ガスソースに対して複数の入口にしてもよく、或いは他の可能な組み合わせにしてもよい。本発明の他の実施形態では、Lam Research Inc製の2300Exelan等、他の種類のプラズマ処理チャンバを使用してもよい。
図8A及び8Bは、本発明の実施形態において使用されるコントローラ335を実現するのに適したコンピュータシステム800を例示している。図8Aは、コンピュータシステムの可能な物理的形態の一つを示している。当然ながら、コンピュータシステムは、集積回路、プリント回路基板、及び小型ハンドヘルドデバイスから巨大なスーパコンピュータまでの多数の物理的形態を有し得る。コンピュータシステム800は、モニタ802と、ディスプレイ804と、ハウジング806と、ディスクドライブ808と、キーボード810と、マウス812とを含む。ディスク814は、コンピュータシステム800との間でのデータの転送に使用されるコンピュータ読み取り可能な媒体である。
図8Bは、コンピュータシステム800のブロック図の例である。システムバス820には、広範なサブシステムが取り付けられる。プロセッサ(群)822(中央演算処理装置又はCPUとも呼ばれる)は、メモリ824を含む記憶装置に結合される。メモリ824は、ランダムアクセスメモリ(RAM)と読み取り専用メモリ(ROM)とを含む。この技術において周知であるように、ROMは、データ及び命令を単方向でCPUへ転送する役割を果たし、RAMは、通常、データ及び命令を双方向で転送するのに使用される。こうした種類のメモリは、両方とも、以下説明する任意のコンピュータ読み取り可能な媒体を適宜含んでよい。固定ディスク826も、CPU822に双方向で結合され、追加的なデータ記憶容量を提供し、同じく以下説明する任意のコンピュータ読み取り可能な媒体を含んでよい。固定ディスク826は、プログラム、データ等を格納するのに使用してよく、通常は、一次記憶装置より低速な二次記憶媒体(ハードディスク等)である。固定ディスク826内に保持された情報は、適切である場合には、標準的な方法で、仮想メモリとしてメモリ824に組み込んでもよいことは理解されよう。リムーバブルディスク814は、以下説明する任意のコンピュータ読み取り可能な媒体の形態をとり得る。
CPU822は、ディスプレイ804、キーボード810、マウス812、及びスピーカ830等の様々な入出力デバイスにも結合される。一般に、入出力デバイスは、ビデオディスプレイ、トラックボール、マウス、キーボード、マイクロフォン、タッチセンシティブディスプレイ、トランスデューサカードリーダ、磁気又は紙テープリーダ、タブレット、スタイラス、音声又は手書き認識装置、生体認証リーダ、又は他のコンピュータのいずれかにしてよい。CPU822は、随意的に、ネットワークインタフェース840を使用して、別のコンピュータ又は電気通信ネットワークに結合され得る。こうしたネットワークインタフェースにより、CPUは、上記の方法ステップを実行する過程で、ネットワークから情報を受領すること、或いはネットワークへ情報を出力することが考えられる。更に、本発明の方法の実施形態は、CPU822単独で実行し得るものであり、或いは、処理の一部を共有するリモートCPUと連動して、インターネット等のネットワーク上で実行し得る。
加えて、本発明の実施形態は、更に、コンピュータにより実現される様々な動作を実行するためにコンピュータコードを有するコンピュータ読み取り可能な媒体を備えたコンピュータストレージ製品に関する。媒体及びコンピュータコードは、本発明のために特別に設計及び構築されたものにしてよく、或いは、コンピュータソフトウェア技術に関する当業者に周知であり利用可能な種類のものにしてよい。コンピュータ読み取り可能な媒体の例には、一部として、ハードディスク、フレキシブルディスク、及び磁気テープといった磁気媒体と、CD−ROM及びホログラフィックデバイスといった光学媒体と、フロプティカルディスク等の光磁気媒体と、特定用途向け集積回路(ASIC)、プログラム可能論理デバイス(PLD)、及びROM及びRAMデバイスといった、プログラムコードを格納及び実行するために特別に構成されたハードウェアデバイスとが含まれる。コンピュータコードの例には、コンパイラによって生成されるようなマシンコードと、インタプリタを使用してコンピュータで実行される高レベルコードを含むファイルとが含まれる。コンピュータ読み取り可能な媒体は、搬送波に埋め込まれたコンピュータデータ信号によって送信され、プロセッサによって実行可能な命令のシーケンスを表すコンピュータコードにしてもよい。
次に、マスクのコンディショニングが行われる(ステップ108)。図4は、水素の傾斜(hydrogen ramp)によるマスクコンディショニング(ステップ108)の実施形態の更に詳細なフローチャートである。これはフォトレジストコンディショニングガスを処理チャンバ300へ提供することで行われる(ステップ404)。フォトレジストコンディショニングガスは、H2等の水素含有ガスと、この例ではCF4である、フルオロカーボン及びハイドロフルオロカーボンの少なくとも一方とを含む。アルゴン等の希釈剤を、フォトレジストコンディショニングガスに追加してもよい。そこで、この例では、第一のガスであるH2を第一のガスソース312から提供し、第二のガスであるCF4を第二のガスソース314から提供している。フォトレジストコンディショニングガスは、その後、フォトレジストコンディショニングプラズマに転換し得る(ステップ408)。好ましくは、フォトレジストコンディショニングプラズマは、処理チャンバ内において、その場(in situ)で形成される。上記の処理チャンバ300において、これは、RF電力をRF電源348から下部電極308へ供給し、この下部電極がコンディショニングガスを活性化させてコンディショニングプラズマに転換することで行われる。
リアクタでは、コンディショニングガスを傾斜させることでコンディショニングを最適化する(ステップ412)。コンディショニングガスは、フルオロカーボン及びハイドロフルオロカーボンガスの少なくとも一方に対する水素含有ガスの流量の比を有する。コンディショニングガスの傾斜中には、フルオロカーボン及びハイドロフルオロカーボンガスの少なくとも一方に対する水素含有ガスの流量比を減少させる。一例において、これは、フルオロハイドロカーボン又はハイドロカーボンの流量をほぼ一定に保ちながら、H2ガス等の水素含有ガスの流量を傾斜的に減少させることで行われる。図5は、コンディショニングガス中のH2流量とコンディショニングプロセス中の時間とを対比したグラフである。グラフに図示したように、H2流量504は、当初、t0においてxから始まり、その後、t1においてH2がゼロとなり、図示したように、コンディショニングガスがCF4のみとなるように傾斜的に減少させる。一般に、H2の流量の傾斜的減少は、コンディショニングガスにおけるH2濃度の傾斜的減少を発生させる。この実施形態において、フォトレジストコンディショニングプラズマは、BARC210の露出部分を最後までエッチングし、一方、エッチングに対する耐性を高めた硬化フォトレジストマスク212を残す。図2Bは、BARC210を開口させるフォトレジストコンディショニング後の基板204、エッチング層208、マスク212、及びBARC210の断面概略図である。コンディショニングプロセス完了後には、フォトレジストマスク内のアパーチャのCDが減少したことが分かった。
別の実施形態では、H2の流量を一定に保持し、CF4の流量を傾斜的に増加させてよい。こうした実施形態でも、ハイドロフルオロカーボン又はフルオロカーボン(CF4)の流量に対する水素含有ガス(H2)の流量の比は、時間と共に傾斜的に減少する。
理論による拘束を望むものではないが、コンディショニングプロセスでは、フォトレジスト212上に保護層214が形成され、フォトレジストマスクの硬化に加え、CDの減少が生じると考えられる。BARC210の開口処理中、エッチングマスクの厚さは実際に増加するため、本発明は、無限大に近いレジスト選択性をBARC開口部に提供する。好ましくは、コンディショニングにより、BARCを開口させる時に少なくとも100:1のフォトレジスト選択性が提供される。一方、コンディショニングプラズマ中の水素の存在により、保護層の形成の代わりに、或いは保護層の形成と併せて、エッチングマスクを硬化させる化学反応を発生させることも可能となり得る。
次に、コンディショニング済みレジストマスクを介して、エッチング層において要素をエッチングする(ステップ112)。この実施形態において、エッチング層208は、酸化硅素誘電体である。フォトレジストの一部はエッチング中に除去されるが、コンディショニングによりフォトレジストマスクが硬化するため、エッチング中に除去されるフォトレジストが少なくなることが分かった。硬化は、フォトレジスト上での層の形成、或いはフォトレジスト自体の硬化によるものとなり得る。図2Cは、酸化物エッチング完了後の基板204、エッチング層208、マスク212、及びBARC210の断面概略図である。
初期H2流量又は最終H2流量、或いは、傾斜時間又は傾斜プロフィールを変更することで、CDの低減も変更及び制御し得る。図6は、傾斜の初期流量を変更することによるH2傾斜化処理を使用した最終上部CDの低減量が0〜25nmであることを示すグラフである。データ点及び曲線604から確認されるように、H2を提供しない場合、上部CDは130nmである。H2の流量を40乃至90sccmで開始し、直線的に減少させた場合、上部CDは約100nmであり、25乃至20nmの減少となる。
他の実施形態では、リニア傾斜ではない他の傾斜プロフィールを使用してもよい。図7は、異なるH2流量傾斜処理のグラフである。リニア傾斜708は、図示したように、時間と共に流量が直線的に減少する傾斜である。サブリニア傾斜712は、図示したように、時間と共に流量が直線を下回った線に沿って減少する傾斜である。この種の傾斜の例は、指数関数、二次関数、又は双曲線関数だが、更に複雑な他の多数の関数も適切となる。スーパリニア傾斜704は、図示したように、時間と共に流量が直線を上回った線に沿って減少する傾斜である。このグラフ内の全ての傾斜は、ソフトウェア、或いは傾斜を模倣する個別の段階の連続により実際に近似可能な連続的傾斜である。
図9は、離散的で不連続な階段関数の傾斜904のグラフである。この離散的傾斜904では、時間と共に流量を個別の段階で減少させる。点線916は、離散的傾斜904が経時的に流量の直線的減少をもたらすことを示している。この例では、七つの個別段階を図示している。他の実施形態は、更に多く又は少ない段階を有してよい。好ましくは、階段関数の傾斜は、少なくとも三つの段階を有する。更に好ましくは、階段関数の傾斜は、少なくとも五つの段階を有する。更に好ましくは、階段関数の傾斜は、少なくとも七つの段階を有する。最も好ましくは、階段関数の傾斜は、連続的傾斜を最も密接に模倣するためにソフトウェアが合理的に提供可能な数の段階を有する。個別段階を使用する傾斜について、傾斜の継続時間は、第一の段階の終了から最後の段階の開始までと定義される。こうした定義に基づき、傾斜は、図示したように、時間t1からt2となる。段階は、個別に手動で入力してよく、或いは、終点を指定した後、コンピュータにより生成してもよい。一般に、コンピュータ読み取り可能な媒体814は、離散的又は連続的傾斜を提供することをコントローラ335に命令するコンピュータ読み取り可能オブジェクトコードを有する。
2の傾斜はCF4に対するH2の様々な比における異なった性質を利用することから、処理にとってH2の傾斜は重要な部分となる。CF4/H2混合物について三種類の性質の処理体系が観察された。CF4のみのプラズマは、フォトレジスト、BARC、及び酸化物をエッチングする。BARCの開口処理のためにCF4のみを単独で使用するプロセスでは、フォトレジストマスクが半分まで除去され得る。これにより、CDは増加し、フォトレジストは使い尽くされる。CF4にH2を少量追加してもエッチングは生じるが、H2の流入が十分な場合、混合物は、レジスト上に滑らかな堆積層を生成する。この層は、レジストを保護し、BARCをエッチングするが、酸化物をエッチングせずにエッチングを停止させる。最後に、H2の添加が多いと、H2のみのプラズマによるエッチングの性質と同様に、フォトレジストはエッチングされるがBARC又は酸化物はエッチングされず、CDが増加し得る。こうした三種類の処理体系間でのクロスオーバ流量は、プラズマ電力とCF4の流量とによって決まる。例えば、200乃至400W、27MHz、及び60乃至100sccmのCF4の場合、クロスオーバ流量は、7乃至60sccmまでのH2となる。傾斜がない場合、エッチング処理又は堆積処理間での相殺が生じる。エッチングを停止させずに堆積処理の利点を得るために、混合物は、堆積処理からエッチング処理へ傾斜させる(高H2流量から低H2流量へ)。
本発明は、193及び157nmフォトレジスト等の軟質フォトレジストをコンディショニングし、エッチング耐性を高めることで、レジストの損失を低減する。本発明は、より高い分解能を提供する薄いレジストマスクを可能にし、元のマスキングパターンのCDよりも小さなCDを有する酸化物エッチング要素を提供することで、要素のCDを低減できる(一実施形態において、最大30nm(25%)のCD低減)。デバイスの要素が100nm未満に縮小すると、CDの許容範囲が次第に厳しくなり、ナノメータスケールでのCD制御がデバイスの性能に直接的に結び付く可能性があるため、こうしたCDの低減は、大きな意義を有する。加えて、本発明のプロセスは、ストリーエーションを減少させる。ストリーエーションは、欠陥を増加させる恐れがある。
本発明の傾斜では、初期流量、最終流量、及び傾斜プロフィールといった追加的な調節パラメータが提供され、調節を改善することで様々な所望の結果が得られる。
本発明の他の実施形態では、CHF3、CH22、CH3F、CH4といった水素含有成分ガスを傾斜させる。他の実施形態において使用し得るフルオロカーボン及びハイドロフルオロカーボンの例は、CHF3、CH22、及びCH3Fである。
本発明の一実施形態において、コンディショニングプラズマを形成するコンディショニングガスは、H2及びCF4を含み、初期H2対CF4流量比は、1:10乃至2:1である。更に好ましくは、初期H2対CF4流量比は、1:8乃至3:2である。最も好ましくは、初期H2対CF4流量比は、1:2乃至5:4である。傾斜の終了時、好ましくは、最終H2対CF4流量比は、0乃至1:20である。
2300Exelanでは、H2の初期流量は、5乃至200sccmである。更に好ましくは、H2の初期流量は、10乃至150sccmである。最も好ましくは、H2の初期流量は、15乃至100sccmである。好ましくは、CF4の初期流量は、20乃至200sccmである。更に好ましくは、CF4の初期流量は、20乃至150sccmである。最も好ましくは、CF4の初期流量は、30乃至120sccmである。好ましくは、コンディショニングプロセス中、50乃至900ワットを約27MHzで提供する。更に好ましくは、コンディショニングプロセス中、100乃至800ワットを約27MHzで提供する。好ましくは、0乃至300ワットを約2MHzで更に提供する。
本発明による処理は、好ましくは、誘電層の上にあるフォトレジストエッチングマスクをコンディショニングするために使用される。誘電層は、酸化硅素、窒化硅素、及び有機珪酸塩ガラスといった、有機又は無機材料にしてよい。
フォトレジストのコンディショニングとBARCの開口処理とは、単一の傾斜により完了し、傾斜は反復されず、誘電体のエッチングが開始されるまでに一度のみ実行されることが好ましい。
他の実施形態では、他の反射防止膜(ARC)をBARC(底面反射防止膜)の代わりに使用してよく、或いはARCを全く使用しなくてもよい。
以上、本発明について幾つかの好適な実施形態により説明してきたが、本発明の範囲に含まれる変更、置換、及び様々な代用等価物が存在する。更に、本発明の方法及び装置を実現する数多くの別の方法が存在することにも留意されたい。したがって、添付特許請求の範囲は、本発明の本来の趣旨及び範囲に入る全ての変更、置換、及び様々な代用等価物を含むと解釈されるべきである。
本発明の一実施形態の高レベルフローチャートである。 本発明により処理された基板の断面概略図である。 本発明により処理された基板の断面概略図である。 本発明により処理された基板の断面概略図である。 本発明の好適な実施形態において使用し得る処理チャンバの概略図である。 水素の傾斜によるマスクコンディショニングの実施形態の更に詳細なフローチャートである。 コンディショニングガス中のH2流量とコンディショニングプロセス中の時間とを対比したグラフである。 傾斜の初期流量を変更することによる、本発明の傾斜化処理を使用した最終上部CDのコンディショニング低減のグラフである。 異なるH2流量傾斜体系のグラフである。 本発明の実施形態において使用されるコントローラを実現するのに適したコンピュータシステムを示す図である。 本発明の実施形態において使用されるコントローラを実現するのに適したコンピュータシステムを示す図である。 離散的で不連続な階段関数の傾斜のグラフである。

Claims (18)

  1. 基板上においてフォトレジストマスクを介してエッチング層内で要素をエッチングする方法であって、
    フォトレジストマスクの下にエッチング層が配置された基板を、処理チャンバ内に配置するステップと、
    前記フォトレジストをコンディショニングするステップであって、
    或る流量の水素含有ガスと、或る流量のフルオロカーボン及びハイドロフルオロカーボンの少なくとも一方と、を含むコンディショニングガスを前記処理チャンバへ提供するステップ、及び
    コンディショニングプラズマを形成するために前記コンディショニングガスを活性化するステップと、
    記フルオロカーボン及びハイドロフルオロカーボンの前記少なくとも一方の前記流量に対する前記水素含有ガスの前記流量の比が増加することなく傾斜的に減少するように、前記コンディショニングガスを傾斜的に変化させるステップと、
    前記コンディショニングプラズマを停止するステップと、
    を含むコンディションニングステップと、
    前記コンディショニングプラズマを停止した後に、前記コンディショニングプラズマとは異なるエッチングプラズマを前記処理チャンバに提供するステップと、
    前記エッチングプラズマにより前記エッチング層内で要素をエッチングするステップと、
    を備える方法。
  2. 前記水素含有ガスはH2である、請求項1に記載の方法。
  3. 前記コンディショニングプラズマは、in−situプラズマである、請求項1又は2に記載の方法。
  4. BARCが前記フォトレジストマスクと前記エッチング層との間に配置されており、前記フォトレジストマスクの前記コンディショニングにより前記BARCを開口させる、請求項1乃至3の何れか一項に記載の方法。
  5. 前記コンディショニングガスを傾斜的に変化させるステップは、非線形傾斜である、請求項1乃至4の何れか一項に記載の方法。
  6. 前記コンディショニングするステップは、前記BARCの開口時に少なくとも100:1のフォトレジスト選択性を提供する、請求項4又は5に記載の方法。
  7. 前記エッチング層は、誘電層である、請求項1乃至6の何れか一項に記載の方法。
  8. 前記水素含有ガスはH2であり、前記フルオロカーボン及びハイドロフルオロカーボンの少なくとも一方はCF4である、請求項1乃至7の何れか一項に記載の方法。
  9. 前記コンディショニングガスは、1:10乃至2:1の初期H2対CF4流量比を有し、0乃至1:20の最終H2対CF4流量比を有する、請求項1乃至8の何れか一項に記載の方法。
  10. 基板上においてフォトレジストマスクを介してエッチング層内で要素をエッチングする方法であって、BARCが前記フォトレジストマスクと前記エッチング層との間に配置されており、前記方法は、
    フォトレジストマスクの下にエッチング層が配置された基板を、処理チャンバ内に配置するステップと、
    前記フォトレジストをコンディショニングするステップであって、
    或る流量の水素含有ガスと、或る流量のフルオロカーボン及びハイドロフルオロカーボンの少なくとも一方と、を含むコンディショニングガスを前記処理チャンバへ提供するステップ、及び
    コンディショニングプラズマを形成するために前記コンディショニングガスを活性化する工程であって、前記フォトレジストマスクのコンディショニングが前記BARCを開口するとともに前記BARCの開口時に少なくとも100:1のフォトレジスト選択性を提供するステップと、
    前記フルオロカーボン及びハイドロフルオロカーボンの前記少なくとも一方の前記流量に対する前記水素含有ガスの前記流量の比が増加することなく1:2乃至5:4から0乃至1:20に傾斜的に減少するように、前記コンディショニングガスを傾斜的に変化させるステップと、
    前記コンディショニングプラズマを停止するステップと、
    を含むコンディションニングステップと、
    前記コンディショニングプラズマを停止した後に、前記コンディショニングプラズマとは異なるエッチングプラズマを前記処理チャンバに提供するステップと、
    前記エッチングプラズマにより前記エッチング層内で要素をエッチングするステップと、
    を備える方法。
  11. 基板上において反射防止膜及びフォトレジストマスクを介してエッチング層内で要素をエッチングする方法であって、
    反射防止膜及びフォトレジストマスクの下にエッチング層が配置された基板を、処理チャンバ内に配置するステップと、
    前記反射防止膜を開口させるステップであって、
    或る流量の水素含有ガスと、或る流量のフルオロカーボン及びハイドロフルオロカーボンの少なくとも一方とを含むコンディショニングガスを前記処理チャンバへ提供するステップ、及び
    コンディショニングプラズマを形成するために前記コンディショニングガスを活性化するステップを含む
    開口ステップと、
    前記フルオロカーボン及びハイドロフルオロカーボンの前記少なくとも一方の前記流量に対する前記水素含有ガスの前記流量の比が増加することなく傾斜的に減少するように、前記コンディショニングガスを傾斜的に変化させるステップと、
    前記反射防止膜を開口させた後に前記コンディショニングプラズマを停止するステップと、
    前記コンディショニングプラズマを停止した後に、前記コンディショニングプラズマとは異なるエッチングプラズマを前記処理チャンバに提供するステップと、
    前記反射防止膜及びフォトレジストマスクを介して、前記エッチングプラズマにより前記エッチング層内で要素をエッチングするステップと、
    を備える方法。
  12. 前記水素含有ガスはH2である、請求項11に記載の方法。
  13. 前記コンディショニングプラズマは、in−situプラズマである、請求項11乃至12のいずれか一項に記載の方法。
  14. 前記コンディショニングするステップは、ARC開口時に少なくとも100:1のフォトレジスト選択性を提供する、請求項11乃至13の何れか一項に記載の方法。
  15. 前記水素含有ガスはH2であり、前記フルオロカーボン及びハイドロフルオロカーボンの少なくとも一方はCF4である、請求項11乃至14の何れか一項に記載の方法。
  16. 前記コンディショニングガスは、1:2乃至5:4の初期H2対CF4流量比を有し、0乃至1:20の最終H2対CF4流量比を有する、請求項11乃至15の何れか一項に記載の方法。
  17. 請求項1乃至16の何れか一項に記載の方法により形成された半導体チップ。
  18. 請求項1乃至16の何れか一項に記載の方法を実行する装置。
JP2007538921A 2004-10-27 2005-09-23 水素流量傾斜化によるフォトレジストプラズマコンディショニング工程を含むエッチング方法及び装置 Expired - Fee Related JP5086090B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/975,209 2004-10-27
US10/975,209 US7053003B2 (en) 2004-10-27 2004-10-27 Photoresist conditioning with hydrogen ramping
PCT/US2005/034172 WO2006049736A1 (en) 2004-10-27 2005-09-23 Etching method including photoresist plasma conditioning step with hydrogen flow rate ramping

Publications (3)

Publication Number Publication Date
JP2008518463A JP2008518463A (ja) 2008-05-29
JP2008518463A5 JP2008518463A5 (ja) 2009-05-21
JP5086090B2 true JP5086090B2 (ja) 2012-11-28

Family

ID=35517501

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007538921A Expired - Fee Related JP5086090B2 (ja) 2004-10-27 2005-09-23 水素流量傾斜化によるフォトレジストプラズマコンディショニング工程を含むエッチング方法及び装置

Country Status (6)

Country Link
US (2) US7053003B2 (ja)
JP (1) JP5086090B2 (ja)
KR (1) KR101335137B1 (ja)
CN (1) CN101061436B (ja)
TW (1) TWI423322B (ja)
WO (1) WO2006049736A1 (ja)

Families Citing this family (149)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060134917A1 (en) * 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
US20070163995A1 (en) * 2006-01-17 2007-07-19 Tokyo Electron Limited Plasma processing method, apparatus and storage medium
JP5362176B2 (ja) * 2006-06-12 2013-12-11 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP5276289B2 (ja) * 2006-08-25 2013-08-28 アイメック 高アスペクト比ビアエッチング
US7309646B1 (en) * 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US7785484B2 (en) * 2007-08-20 2010-08-31 Lam Research Corporation Mask trimming with ARL etch
US8010225B2 (en) * 2008-01-30 2011-08-30 International Business Machines Corporation Method and system of monitoring manufacturing equipment
CN101625966A (zh) * 2008-07-11 2010-01-13 东京毅力科创株式会社 基板处理方法
JP2010041028A (ja) * 2008-07-11 2010-02-18 Tokyo Electron Ltd 基板処理方法
US8298958B2 (en) * 2008-07-17 2012-10-30 Lam Research Corporation Organic line width roughness with H2 plasma treatment
US20100018944A1 (en) * 2008-07-25 2010-01-28 United Microelectronics Corp. Patterning method
JP5107842B2 (ja) * 2008-09-12 2012-12-26 東京エレクトロン株式会社 基板処理方法
JP5486883B2 (ja) * 2009-09-08 2014-05-07 東京エレクトロン株式会社 被処理体の処理方法
CN101777493A (zh) * 2010-01-28 2010-07-14 上海宏力半导体制造有限公司 硬掩膜层刻蚀方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8304262B2 (en) 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8883028B2 (en) * 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8999184B2 (en) 2012-08-03 2015-04-07 Lam Research Corporation Method for providing vias
JP6030886B2 (ja) * 2012-08-09 2016-11-24 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8828744B2 (en) 2012-09-24 2014-09-09 Lam Research Corporation Method for etching with controlled wiggling
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) * 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9711365B2 (en) 2014-05-02 2017-07-18 International Business Machines Corporation Etch rate enhancement for a silicon etch process through etch chamber pretreatment
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9613824B2 (en) * 2015-05-14 2017-04-04 Tokyo Electron Limited Etching method
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56111246A (en) * 1980-01-23 1981-09-02 Chiyou Lsi Gijutsu Kenkyu Kumiai Preparation of semiconductor device
JPS57181378A (en) * 1981-04-30 1982-11-08 Toshiba Corp Dry etching method
JPS5939048A (ja) * 1982-08-27 1984-03-03 Toshiba Corp 半導体装置の製造方法
JPH0770518B2 (ja) * 1986-02-25 1995-07-31 日本電装株式会社 ドライエツチング方法
JPS6313334A (ja) 1986-07-04 1988-01-20 Hitachi Ltd ドライエツチング方法
US4729815A (en) 1986-07-21 1988-03-08 Motorola, Inc. Multiple step trench etching process
JPH01251617A (ja) * 1988-03-31 1989-10-06 Toshiba Corp ドライエッチング方法
US6040619A (en) 1995-06-07 2000-03-21 Advanced Micro Devices Semiconductor device including antireflective etch stop layer
JP3865323B2 (ja) * 1996-03-14 2007-01-10 富士通株式会社 エッチング方法及び半導体装置の製造方法
US5843226A (en) 1996-07-16 1998-12-01 Applied Materials, Inc. Etch process for single crystal silicon
EP0822582B1 (en) * 1996-08-01 2003-10-01 Surface Technology Systems Plc Method of etching substrates
US5807789A (en) 1997-03-20 1998-09-15 Taiwan Semiconductor Manufacturing, Co., Ltd. Method for forming a shallow trench with tapered profile and round corners for the application of shallow trench isolation (STI)
US6183940B1 (en) * 1998-03-17 2001-02-06 Integrated Device Technology, Inc. Method of retaining the integrity of a photoresist pattern
US6103457A (en) * 1998-05-28 2000-08-15 Philips Electronics North America Corp. Method for reducing faceting on a photoresist layer during an etch process
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6228279B1 (en) * 1998-09-17 2001-05-08 International Business Machines Corporation High-density plasma, organic anti-reflective coating etch system compatible with sensitive photoresist materials
TW419635B (en) * 1999-02-26 2001-01-21 Umax Data Systems Inc Scanner calibration device and method
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6449038B1 (en) 1999-12-13 2002-09-10 Applied Materials, Inc. Detecting a process endpoint from a change in reflectivity
JP3447647B2 (ja) * 2000-02-25 2003-09-16 株式会社日立製作所 試料のエッチング方法
JP2002289592A (ja) * 2001-03-28 2002-10-04 Sony Corp 半導体装置の製造方法
JP2003264228A (ja) * 2002-03-08 2003-09-19 Seiko Epson Corp 半導体装置の製造方法
CN100440449C (zh) * 2002-06-27 2008-12-03 东京毅力科创株式会社 等离子体处理方法
US7135410B2 (en) 2003-09-26 2006-11-14 Lam Research Corporation Etch with ramping

Also Published As

Publication number Publication date
CN101061436B (zh) 2011-05-11
WO2006049736A1 (en) 2006-05-11
US20060089005A1 (en) 2006-04-27
JP2008518463A (ja) 2008-05-29
US20060124242A1 (en) 2006-06-15
TWI423322B (zh) 2014-01-11
KR20070085441A (ko) 2007-08-27
CN101061436A (zh) 2007-10-24
KR101335137B1 (ko) 2013-12-09
US7053003B2 (en) 2006-05-30
US7682480B2 (en) 2010-03-23
TW200625441A (en) 2006-07-16

Similar Documents

Publication Publication Date Title
JP5086090B2 (ja) 水素流量傾斜化によるフォトレジストプラズマコンディショニング工程を含むエッチング方法及び装置
US7491647B2 (en) Etch with striation control
TWI447800B (zh) 光阻雙重圖形化
US7838426B2 (en) Mask trimming
US20070264830A1 (en) Pitch reduction
US7785484B2 (en) Mask trimming with ARL etch
US7491343B2 (en) Line end shortening reduction during etch
KR20060126909A (ko) 피쳐 임계 치수의 감소
JP2008524851A (ja) エッチマスクの特徴部の限界寸法の低減
JP4791964B2 (ja) ランピングによるエッチング方法及び装置
TWI488233B (zh) 藉由開孔之抗反射層施行之臨界尺寸偏差負載控制
TWI405265B (zh) 均勻控制的蝕刻
JP2008536305A (ja) フォトレジストマスクを用いたエッチング
US8668805B2 (en) Line end shortening reduction during etch
WO2009152036A1 (en) Organic arc etch selective for immersion photoresist
US20060011578A1 (en) Low-k dielectric etch

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080919

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080919

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090403

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090406

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110308

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110607

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110614

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110830

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120529

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120724

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120814

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120906

R150 Certificate of patent or registration of utility model

Ref document number: 5086090

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150914

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees