CN101061436A - 包括具有氢流速渐变的光刻胶等离子体老化步骤的蚀刻方法 - Google Patents

包括具有氢流速渐变的光刻胶等离子体老化步骤的蚀刻方法 Download PDF

Info

Publication number
CN101061436A
CN101061436A CNA2005800370648A CN200580037064A CN101061436A CN 101061436 A CN101061436 A CN 101061436A CN A2005800370648 A CNA2005800370648 A CN A2005800370648A CN 200580037064 A CN200580037064 A CN 200580037064A CN 101061436 A CN101061436 A CN 101061436A
Authority
CN
China
Prior art keywords
plasma
gas
aging
arbitrary
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005800370648A
Other languages
English (en)
Other versions
CN101061436B (zh
Inventor
克伦·雅各布斯·卡纳里克
A·埃普勒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101061436A publication Critical patent/CN101061436A/zh
Application granted granted Critical
Publication of CN101061436B publication Critical patent/CN101061436B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明提供了通过光刻胶掩模(212)在衬底(204)上方在蚀刻层(208)中蚀刻特征的方法。将具有设置在光刻胶掩模下面的蚀刻层的衬底置于加工室中。对光刻胶掩模进行老化,其中所述老化包括向所述加工室提供老化气体,所述老化气体包括具有一定流速的碳氟化合物和氢氟碳化合物中的至少一种以及具有一定流速的含氢气体;和使所述老化气体能量化以形成老化等离子体从而使光刻胶(214)硬化。停止所述老化等离子体。向所述加工室提供蚀刻等离子体,其中所述蚀刻等离子体不同于所述老化等离子体。用所述蚀刻等离子体在蚀刻层(208)中蚀刻特征。

Description

包括具有氢流速渐变的光刻胶等离子体老化步骤的蚀刻方法
背景技术
本发明涉及光刻胶掩模在半导体器件制备中的用途。具体而言,本发明涉及在半导体器件制备过程中通过光刻胶掩模蚀刻。
在半导体晶片加工过程中,采用公知的图案化和蚀刻方法将半导体器件的特征限定在晶片中。在这些方法中,可以在晶片上沉积光刻胶(PR)材料,然后暴露到由分划板过滤过的光中。分划板通常是指用示例性特征几何形状进行图案化处理过的玻璃板,所述示例性特征几何形状阻挡光穿过分划板。
光在通过分划板之后,接触光刻胶材料的表面。光改变了光刻胶材料的化学组成,使得显影剂可以去除部分光刻胶材料。在正性光刻胶材料的情况下,去除的是暴露的区域,而在负性光刻胶材料的情况下,去除的是未暴露的区域。随后,蚀刻晶片以从不再受到光刻胶材料保护的区域中去除下面的材料,由此在晶片中制备所需的特征。
为了提供增加的密度,减少了特征尺寸。这可以通过减少特征的临界尺寸(CD)来实现,而所述减少需要有提高的光刻胶分辨率。提高光刻胶分辨率的一种方式是提供更薄的光刻胶掩模。
人们正在追求新型光刻胶材料(193和157nm PR)来在光刻胶中制备小的CD尺寸,但是和以前的DIV和248nm光刻胶掩模相比,这些光刻胶的抗等离子体破坏性较差。另外,采用现有的单层方法,必须采用越来越薄的光刻胶来和特征的分辨率保持一致。这样可能无法为氧化物蚀刻提供足量的光刻胶,而且可能导致其它问题,比如条纹。为了跟得上越来越小的特征尺寸,本行业一直在研究新的技术,比如包括数个加工步骤的多层方法。毫无疑问,转用新技术将代价昂贵而且耗时。
发明内容
为了实现上述内容并和本发明的目标相一致,提供了通过光刻胶掩模在衬底上方在蚀刻层中蚀刻特征的方法。将具有设置在光刻胶掩模下面的蚀刻层的衬底置于加工室中。对光刻胶掩模进行老化,其中所述老化包括向加工室提供老化气体,所述老化气体包括具有一定流速的碳氟化合物和氢氟碳化合物中的至少一种和具有一定流速的含氢气体;使所述老化气体能量化以形成老化等离子体。所述老化等离子体被停止(stepped)。向加工室提供蚀刻等离子体,其中所述蚀刻等离子体不同于所述老化等离子体。用蚀刻等离子体在蚀刻层中蚀刻特征。
在本发明的另一方面,提供了通过防反射涂层和光刻胶掩模在衬底上方在蚀刻层中蚀刻特征的方法。将在防反射涂层和光刻胶掩模下方设置有蚀刻层的衬底放置在加工室中。打开防反射涂层,包括向加工室提供老化气体,所述老化气体包括具有一定流速的碳氟化合物和氢氟碳化合物中的至少一种和具有一定流速的含氢气体;使所述老化气体能量化以形成老化等离子体。在打开防反射涂层之后停止所述老化等离子体。向加工室提供蚀刻等离子体,其中所述蚀刻等离子体和老化等离子体不同。采用蚀刻等离子体通过防反射涂层和光刻胶掩模在蚀刻层中蚀刻特征。
在下面发明详述将结合附图对本发明的这些和其它特征进行更详细的描述。
附图说明
在附图的图中通过举例对本发明进行了说明,而不是对本发明的限制,其中相似的附图标记是指相似的元件,而且其中:
图1是本发明实施方案的高级流程图。
图2A-2C是根据本发明加工过的衬底的剖面示意图。
图3是可以在本发明优选实施方案中使用的加工室的示意图。
图4是具有氢渐变(ramp)的掩模老化实施方案的更详细流程图。
图5是在老化过程中老化气体中H2的流速和时间的关系图。
图6图示了采用本发明的渐变处理通过改变所述渐变的初始流速来实现最终顶部CD的调谐式减少。
图7是H2流速的不同渐变方案图。
图8A和8B示出了计算机系统,它适用于操作本发明实施方案中所用的控制器。
图9是离散的、不连续的阶跃函数渐变图。
优选实施方案详述
现在参考附图中所示的一些优选实施方案详细描述本发明。在下列描述中,为了提供对本发明的全面理解,给出了众多的具体细节。但是,对本领域普通技术人员而言,本发明可以在没有一些或全部这些具体细节的情况下实施。在其它情况下,为了不至于不必要地使本发明变得晦涩,对已知的工艺步骤和/或结构没有进行详细的描述。
图1是本发明实施方案的高级流程图。将具有蚀刻层和掩模的衬底提供到加工室(步骤104)。图2A是衬底204的剖面示意图,所述衬底可以是晶片或者晶片上的层的一部分。在衬底204上形成蚀刻层208。虽然所示的蚀刻层208位于衬底204上,但是在其它实施方案中,在蚀刻层208和衬底204之间可以具有一层或多层。在蚀刻层208上方形成掩模212。在该实例中,在蚀刻层208和掩模212之间放置了BARC(底部防反射涂层)210。在优选实施方案中,蚀刻层208是单层。优选在所述形成蚀刻层的单层的整个厚度上所述单层是均匀的。
图3是在本发明优选实施方案中可以使用的加工室300的示意图。在该实施方案中,等离子体加工室300是LamResearch Corp.,Fremont,California生产的200mm 2300 Exelan,它包括密封环302、上部电极304、下部电极308、气体源310和排气泵320。气体源310可以包括第一气体源312、第二气体源314和第三气体源316。在等离子体加工室300内,其上沉积有蚀刻层的衬底晶片204被设置在下部电极308上。下部电极308结合了用于夹持衬底晶片204的合适的衬底夹紧机构(例如,静电夹持、机械夹持等)。反应器顶部328结合了上部电极304,上部电极304被设置成和下部电极308正相对。上部电极304、下部电极308和密封环302限定了被封闭的等离子体体积340。气体由气体源310通过气体进口343供给所述被封闭的等离子体体积,由排气泵320通过密封环302和排气口从所述被封闭的等离子体体积中排出。排气泵320形成等离子体加工室的气体出口。在该实施方案中,上部电极304接地。RF源(Source)348电连接到下部电极308上。室壁352限定其中设置了密封环302、上部电极304和下部电极308的等离子体外壳。RF源348可以包括27MHz电源和2MHz电源。将RF能量(power)连接至电极的不同组合都是可行的。控制器335可控性地连接到RF源348、排气泵320、密封环302、连接到第一气体源312的第一控制阀337、连接到第二气体源314的第二控制阀339、和连接到第三气体源316的第三控制阀341上。气体入口343将来自气体源312、314、316的气体提供至等离子体加工外壳内。可以将喷头连接到气体入口343。气体入口343可以是针对每个气体源的单一入口,或者针对每个气体源的不同入口、或者针对每个气体源的多个入口、或者其它可行的组合。本发明的其它实施方案可以采用其它类型的等离子体加工室,比如Lam Research Inc生产的2300 Exelan。
图8A和8B示出了计算机系统800,它适于操作本发明实施方案中所用的控制器335。图8A示出了计算机系统的一种可行物理形式。当然,计算机系统可以具有许多物理形式,从集成电路、印刷线路板、小型手持设备直至巨型计算机。计算机系统800包括监控器802、显示器804、外壳806、盘驱动器808、键盘810和鼠标812。盘814是用于从计算机系统800往来传递数据的计算机可读介质。
图8B是计算机系统800的方框图实例。多个子系统连接在系统主线820上。处理器(一个或多个)822(也称作中央处理单元,或者CPU)连接到存储设备,包括存储器428上。存储器824包括随机访问存储器(RAM)和只读存储器(ROM)。如同本领域所公知的,ROM的作用是将数据和指令单向传递给CPU,RAM通常用于以双向方式传递数据和指令。这两种类型的存储器都可以包括任何合适的下述计算机可读介质。固定盘826也是和CPU 822双向连接;它提供了另外的数据存储容量,也可以包括任何下述的计算机可读介质。固定盘826可用于存储程序和数据等,通常是比一级存储(primary storage)慢的二级存储介质(比如硬盘)。应该认识到,保留在固定盘826中的信息在合适情况下可以作为虚拟存储器以标准方式结合到存储器824中。可移动盘814可以采用下述任何计算机可读介质形式。
CPU822也连接到各种输入/输出设备,比如显示器804、键盘810、鼠标812和扬声器830上。一般而言,输入/输出设备可以是任何下述设备:视频显示器、转球、鼠标、键盘、麦克风、触摸式显示器、转换卡读取器、磁带或者纸带读取器、图形输入板、手写笔、声音或者手写识别器、仿生读取器或者其它计算机。CPU822任选地可以通过网络接口840连接到另一计算机或者电讯网络上。采用所述网络接口,预期在执行上述方法步骤中CPU可以从网络接收信息,或者可以向网络输出信息。另外,本发明的方法实施方案可以仅仅在CPU822上执行,或者可以在网络比如Internet上结合远程CPU执行,其中远程CPU分担部分处理。
另外,本发明的实施方案进一步涉及具有计算机可读介质的计算机存储产品,所述产品具有位于其中的计算机代码以执行各种计算机实现的操作。介质和计算机代码可以是针对本发明的目的而特别设计和构建的,或者可以是计算机软件领域普通技术人员公知并可得的那些。计算机可读介质的实例包括但不限于:磁性介质比如硬盘、软盘和磁带;光学介质比如CD-ROM和全息摄影设备;磁光介质,比如可光读的盘;经特别配置以存储和执行程序代码的硬件设备,比如专用集成电路(ASIC)、可编程逻辑设备(PLD)以及ROM和RAM设备。计算机代码的实例包括机器代码,比如编译器生成的代码,和含有由计算机通过解释器执行的高级代码的文件。计算机可读介质也可以是如下计算机代码:它通过体现在载体波中的计算机数据信号传递,表示处理器可以执行的指令序列。
然后,提供掩模老化(步骤108)。图4是具有氢渐变的掩模老化实施方案的详细流程图(步骤108)。这通过向加工室300提供光刻胶老化气体来完成(步骤404)。光刻胶老化气体包含含氢气体,比如H2,和碳氟化合物与氢氟碳化合物中的至少一种,在本实例中是CF4。在光刻胶老化气体中也可以加入稀释剂,比如氩气。所以,在该实例中,由第一气体源312提供第一气体H2,由第二气体源314提供第二气体CF4。随后,可以将光刻胶老化气体转变成光刻胶老化等离子体(步骤408)。优选地,光刻胶老化等离子体在加工室中原位形成。在上面示出的加工室300中,这是通过由RF电源348提供RF能量到下部电极308来实现的,这激发老化气体从而将所述老化气体转变成老化等离子体。
在反应器中,所述老化通过老化气体的渐变而得到最优化(步骤412)。老化气体具有含氢气体的流速与碳氟化合物和氢氟碳化合物气体中的至少一种的流速之比。在老化气体的渐变期间,含氢气体流速与碳氟化合物和氢氟碳化合物气体中的至少一种的流速之比下降。在实例中,这是通过将含氢气体,比如H2,的流速渐变变小而氟烃和烃的流速保持大约不变来实现的。图5是在老化过程中老化气体中的H2流速和时间的关系图。如图所示,H2的流速504在t0时开始,流速是x,然后渐变变小,以至于在t1时H2流速变为0,如图所示,使得老化气体仅仅为CF4。一般而言,H2气体流速渐变变小导致老化气体中H2浓度渐变变小。在该实施方案中,光刻胶老化等离子体蚀刻通过BARC210的暴露部分,但是保留下蚀刻抗力更强的、硬化的光刻胶掩模212。图2B是在光刻胶老化之后衬底204、蚀刻层208、掩模212和BARC 210的剖面示意图,所述老化打开了BARC 210。在老化过程完成后,发现光刻胶掩模中孔隙的CD已经变小。
在其它实施方案中,H2的流速可以保持恒定,CF4的流速可以渐变增加。在这些实施方案中,含氢气体(H2)的流速与氢氟碳化合物或者碳氟化合物(CF4)的流速之比随着时间渐变变小。
尽管不希望受到理论的束缚,但是相信所述老化过程在光刻胶212上形成了保护层214,其除了使光刻胶掩模硬化以外还使得CD减少。由于在BARC 210打开期间蚀刻掩模的厚度实际上增加,所以本发明提供了一种具有近乎无限大的光刻胶选择性的打开BARC的方式。优选的,所述老化在打开BARC时,提供了至少100∶1的光刻胶选择性。另一方面,可能是老化等离子体中氢的存在可能导致了化学反应,所述化学反应是使蚀刻掩模硬化而不是形成保护层,或者是使蚀刻掩模硬化并形成保护层。
然后,通过老化后的光刻胶掩模在蚀刻层中蚀刻特征(步骤112)。在该实施方案中,蚀刻层208是二氧化硅介电体。虽然在蚀刻过程中要去除一些光刻胶,但是已经发现所述老化使得光刻胶掩模硬化,因而在蚀刻期间去除了较少的光刻胶。所述硬化可以或者通过在光刻胶上形成层或者通过使光刻胶本身硬化来实现。图2C是在完成了氧化物蚀刻之后衬底204、蚀刻层208、掩模212和BARC 210的剖面示意图。
通过改变初始或最终的H2流速或者渐变时间或者渐变曲线(profile),CD减少也可以发生变化并受控。图6示出了采用H2渐变处理通过改变所述渐变的初始流速而实现的最终顶部CD的调谐减少,所述顶部CD的调谐减少在0-25nm之间变化。从数据点和曲线604可以发现,当没有提供H2时,顶部CD是130nm。当H2流速在40-90sccm之间开始并线性渐变变小时,顶部CD是大约100nm,该减少值为25-20nm之间。
其它实施方案可以采用线性渐变以外的其它渐变曲线。图7图示了H2流速的不同渐变方案。线性渐变708是流速随着时间线性减小的渐变,如图所示。亚线性渐变712是其中流速随着时间以亚线性方式下降的渐变,如图所示。这种类型渐变的实例是指数函数、二次函数或者双曲线函数,但是许多其它更复杂的函数也是合适的。超线性渐变704是其中流速随着时间以超线性方式减少的渐变,如图所示。在该图中所述渐变都是连续渐变,所述连续渐变在实践中可以利用软件或者一系列模仿所述渐变的离散步骤进行近似。
图9是离散的、不连续的阶跃函数渐变904的图。该离散渐变904使流速随着时间以离散步阶下降。虚线916显示出离散渐变904提供了流速随着时间的线性下降。在该实例中,示出了7个离散步阶。其它实施方案可以具有更多或更少的步阶。优选的,阶跃函数具有至少三个步阶。更优选的,阶跃函数具有至少5个步阶。更优选的,阶跃函数具有至少7个步阶。最优选的,阶跃函数具有软件可以合理提供的许多个步阶,以最相似的模仿连续渐变。对于采用离散步阶的渐变而言,渐变持续的时间定义为从第一个步阶的结尾到最后一个步阶的开始。在所述定义下,渐变是从时间t1到t2,如图所示。步阶可以单独手动输入,或者一旦指定了端点就可以通过计算机生成。一般而言,计算机可读介质814具有计算机可读对象代码,所述代码指导控制器335提供离散的或者连续的渐变。
H2渐变是所述处理的组成部分,这是因为它利用了不同H2∶CF4比值的不同行为。对于CF4/H2混合物而言,观察到了三种行为模式。仅有CF4的等离子体蚀刻光刻胶、BARC和氧化物。仅仅单独采用CF4打开BARC的过程可以去除至多一半的光刻胶掩模。这样使得CD增加,并用尽光刻胶。在CF4等离子体中加入少量H2也会蚀刻,但是在H2流量充足时,混合物在光刻胶上形成光滑的沉积层。该层保护光刻胶,蚀刻BARC,但是不蚀刻氧化物并导致蚀刻停止。最后,发现加入大量H2会蚀刻光刻胶,但是不蚀刻BARC或氧化物,和仅有H2的等离子体的蚀刻行为类似,它也可以使CD增大。在这三个不同模式之间之间的交叉流量(crossover flow)依赖于等离子体功率和CF4流量。例如,在200-400W、27MHz、60-100sccm CF4的情况下,交叉流量是~7和~60sccm H2。如果没有渐变,那么在蚀刻或者沉积模式之间会需要权衡。为了利用沉积模式的优点,但不出现蚀刻停止,那么混合物从沉积模式渐变到蚀刻模式(H2流量从高变到低)。
本发明通过将软的光刻胶,比如193nm和157nm光刻胶老化成耐蚀刻性更强的光刻胶,减少了光刻胶的损失。本发明能够通过允许采用更薄的光刻胶掩模(这样提供了更高的分辨率)并通过提供CD比初始掩模图案的CD小的氧化物蚀刻特征,减少了特征的CD(在一个实施方案中,高达30nm(CD减少~25%))。这种CD减少具有重大意义,这是因为由于对CD公差的要求日益严格,所以当器件特征变小为<100nm时,在纳米尺度上对CD进行控制可能直接关系到器件的性能。另外,本发明的方法减少了条纹。条纹可能导致缺陷增加。
本发明的渐变提供了另外的调谐参数,比如初始流速、最终流速和渐变曲线,以对所述调谐进行改进来获得各种所需的结果。
本发明的其它实施方案将使含氢组分气体比如CHF3、CH2F2、CH3F、CH4渐变。可用于其它实施方案的碳氟化合物和氢氟碳化合物的实例是CHF3、CH2F2和CH3F。
在本发明的实施方案中,形成老化等离子体的老化气体包含H2和CF4,其中H2和CF4的初始流速比为1∶10-2∶1。更优选的,H2和CF4的初始流速比为1∶8-3∶2。最优选的,H2和CF4的初始流速比为1∶2-5∶4。在渐变结束时,优选H2和CF4的最终流速比为0-1∶20。
对于2300 Exelan而言,H2的初始流速为5-200sccm。更优选的,H2的初始流速为10-150sccm。最优选的,H2的初始流速为15-100sccm。优选的,CF4的流速是20-200sccm。更优选的,CF4的流速是20-150sccm。最优选的,CF4的流速是30-120sccm。优选的,在老化过程中,以大约27MHz提供50-900瓦。更优选的,在老化过程中,以大约27MHz提供100-800瓦。优选的,还以大约2MHz提供0-300瓦。
优选的,本发明的方法用于老化覆盖着介电层的光刻胶蚀刻掩模。介电层可以是有机或无机材料,比如氧化硅、氮化硅和有机硅酸盐玻璃。
优选的,所述光刻胶老化和打开BARC以单一渐变来完成,从而不重复渐变,而是在开始介电体蚀刻之前仅仅进行一次。
在其它实施方案中,可以采用其它防反射涂层(ARC)代替BARC(底部防反射涂层),或者完全不用ARC。
尽管根据数个优选实施方案描述了本发明,但是存在着落在本发明范围内的改变、变化和各种替代性等同物。应该注意的是,有许多替换方式来执行本发明的方法和装置。所以,下面所述的权利要求应该理解成包括落在本发明真实精神和范围内的所有这些改变、变化和各种替代性等同物。

Claims (19)

1.一种用于通过光刻胶掩模在衬底上方在蚀刻层中蚀刻特征的方法,包括:
将具有设置在光刻胶掩模下面的蚀刻层的衬底置于加工室中;
对光刻胶掩模进行老化,包括:
向所述加工室提供老化气体,所述老化气体包括具有一定流速的碳氟化合物和氢氟碳化合物中的至少一种以及具有一定流速的含氢气体;和
使所述老化气体能量化以形成老化等离子体;和
停止所述老化等离子体;
向所述加工室提供蚀刻等离子体,其中所述蚀刻等离子体不同于所述老化等离子体;和
用所述蚀刻等离子体在蚀刻层中蚀刻特征。
2.权利要求1的方法,进一步包括使老化气体渐变,以使含氢气体的流速与碳氟化合物和氢氟碳化合物中的至少一种的流速之比渐变下降。
3.权利要求1-2任一的方法,其中所述含氢气体是H2
4.权利要求1-3任一的方法,其中所述老化等离子体是原位等离子体。
5.权利要求1-4任一的方法,其中在光刻胶掩模和蚀刻层之间设置BARC,其中所述光刻胶掩模的老化打开了BARC。
6.权利要求2-5任一的方法,其中所述渐变是非线性渐变。
7.权利要求5-6任一的方法,其中所述老化在打开BARC时提供至少100∶1的光刻胶选择性。
8.权利要求1-7任一的方法,其中所述蚀刻层是介电层。
9.权利要求1-8任一的方法,其中所述含氢气体是H2,所述氟碳化合物和氢氟碳化合物中的至少一种是CF4
10.权利要求1-9任一的方法,其中所述老化气体的初始H2和CF4的流速比为1∶10-2∶1,最终H2和CF4流速比为0-1∶20。
11.一种由权利要求1-10任一的方法形成的半导体芯片。
12.一种用于实施权利要求1-10任一的方法的装置。
13.一种用于通过防反射涂层和光刻胶掩模在衬底上方在蚀刻层中蚀刻特征的方法,包括:
将具有设置在防反射涂层和光刻胶掩模下面的蚀刻层的衬底放置在加工室中;
打开防反射涂层,包括:
向加工室提供老化气体,所述老化气体包括具有一定流速的碳氟化合物和氢氟碳化合物中的至少一种和具有一定流速的含氢气体;和
使所述老化气体能量化以形成老化等离子体;和
在打开防反射涂层之后停止所述老化等离子体;
向加工室提供蚀刻等离子体,其中所述蚀刻等离子体和老化等离子体不同;和
采用蚀刻等离子体通过防反射涂层和光刻胶掩模在蚀刻层中蚀刻特征。
14.权利要求13的方法,进一步包括使老化气体渐变,以使含氢气体的流速与碳氟化合物和氢氟碳化合物中的至少一种的流速之比渐变下降。
15.权利要求18的方法,其中所述含氢气体是H2
16.权利要求13-15任一的方法,其中所述老化等离子体是原位等离子体。
17.权利要求13-16任一的方法,其中所述老化在打开ARC时提供至少100∶1的光刻胶选择性。
18.权利要求13-17任一的方法,其中所述含氢气体是H2,所述氟碳化合物和氢氟碳化合物中的至少一种是CF4
19.权利要求1-18任一的方法,其中所述老化气体的初始H2和CF4的流速比为1∶2-5∶4,最终H2和CF4的流速比为0-1∶20。
CN2005800370648A 2004-10-27 2005-09-23 包括具有氢流速渐变的光刻胶等离子体老化步骤的蚀刻方法 Expired - Fee Related CN101061436B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/975,209 US7053003B2 (en) 2004-10-27 2004-10-27 Photoresist conditioning with hydrogen ramping
US10/975,209 2004-10-27
PCT/US2005/034172 WO2006049736A1 (en) 2004-10-27 2005-09-23 Etching method including photoresist plasma conditioning step with hydrogen flow rate ramping

Publications (2)

Publication Number Publication Date
CN101061436A true CN101061436A (zh) 2007-10-24
CN101061436B CN101061436B (zh) 2011-05-11

Family

ID=35517501

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005800370648A Expired - Fee Related CN101061436B (zh) 2004-10-27 2005-09-23 包括具有氢流速渐变的光刻胶等离子体老化步骤的蚀刻方法

Country Status (6)

Country Link
US (2) US7053003B2 (zh)
JP (1) JP5086090B2 (zh)
KR (1) KR101335137B1 (zh)
CN (1) CN101061436B (zh)
TW (1) TWI423322B (zh)
WO (1) WO2006049736A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101777493A (zh) * 2010-01-28 2010-07-14 上海宏力半导体制造有限公司 硬掩膜层刻蚀方法
CN103400761A (zh) * 2008-07-11 2013-11-20 东京毅力科创株式会社 基板处理方法

Families Citing this family (147)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060134917A1 (en) * 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
US20070163995A1 (en) * 2006-01-17 2007-07-19 Tokyo Electron Limited Plasma processing method, apparatus and storage medium
JP5362176B2 (ja) * 2006-06-12 2013-12-11 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP5276289B2 (ja) * 2006-08-25 2013-08-28 アイメック 高アスペクト比ビアエッチング
US7309646B1 (en) * 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US7785484B2 (en) * 2007-08-20 2010-08-31 Lam Research Corporation Mask trimming with ARL etch
US8010225B2 (en) * 2008-01-30 2011-08-30 International Business Machines Corporation Method and system of monitoring manufacturing equipment
CN101625966A (zh) * 2008-07-11 2010-01-13 东京毅力科创株式会社 基板处理方法
US8298958B2 (en) * 2008-07-17 2012-10-30 Lam Research Corporation Organic line width roughness with H2 plasma treatment
US20100018944A1 (en) * 2008-07-25 2010-01-28 United Microelectronics Corp. Patterning method
JP5107842B2 (ja) * 2008-09-12 2012-12-26 東京エレクトロン株式会社 基板処理方法
JP5486883B2 (ja) * 2009-09-08 2014-05-07 東京エレクトロン株式会社 被処理体の処理方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8304262B2 (en) 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8999184B2 (en) 2012-08-03 2015-04-07 Lam Research Corporation Method for providing vias
JP6030886B2 (ja) * 2012-08-09 2016-11-24 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8828744B2 (en) 2012-09-24 2014-09-09 Lam Research Corporation Method for etching with controlled wiggling
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) * 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9711365B2 (en) 2014-05-02 2017-07-18 International Business Machines Corporation Etch rate enhancement for a silicon etch process through etch chamber pretreatment
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9613824B2 (en) * 2015-05-14 2017-04-04 Tokyo Electron Limited Etching method
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56111246A (en) * 1980-01-23 1981-09-02 Chiyou Lsi Gijutsu Kenkyu Kumiai Preparation of semiconductor device
JPS57181378A (en) * 1981-04-30 1982-11-08 Toshiba Corp Dry etching method
JPS5939048A (ja) * 1982-08-27 1984-03-03 Toshiba Corp 半導体装置の製造方法
JPH0770518B2 (ja) * 1986-02-25 1995-07-31 日本電装株式会社 ドライエツチング方法
JPS6313334A (ja) 1986-07-04 1988-01-20 Hitachi Ltd ドライエツチング方法
US4729815A (en) 1986-07-21 1988-03-08 Motorola, Inc. Multiple step trench etching process
JPH01251617A (ja) * 1988-03-31 1989-10-06 Toshiba Corp ドライエッチング方法
US6040619A (en) 1995-06-07 2000-03-21 Advanced Micro Devices Semiconductor device including antireflective etch stop layer
JP3865323B2 (ja) * 1996-03-14 2007-01-10 富士通株式会社 エッチング方法及び半導体装置の製造方法
US5843226A (en) 1996-07-16 1998-12-01 Applied Materials, Inc. Etch process for single crystal silicon
DE69725245T2 (de) 1996-08-01 2004-08-12 Surface Technoloy Systems Plc Verfahren zur Ätzung von Substraten
US5807789A (en) 1997-03-20 1998-09-15 Taiwan Semiconductor Manufacturing, Co., Ltd. Method for forming a shallow trench with tapered profile and round corners for the application of shallow trench isolation (STI)
US6183940B1 (en) * 1998-03-17 2001-02-06 Integrated Device Technology, Inc. Method of retaining the integrity of a photoresist pattern
US6103457A (en) * 1998-05-28 2000-08-15 Philips Electronics North America Corp. Method for reducing faceting on a photoresist layer during an etch process
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6228279B1 (en) * 1998-09-17 2001-05-08 International Business Machines Corporation High-density plasma, organic anti-reflective coating etch system compatible with sensitive photoresist materials
TW419635B (en) * 1999-02-26 2001-01-21 Umax Data Systems Inc Scanner calibration device and method
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6449038B1 (en) 1999-12-13 2002-09-10 Applied Materials, Inc. Detecting a process endpoint from a change in reflectivity
JP3447647B2 (ja) * 2000-02-25 2003-09-16 株式会社日立製作所 試料のエッチング方法
JP2002289592A (ja) * 2001-03-28 2002-10-04 Sony Corp 半導体装置の製造方法
JP2003264228A (ja) * 2002-03-08 2003-09-19 Seiko Epson Corp 半導体装置の製造方法
WO2004003988A1 (ja) * 2002-06-27 2004-01-08 Tokyo Electron Limited プラズマ処理方法
US7135410B2 (en) 2003-09-26 2006-11-14 Lam Research Corporation Etch with ramping

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103400761A (zh) * 2008-07-11 2013-11-20 东京毅力科创株式会社 基板处理方法
CN103400761B (zh) * 2008-07-11 2016-06-22 东京毅力科创株式会社 基板处理方法
CN101777493A (zh) * 2010-01-28 2010-07-14 上海宏力半导体制造有限公司 硬掩膜层刻蚀方法

Also Published As

Publication number Publication date
US20060124242A1 (en) 2006-06-15
KR20070085441A (ko) 2007-08-27
US7682480B2 (en) 2010-03-23
JP2008518463A (ja) 2008-05-29
JP5086090B2 (ja) 2012-11-28
CN101061436B (zh) 2011-05-11
KR101335137B1 (ko) 2013-12-09
TW200625441A (en) 2006-07-16
TWI423322B (zh) 2014-01-11
US20060089005A1 (en) 2006-04-27
WO2006049736A1 (en) 2006-05-11
US7053003B2 (en) 2006-05-30

Similar Documents

Publication Publication Date Title
CN101061436B (zh) 包括具有氢流速渐变的光刻胶等离子体老化步骤的蚀刻方法
TWI447800B (zh) 光阻雙重圖形化
CN100543946C (zh) 蚀刻掩模特征临界尺寸的减小
US7491647B2 (en) Etch with striation control
US7772122B2 (en) Sidewall forming processes
US7785484B2 (en) Mask trimming with ARL etch
US8262920B2 (en) Minimization of mask undercut on deep silicon etch
US7838426B2 (en) Mask trimming
US20110117749A1 (en) Method for reducing line width roughness with plasma pre-etch treatment on photoresist
CN101971301B (zh) 利用稀有气体等离子的线宽粗糙度改进
CN101292197A (zh) 具有减小的线条边缘粗糙度的蚀刻特征
KR20060126909A (ko) 피쳐 임계 치수의 감소
CN102318037A (zh) 利用arc层打开的cd偏置负载控制
CN101057320A (zh) 具有均匀性控制的蚀刻
US8361564B2 (en) Protective layer for implant photoresist
KR20070046095A (ko) 유전층 에칭 방법
WO2009152036A1 (en) Organic arc etch selective for immersion photoresist
CN101060080A (zh) 控制掩模轮廓以控制特征轮廓

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110511

Termination date: 20190923