TWI447800B - 光阻雙重圖形化 - Google Patents

光阻雙重圖形化 Download PDF

Info

Publication number
TWI447800B
TWI447800B TW097149703A TW97149703A TWI447800B TW I447800 B TWI447800 B TW I447800B TW 097149703 A TW097149703 A TW 097149703A TW 97149703 A TW97149703 A TW 97149703A TW I447800 B TWI447800 B TW I447800B
Authority
TW
Taiwan
Prior art keywords
mask
gas
protective coating
computer readable
feature
Prior art date
Application number
TW097149703A
Other languages
English (en)
Other versions
TW200931513A (en
Inventor
Andrew R Romano
S M Reza Sadjadi
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200931513A publication Critical patent/TW200931513A/zh
Application granted granted Critical
Publication of TWI447800B publication Critical patent/TWI447800B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

光阻雙重圖形化
本發明係關於半導體元件之形成。具體而言,本發明係關於透過圖案化遮罩之蝕刻。
在半導體晶圓處理期間,係利用熟知的圖案化製程及蝕刻製程將半導體元件之特徵部定義於晶圓上。在這些製程中,將光阻(PR,photoresist)材料沉積於晶圓上,接著將其暴露於由初縮遮罩所過濾之光源下。此初縮遮罩一般為以阻擋光從初縮遮罩透射之例示性特徵部幾何形狀加以圖案化的玻璃平板。
當光通過初縮遮罩後,光將接觸光阻材料之表面。光會改變光阻材料之化學組成,以使顯影劑可移除部分的光阻材料。就正光阻材料而言,曝光區域將被移除;而就負光阻材料而言,未曝光區域將被移除。之後,蝕刻晶圓,以從不再受光阻材料保護之區域移除下層材料,從而於晶圓上定義期望之特徵部。
已知各種不同世代的光阻。深紫外光(DUV)光阻係曝光於248奈米(nm)之光源。目前,對於248奈米光阻而言,使用習知製程之光阻的典型臨界尺寸(CD,critical dimension)可為130~250奈米。由於光之特性乃取決於波長,由波長較長之光源加以曝光之光阻具有較大的理論最小臨界尺寸,為了提供具有較小CD之特徵部,利用波長較短之光源所形成的特徵部乃為追求之目標。193奈米光阻係曝光於193奈米之光源,利用相位偏移初縮遮罩及其他技術,使用193奈米光阻可形成55~100奈米CD之光阻圖案;這能提供具有90~100奈米CD之特徵部。193奈米浸潤式光阻係曝光於193奈米之光源,其利用水與晶圓表面直接接觸。可利用相位偏移初縮遮罩及其他技術以形成55奈米CD之光阻圖案,而這在未來將被擴展;這能提供具有次90奈米CD之特徵部。EUV(13奈米)光阻係曝光於13奈米之光源,利用此技術可形成次22奈米CD之光阻圖案;這能提供具有次55奈米CD之特徵部。
使用波長較短之光阻所帶來的額外問題可能多於使用波長較長之光阻。為獲得接近理論極限之CD,微影設備應更為精確,而其需要更昂貴的微影配備。波長較短之光阻可能不像波長較長之光阻具有較高的選擇性,且其在電漿蝕刻條件下可能更容易變形(除了典型使用248奈米基底骨幹作為其基底聚合物之EUV光阻以外)。
關於光微影印刷,就決定最大解析度(即它們所能印刷之最小圖案尺寸)之光學尺寸而論,用於PR圖案之193奈米浸潤式掃描器已達到其極限。為了超越光學極限以實現例如半節距圖案,可將設計圖案分離至兩遮罩。例如,在雙線路方法中,利用第一遮罩印刷第一PR遮罩圖案(具有第一組線路),而接著利用第二遮罩印刷第二PR遮罩圖案(具有第二組線路)。結合第一及第二組線路可減少線路節距至一半,這種方法被稱為『雙重圖形化』或『微影-蝕刻-微影-蝕刻』製程。習知的微影-蝕刻-微影-蝕刻製程典型包含兩次硬質遮罩之蝕刻:第一次係透過第一PR遮罩,而接著透過第二PR遮罩。這種微影-蝕刻-微影-蝕刻製程乃使用兩層硬質遮罩;第一硬質遮罩之蝕刻係透過第一PR遮罩;而第二硬質遮罩係透過第二PR遮罩選擇性地加以蝕刻。在任一情況下,需在第二PR遮罩形成前先將第一PR遮罩去除。
另一種雙重圖形化之方法係使用第一PR遮罩之保護層,其形成於塗佈第二PR材料於第一PR遮罩上之前。一般而言,當塗佈液態PR材料於具有圖案化PR遮罩的晶圓上時,圖案化PR遮罩之聚合物在其與大多數的有機溶劑接觸時會溶解。因此,可利用另一種配製系統(如一水溶性、酸可交聯之塗料)於第一PR遮罩上形成保護層,以預防圖案化PR遮罩溶解於第二PR材料之有機溶劑中。保護性塗料之溶劑最好不溶解第一PR遮罩,俾能使第一圖案化PR不受塗佈嚴重干擾。合適的溶劑可為水、氟溶劑、矽溶劑、或極性溶劑,如甲醇、乙醇或其他類似之醇類。當水溶性、酸可交聯之保護層被塗佈於第一PR遮罩上且被烘烤時,則水將被驅散,且剩餘之酸將從第一PR遮罩出來而至其表面。由於塗料為酸 可交聯性,交聯之聚合物塗層形成於第一PR遮罩之表面上。接著,可沖掉未交聯之塗料,留下具有交聯聚合物塗層之第一PR圖案。此製程可稱為第一PR遮罩圖案之『化學凍結』,因其『凍結』第一PR遮罩之形狀。
然而,雖然其為水溶性聚合物,交聯保護層塗層仍具有與有機溶劑之親和性。因此,當將第二PR材料液態塗佈於已上塗料之第一PR遮罩上方時,液態PR材料之有機溶劑將使交聯之區域形成一『膠體』,而第一PR圖案隆起且/或變形,其接著引起線邊緣粗糙(LER)、線失真及/或線舉升及缺陷。此外,為了維持原本的CD,於第一PR圖案上之交聯塗層最好越薄越好,但這將使變形問題惡化。
為達到上述及遵照本發明的目的,在一實施例中,提供一種將形成於基板上之蝕刻層加以蝕刻的方法。將具有第一遮罩特徵部之第一光阻(PR)遮罩設置於蝕刻層上。藉由包含至少一循環之製程將保護塗層設置於第一PR遮罩上。每一循環包含(a)沉積階段:利用沉積氣體將沉積層沉積於第一遮罩特徵部之表面上;(b)輪廓成形階段:利用輪廓成形氣體將沉積層之輪廓加以塑形。將液態PR材料塗佈於具有保護塗層之第一PR遮罩上。將此PR材料圖案化成為第二遮罩特徵部,其中第一及第二遮罩特徵部形成第二PR遮罩。透過第二PR遮罩而蝕刻此蝕刻層。
在本發明之另一實施例中,提供一種將保護塗層設置於圖案化PR遮罩上的設備,此圖案化PR遮罩具有第一遮罩特徵部,且該第一遮罩特徵部形成於配置在基板上之蝕刻層上。此設備包含電漿處理室,包含:一室壁,形成電漿處理室之外殼;一基板支座,支承在電漿處理室之外殼內之一基板;一壓力調節器,用以調節電漿處理室之外殼內的壓力;至少一電極,用以提供電力至電漿處理室之外殼,以維持一電漿;至少一RF電源,與至少一電極電性相連;一氣體入口,用以提供氣體至電漿處理室之外殼; 一氣體出口,用以從電漿處理室之外殼排出氣體。此設備更包含一與此氣體入口流體連通之氣體源,此氣體源包含一沉積氣體源及一輪廓成形氣體源。一控制器以可控制之方式連結至氣體源以及至少一RF電源。此控制器包含至少一處理器及電腦可讀媒體。電腦可讀媒體包含至少一循環之用以提供保護塗層於具有第一遮罩特徵部之圖案化PR遮罩上之電腦可讀碼,其中對於每一循環之電腦可讀碼具有下列用途:用以通入一沉積氣體至電漿室;用以由沉積氣體形成電漿,以將沉積層沉積於第一遮罩特徵部之表面上;用以停止沉積氣體之流動;用以通入一輪廓成形氣體至電漿處理室;用以由輪廓成形氣體形成電漿,以將沉積層之輪廓加以塑形;及用以停止輪廓成形氣體之流動。
本發明之特徵將連同附圖詳細說明如下。
本發明現在將參照一些較佳的實施例及舉例性附圖詳細地敘述。為了要提供本發明之全面性的了解,許多的具體的細節會在接下來的敘述中提出。然而對熟悉本技藝者,本發明在沒有這些具體細節的情況下仍可實施。在其他情況下,為了避免不必要地混淆本發明,熟知的製程步驟及/或結構並未詳細地描述。
本發明提供PR遮罩之新穎的『凍結』製程,其可使用於例如雙重圖形化製程中。為了幫助了解,圖1為可使用於本發明之實施例中之製程的高階流程圖。將具有第一遮罩特徵部之第一PR遮罩設置於蝕刻層上(步驟102)。圖2A為形成於基板202上之將被蝕刻之層204(蝕刻層)與具有第一PR遮罩特徵部210之第一PR遮罩208的示意橫剖面圖。抗反射塗層(ARC,antireflective coating)206可形成於蝕刻層204上、第一PR遮罩208之下。ARC層206可為有機或無機,且可包含底部抗反射塗層(BARC)及/或SiON層。蝕刻層204可為形成於介電層上之無定形碳層(ACL),上述之ACL可作為用以蝕刻下層介電層之硬質遮罩,無定形碳係類似一聚合物,但具有較少之氫及較多之碳,由於無定形碳在大 於200℃之高溫下以CVD的方式沉積,因此無定形碳比聚合物更有抗蝕刻性。或者,蝕刻層204可為介電層(如TEOS)。然而,本發明可應用在任何使用PR遮罩之蝕刻層。
第一PR遮罩208可利用微影製程(如193奈米水浸潤式微影技術)加以圖案化。然而,本發明亦可應用在其他微影技術製程。第一PR遮罩208之形成包含:於晶圓上液態塗佈PR材料(例如藉由旋轉塗佈)、利用第一初縮遮罩曝光(掃描)、烘烤、及顯影。在顯影之後,水溶性PR材料可被沖掉。利用習知的微影製程,第一PR遮罩特徵部210可具有一典型臨界尺寸(CD),其為兩鄰接第一PR遮罩特徵部210之間的間距212寬度,約55~100奈米。
接著於第一PR遮罩208上形成保護塗層(步驟104)。圖3為於第一PR遮罩上形成保護塗層之步驟104之更詳細的流程圖。依據本發明之實施例,保護塗層由至少一兩階段製程之循環而形成,此兩階段製程包含沉積階段302及輪廓成形階段304。將兩階段循環重複1~10次為較佳;最好將兩階段循環重複2~3次。在沉積階段302中,利用沉積氣體將沉積層沉積於第一PR遮罩特徵部210之表面上。在這個例子中,沉積階段302包含提供沉積氣體並由此沉積氣體產生電漿以形成沉積層。在這個例子中,沉積氣體具有聚合物形成配方。此聚合物形成配方之例為碳氫化合物氣體,如CH4 、C2 H2 、及C2 H4 ,及氟碳化合物氣體,如CH3 F、CH2 F2 、CHF3 、C4 F6 、及C4 F8 。另一個聚合物成形配方之例為氟碳化合物之化學物及含氫氣體,如含有CF4 及H2 之配方。圖2B為形成於第一PR遮罩208上之沉積層215的示意橫剖面圖。
在輪廓成形階段304中,利用輪廓成形氣體將沉積層215加以塑形或回蝕,俾能至少將第一PR遮罩特徵部210底部上之沉積層215移除。輪廓成形階段304包含提供輪廓成形氣體,並由此輪廓成形氣體產生輪廓成形電漿,以將此沉積層之輪廓加以塑形。輪廓成形氣體與沉積氣體不同。如圖3所示,沉積階段302及輪廓成形階段304並不同時發生。在這個例子中,輪廓成形氣體包含氟碳化合物之化學物,如CF4 、CHF3 、及CH2 F2 ,亦可使 用其他氣體,如O2 、N2 、及H2
在經由輪廓成形階段304將沉積層215加以塑形之後,圖2C為形成於第一PR遮罩特徵部210之表面上之具有保護塗層214之第一PR遮罩208的示意橫剖面圖。沉積-輪廓成形之循環可重複一次以上。如圖2C所示,保護塗層最好形成於第一PR遮罩特徵部210之頂部及側壁,但不形成於第一遮罩特徵部之底部224;即,保護塗層214暴露出ARC 206,亦期望保護塗層214具有本質上為垂直的高度保角形側壁。本質上為垂直之側壁之例子為從底部至頂部與特徵部底部形成88°~90°之間的角度之側壁。保護塗層之保角形側壁本質上從遮罩特徵部之頂部至底部皆有相同之厚度。非保角形側壁將形成琢面(faceting)或麵包塊(bread-loafing)之構造,其提供非本質上垂直之側壁。此外,保護塗層214盡可能越薄越好,以避免影響原訂之CD。例如,保護塗層214之厚度可約為0.5~30奈米,而約0.5~10奈米為較佳,最好是1~3奈米。每次循環之保護塗料的淨厚度可約為0.5~30奈米,而約0.5~5奈米為較佳,最好是1~3奈米。循環的次數可取決於每次循環之保護塗層的總厚度及每次循環之保護塗層的淨厚度。
圖4為依據本發明一實施例的電漿處理室400之示意圖,該電漿處理室400可用來在第一PR遮罩上設置保護層。電漿處理室400亦可在第二PR遮罩形成後用於隨後之蝕刻製程與剝除。電漿處理室400包含:限制環402、上電極404、下電極408、氣體源410、及排氣泵420。氣體源410包含沉積氣體源412及輪廓成形氣體源416;氣體源410可包含額外的氣體源,如蝕刻氣體源418及用以剝除遮罩之氣體源(未顯示)。
於電漿處理室400之內,將基板202置於下電極408上。下電極408包含用以夾住基板202之合適基板夾頭機構(如靜電機械式夾頭等)。反應器蓋428包含設置於下電極408正對面上電極404。上電極404、下電極408、及限制環402定義受限之電漿容積440。經由氣體源410供給氣體至此受限之電漿容積440,並經由排氣泵420將氣體由此受限之電漿容積440通過限制環402與 排氣口而排出。第一RF源444與上電極404電性相連,第二RF源448與下電極408電性相連。室壁452包圍限制環402、上電極404及下電極408。第一RF源444與第二RF源448兩者皆包含60MHz之電源、27MHz之電源、及2MHz之電源。連結RF電力與電極之不同組合皆有可能。在LAM Research Corporation之介電質蝕刻系統(如由位於加州佛利蒙(Fremont)之LAM Research CorporationTM 所製造的Exelan®系列,其可用在本發明之較佳實施例中)之情況中,60MHz、27MHz、及2MHz之電源組成與下電極連結之第二RF電源448,而上電極係為接地。
將控制器435以可控制之方式連結至RF源444及448、排氣泵420、及氣體源410。當蝕刻層204為介電層(如氧化矽、有機矽酸玻璃、或TEOS)時,可使用介電質蝕刻系統。介電質蝕刻系統也可用來蝕刻或打開硬質遮罩。控制器435控制RF源444及448、排氣泵420、沉積氣體源412、及輪廓成形氣體源416,並以兩階段一循環之方式,交互執行沉積及輪廓成形。利用可重複一次以上之兩階段循環形成保護塗層,以覆蓋第一PR遮罩特徵部之表面而不覆蓋遮罩特徵部之底部。
圖5A及5B說明一電腦系統500,其適合用來執行於本發明之實施例中所使用的控制器435(於圖4中)。圖5A顯示電腦系統可能的實體形式。當然,電腦系統可能有許多種實體形式,範圍從積體電路、印刷電路板、小型手提裝置上至龐大的超級電腦。電腦系統500包含螢幕502、顯示器504、機殼506、磁碟機508、鍵盤510及滑鼠512。磁碟514為電腦可讀媒體,用來轉換資料來回電腦系統500。
圖5B為一個電腦系統500的方塊圖範例。附屬在系統匯流排520上者為各種子系統。處理器522(也稱為中央處理單元或CPU)與包含記憶體524之儲存裝置相連接。記憶體524包含隨機存取記憶體(RAM,read access memory)及唯讀記憶體(ROM,read only memory)。如同技術中所熟知者,ROM單向地傳輸資料與指令至CPU,而RAM一般以雙向方式傳輸資料與指令。這兩種型態的記 憶體可以包含任何以下所描述的適當電腦可讀媒體。固定式磁碟526也雙向地連接在CPU 522上;它提供額外的資料儲存能力並包含任何以下所描述的電腦可讀媒體。固定式磁碟526可用來儲存程式、資料等,一般為比主要儲存媒體更慢速之輔助儲存媒體(例如硬碟)。應了解:在適當的情況中,保留在固定式磁碟526內的資訊可用標準方式被併入記憶體524中作為虛擬記憶體。卸除式磁碟514可採取任何以下所描述的電腦可讀媒體之形式。
亦將CPU 522連接至各種輸入/輸出裝置,如顯示器504、鍵盤510、滑鼠512及揚聲器530。一般而言,輸入/輸出裝置可為下列任一:視訊顯示器、軌跡球、滑鼠,鍵盤,麥克風,觸摸式顯示器、轉換讀卡機、讀磁帶或紙帶機、輸入板、尖筆、聲音或手寫辨識器、生物讀取機或其他電腦。CPU 522也可選擇性地使用網路介面540連接至另一電腦或電信網路。利用此一網路介面,預期CPU在執行上述方法步驟的過程中,可從網路接收資訊或輸出資訊到網路。再者,本發明的方法實施例可單獨在CPU 522上執行,或透過例如結合分享部分處理之遠端CPU之網際網路來執行。
此外,本發明的實施例進一步與有電腦可讀媒體之電腦儲存產品相關,該電腦可讀媒體上具有用以執行各種電腦執行運算之電腦碼。媒體與電腦碼可為本發明之用途所特別設計及建構,或對精於電腦軟體技術之人士而言,它們乃為熟知且可用。電腦可讀媒體的例子包含但不限於:磁性媒體,如硬碟、磁片及磁帶;光學媒體,如唯讀光碟(CD-ROM,compact disc read only memory)及全像裝置;磁光媒體,如軟磁光碟;及專用於儲存與執行程式碼之硬體裝置,如專用積體電路(ASIC,application-specific integrated circuit);可程式化邏輯元件(PLD,programmable logic device);及ROM與RAM裝置。電腦碼的例子包含機械碼(如由編譯器所產生者)及包含利用譯碼器而由電腦所執行之較高階碼的檔案。電腦可讀媒體也可為經由實現在載波上的電腦資料信號加以傳送且表示一連串可由處理器來執行之指令的電腦碼。
沉積氣體之例為氟碳化合物之化學物及含氫氣體,如含有CF4 及H2 之配方。在這個例子中,供應2MHz下之400瓦電力及27MHz下之800瓦電力。在每個沉積階段,於處理室中提供具有第一化學物之沉積氣體,由沉積氣體形成電漿,而在沉積後將停止供應沉積氣體。
輪廓成形氣體之例為具有氟碳化合物之化學物,如CF4 、CHF3 、及CH2 F2 。可使用其他氣體,如O2 、N2 、及H2 。在這個例子中,供應2MHz下之0瓦電力及27MHz下之800瓦電力。在每個輪廓成形階段,於處理室中提供具有第二化學物之輪廓成形氣體,由輪廓成形氣體形成電漿,而在輪廓成形後將停止供應輪廓成形氣體。
沉積階段302及輪廓成形階段304可在不熄滅電漿之下連續執行於同一處理室中,且此循環可重複一次以上,最好是2~3次。藉由控制各種參數(如沉積階段302及輪廓成形階段304之處理時間、循環次數、總沉積時間、沉積/輪廓成形時間比、在每種化學物中之氣體化學物比),可以期望形狀形成具有期望厚度的保護塗層214。
回到圖1,於第一PR遮罩上形成保護塗層214之後(步驟104),將於第一PR遮罩上塗佈液態PR材料(步驟106)。第二PR材料之液態塗佈可利用與塗佈第一PR材料於第一PR遮罩上之類似方法執行,例如經由旋轉塗佈。液態PR材料包含有機溶劑,如PEGMIA。保護塗層214乃保護第一遮罩特徵部不受到有機溶劑之損害。由於保護塗層214是由氣相沉積所形成,而非由PR材料,其與在液態PR材料中之溶劑並不具有親和性。因此,保護塗層幾乎不容許溶劑通過保護塗層,因此圖案化的第一PR遮罩與塗佈於其上之液態PR材料之間幾乎無交互作用。因此,圖案化之第一遮罩特徵部將維持其原本由保護塗層所保護的形狀(如原本的線圖案)。
接著,將第二塗佈之PR材料圖案化成第二遮罩特徵部216(步驟108)。可以類似於第一PR遮罩之圖形化方式,利用對應至第二 遮罩特徵部之第二初縮遮罩,來執行將PR材料圖案化成第二遮罩特徵部,例如習知之微影製程。圖2D為包含第一PR遮罩特徵部210與第二遮罩特徵部216之第二PR遮罩220的示意橫剖面圖;即,結合在一起之第一PR遮罩特徵部210及第二遮罩特徵部216形成第二PR遮罩220。依據本發明之實施例,如圖2D所示,第二遮罩特徵部216乃設置於第一PR遮罩特徵部210之間。例如,在線圖案的情況下,交互形成第一PR遮罩特徵部210及第二遮罩特徵部216,以達成較小之CD 226,例如約32~45奈米。然而,本發明並不限於線圖案,其可應用在任何將二維設計圖案分開成兩獨立初縮遮罩(如兩組PR遮罩特徵部)之圖案分割。
將基板202置於處理室中,透過第二PR遮罩蝕刻層204加以蝕刻(步驟110)。圖2E顯示將特徵部222蝕刻至蝕刻層204。
接著可執行額外的形成步驟(112)。例如,可剝除第二PR遮罩220,且/或可執行後面的蝕刻步驟,以將下層中之特徵部圖案化。
本發明可在不離開本發明之精神及基本特徵下作各種特定的例示。因此本實施例應被視為舉例性而非限制性者,且本發明之範圍為由隨附之申請專利範圍所限定而並非由上述說明所限制,所有與申請專利範圍意義相等之變化均應包含於本發明之中。
100‧‧‧開始
102‧‧‧設置具有第一遮罩特徵部之第一光阻遮罩
104‧‧‧於第一光阻遮罩上形成保護塗層
106‧‧‧將液態光阻材料塗佈於第一光阻遮罩上
108‧‧‧將光阻材料圖案化成第二遮罩特徵部
110‧‧‧透過第二光阻遮罩將蝕刻層加以蝕刻
112‧‧‧額外的形成步驟
114‧‧‧結束
202‧‧‧基板
204‧‧‧蝕刻層
206‧‧‧抗反射塗層
208‧‧‧第一光阻遮罩
210‧‧‧第一光阻遮罩特徵部
212‧‧‧間距
214‧‧‧保護塗層
215‧‧‧沉積層
216‧‧‧第二遮罩特徵部
220‧‧‧第二光阻遮罩
222‧‧‧特徵部
224‧‧‧底部
226‧‧‧較小之臨界尺寸
302‧‧‧沉積階段
304‧‧‧輪廓成形階段
400‧‧‧電漿處理室
402‧‧‧限制環
404‧‧‧上電極
408‧‧‧下電極
410‧‧‧氣體源
412‧‧‧沉積氣體
416‧‧‧輪廓成形氣體
418‧‧‧蝕刻氣體
420‧‧‧排氣泵
428‧‧‧反應器蓋
435‧‧‧控制器
440‧‧‧電漿容積
444‧‧‧RF源
448‧‧‧RF源
452‧‧‧室壁
500‧‧‧電腦系統
502‧‧‧螢幕
504‧‧‧顯示器
506‧‧‧機殼
508‧‧‧磁碟機
510‧‧‧鍵盤
512‧‧‧滑鼠
514‧‧‧卸除式磁碟
520‧‧‧系統匯流排
522‧‧‧處理器
524‧‧‧記憶體
526‧‧‧固定式磁碟
530‧‧‧揚聲器
540‧‧‧網路介面
本發明乃經由實施例而非限制例而在附圖之圖式中加以說明,其中相同參考標號表示相同元件。
圖1為使用於本發明之實施例中之製程的高階流程圖。
圖2A-2E為依據本發明之實施例加以處理之疊層的示意橫剖面圖。
依據本發明之實施例,圖3為於第一PR遮罩上形成保護塗層之步驟之更詳細的流程圖。
圖4為可用來實行本發明之電漿處理室之示意圖。
圖5A-5B說明一電腦系統,其適合用來執行於本發明之實施 例中所使用的控制器。
104‧‧‧於第一光阻遮罩上形成保護塗層
302‧‧‧沉積階段
304‧‧‧輪廓成形階段

Claims (19)

  1. 一種將形成於基板上之蝕刻層加以蝕刻的方法,包含:將一具有第一遮罩特徵部之第一光阻(PR)遮罩設置於該蝕刻層上;形成一保護塗層於該第一PR遮罩上,包含至少一循環:一沉積階段,利用一沉積氣體將一沉積層沉積於該第一遮罩特徵部之表面上;一輪廓成形階段,利用一輪廓成形氣體而將該沉積層之輪廓加以塑形;將一液態PR材料塗佈於具有該保護塗層之該第一PR遮罩上;將該PR材料圖案化成為第二遮罩特徵部,該第一及第二遮罩特徵部形成一第二PR遮罩;及透過該第二PR遮罩而蝕刻該蝕刻層。
  2. 如申請專利範圍第1項之將形成於基板上之蝕刻層加以蝕刻的方法,其中該液態PR材料包含一有機溶劑,及其中該保護塗層保護該第一特徵部不受到該有機溶劑之損害。
  3. 如申請專利範圍第1項之將形成於基板上之蝕刻層加以蝕刻的方法,其中該第二遮罩特徵部設置在該第一遮罩特徵部之間。
  4. 如申請專利範圍第1項之將形成於基板上之蝕刻層加以蝕刻的方法,其中每一沉積階段包含:以一第一化學物提供該沉積氣體;由該沉積氣體形成一電漿;及停止該沉積氣體。
  5. 如申請專利範圍第4項之將形成於基板上之蝕刻層加以蝕刻的方法,其中每一輪廓成形階段包含: 以與該第一化學物不同之一第二化學物提供該輪廓成形氣體;由該輪廓成形氣體形成一電漿;及停止該輪廓成形氣體。
  6. 如申請專利範圍第1項之將形成於基板上之蝕刻層加以蝕刻的方法,其中該循環被重複一至三次。
  7. 如申請專利範圍第1項之將形成於基板上之蝕刻層加以蝕刻的方法,其中重複該循環,以使該保護塗層之厚度約為0.5~3奈米。
  8. 如申請專利範圍第1項之將形成於基板上之蝕刻層加以蝕刻的方法,其中該保護塗層具有之厚度小到足以不對該第一PR遮罩原本之臨界尺寸(CD,critical dimension)造成影響。
  9. 一種將形成於基板上之蝕刻層加以蝕刻的方法,包含:將一具有第一遮罩特徵部之第一光阻(PR)遮罩設置於該蝕刻層上;形成一保護塗層於該第一PR遮罩上,包含至少一循環:一沉積階段,利用一沉積氣體將一沉積層沉積於該第一遮罩特徵部之表面上;及一輪廓成形階段,利用一輪廓成形氣體而將該沉積層之輪廓加以塑形;將一液態PR材料塗佈於具有該保護塗層之該第一PR遮罩上;將該PR材料圖案化成為第二遮罩特徵部,該第一及第二遮罩特徵部形成一第二PR遮罩;及透過該第二PR遮罩而蝕刻該蝕刻層,其中該保護塗層形成於該第一遮罩特徵部之頂部及側壁,但不形成於該第一遮罩特徵部之底部。
  10. 一種將形成於基板上之蝕刻層加以蝕刻的方法,包含:將一具有第一遮罩特徵部之第一光阻(PR)遮罩設置於該蝕刻層上;形成一保護塗層於該第一PR遮罩上,包含至少一循環:一沉積階段,利用一沉積氣體將一沉積層沉積於該第一遮罩特徵部之表面上;及一輪廓成形階段,利用一輪廓成形氣體而將該沉積層之輪廓加以塑形;將一液態PR材料塗佈於具有該保護塗層之該第一PR遮罩上;將該PR材料圖案化成為第二遮罩特徵部,該第一及第二遮罩特徵部形成一第二PR遮罩;及透過該第二PR遮罩而蝕刻該蝕刻層,其中該將該沉積層之輪廓加以塑形包含:將該第一遮罩特徵部之底部上一部分的該沉積層移除。
  11. 一種將保護塗層設置於圖案化PR遮罩上的設備,該圖案化PR遮罩具有第一遮罩特徵部,且該第一遮罩特徵部形成於配置在基板上之蝕刻層上,該設備包含:一電漿處理室,包含:一室壁,形成一電漿處理室外殼;一基板支座,支承在該電漿處理室外殼內之一基板;一壓力調節器,用以調節該電漿處理室外殼內之壓力;至少一電極,用以提供電力至該電漿處理室外殼,以維持一電漿;至少一RF電源,與該至少一電極電性相連;一氣體入口,用以提供氣體至該電漿處理室外殼;一氣體出口,用以從該電漿處理室外殼排出氣體; 一氣體源,與該氣體入口流體連通,該氣體源包含:一沉積氣體源;及一輪廓成形氣體源;一控制器,以可控制之方式連結至該氣體源以及該至少一RF電源,包含:至少一處理器;及非暫態電腦可讀媒體,包含:電腦可讀碼,用以執行在該圖案化PR遮罩上提供保護塗層之製程,該製程包含至少一循環,該圖案化遮罩具有第一遮罩特徵部,其中對於每一循環之電腦可讀碼具有:電腦可讀碼,用以通入一沉積氣體至該電漿處理室;電腦可讀碼,用以由該沉積氣體形成電漿,以將一沉積層沉積於該第一遮罩特徵部之表面上;電腦可讀碼,用以停止該沉積氣體之流動;電腦可讀碼,用以通入一輪廓成形氣體至該電漿處理室;電腦可讀碼,用以由該輪廓成形氣體形成電漿,以將該沉積層之該輪廓加以塑形;及電腦可讀碼,用以停止該輪廓成形氣體之流動。
  12. 如申請專利範圍第11項之將保護塗層設置於圖案化PR遮罩上的設備,其中將用以執行在該圖案化PR遮罩上提供保護塗層之製程的該電腦可讀碼更包含:電腦可讀碼,用以重複一至三次循環。
  13. 如申請專利範圍第11項之將保護塗層設置於圖案化PR遮罩上的設備,其中用以執行在該圖案化PR遮罩上提供保護塗層之製程的該電腦可讀碼更包含:電腦可讀碼,用以重複該循環,以使該保護塗層具有約0.5~3奈米之厚度。
  14. 如申請專利範圍第11項之將保護塗層設置於圖案化PR遮罩上的設備,其中該非暫態電腦可讀媒體更包含:電腦可讀碼,用以在將一液態PR材料塗佈於具有該保護塗層之該第一PR遮罩上之後,將該PR材料圖案化成為一第二遮罩特徵部,其中該第一及第二遮罩特徵部形成一第二PR遮罩。
  15. 如申請專利範圍第14項之將保護塗層設置於圖案化PR遮罩上的設備,其中該非暫態電腦可讀媒體更包含:電腦可讀碼,用以透過該第二PR遮罩而蝕刻該蝕刻層。
  16. 如申請專利範圍第14項之將保護塗層設置於圖案化PR遮罩上的設備,其中用以將該PR材料圖案化成為該第二遮罩特徵部的該電腦可讀碼更包含:電腦可讀碼,用以將該第二遮罩特徵部設置在該第一遮罩特徵部之間。
  17. 如申請專利範圍第11項之將保護塗層設置於圖案化PR遮罩上的設備,其中用以執行在該圖案化PR遮罩上提供該保護塗層之製程的該電腦可讀碼更包含:電腦可讀碼,用以將該保護塗層形成於該第一遮罩特徵部之頂部及側壁,但不形成於該第一遮罩特徵部之底部。
  18. 如申請專利範圍第11項之將保護塗層設置於圖案化PR遮罩上的設備,其中用以將該沉積層之該輪廓加以塑形的該電腦可讀碼更包含:電腦可讀碼,用以將該第一遮罩特徵部之底部上一部分的該沉積層移除。
  19. 一種將形成於基板上之蝕刻層加以蝕刻的系統,該基板包含形 成於該蝕刻層上之一第一光阻(PR)遮罩,該第一PR遮罩具有第一遮罩特徵部,該系統包含:形成一保護塗層於該第一PR遮罩上之機構,包含:沉積機構,利用一沉積氣體而將一沉積層沉積於該第一遮罩特徵部之表面上;塑形機構,利用一輪廓成形氣體將該沉積層之輪廓加以塑形;重複機構,將該沉積機構及該塑形機構之運作交互重複一次以上;將一液態PR材料塗佈於具有該保護塗層之該第一PR遮罩上之機構;用以將該PR材料圖案化成一第二遮罩特徵部之光微影設備,該第一及第二遮罩特徵部形成一第二PR遮罩;及透過該第二PR遮罩而蝕刻該蝕刻層之機構。
TW097149703A 2007-12-21 2008-12-19 光阻雙重圖形化 TWI447800B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US1640407P 2007-12-21 2007-12-21

Publications (2)

Publication Number Publication Date
TW200931513A TW200931513A (en) 2009-07-16
TWI447800B true TWI447800B (zh) 2014-08-01

Family

ID=40789064

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097149703A TWI447800B (zh) 2007-12-21 2008-12-19 光阻雙重圖形化

Country Status (5)

Country Link
US (2) US8282847B2 (zh)
KR (1) KR101573954B1 (zh)
CN (1) CN101903977A (zh)
TW (1) TWI447800B (zh)
WO (1) WO2009085598A2 (zh)

Families Citing this family (325)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US20090209097A1 (en) * 2008-02-15 2009-08-20 Thomas Schulz Method of forming interconnects
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
CN102439523B (zh) * 2009-07-23 2015-01-07 道康宁公司 用于双重图案化的方法和材料
KR101296889B1 (ko) * 2009-07-23 2013-08-14 다우 코닝 코포레이션 리버스 패터닝 방법 및 재료
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI485772B (zh) * 2010-12-23 2015-05-21 United Microelectronics Corp 形成介層洞的方法
US8916051B2 (en) * 2010-12-23 2014-12-23 United Microelectronics Corp. Method of forming via hole
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6140575B2 (ja) * 2013-08-26 2017-05-31 東京エレクトロン株式会社 半導体装置の製造方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
GB201322931D0 (en) 2013-12-23 2014-02-12 Spts Technologies Ltd Method of etching
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9659824B2 (en) * 2015-04-28 2017-05-23 International Business Machines Corporation Graphoepitaxy directed self-assembly process for semiconductor fin formation
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP6418130B2 (ja) * 2015-10-20 2018-11-07 株式会社Sumco 半導体ウェーハの加工方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859127B1 (en) 2016-06-10 2018-01-02 Lam Research Corporation Line edge roughness improvement with photon-assisted plasma process
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10495970B2 (en) * 2017-11-15 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Critical dimension uniformity
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113539794B (zh) * 2020-04-22 2024-06-04 芯恩(青岛)集成电路有限公司 半导体结构及其制备方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US20220113635A1 (en) * 2020-10-08 2022-04-14 Tokyo Electron Limited Non-Destructive Coupon Generation via Direct Write Lithography for Semiconductor Process Development
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060064861A (ko) * 2004-12-09 2006-06-14 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US20060127821A1 (en) * 2004-12-09 2006-06-15 Sanyo Electric Co., Ltd. Method of forming a photoresist pattern
US20060172540A1 (en) * 2005-02-03 2006-08-03 Jeffrey Marks Reduction of feature critical dimensions using multiple masks

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7712122B2 (en) * 2001-01-16 2010-05-04 Physical Optics Corporation Uncompressed IP multimedia data transmission and switching
FR2834382B1 (fr) * 2002-01-03 2005-03-18 Cit Alcatel Procede et dispositif de gravure anisotrope du silicium a haut facteur d'aspect
US7163721B2 (en) * 2003-02-04 2007-01-16 Tegal Corporation Method to plasma deposit on organic polymer dielectric film
US20060276043A1 (en) * 2003-03-21 2006-12-07 Johnson Mark A L Method and systems for single- or multi-period edge definition lithography
US7250371B2 (en) * 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
US7695632B2 (en) * 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US7271108B2 (en) * 2005-06-28 2007-09-18 Lam Research Corporation Multiple mask process with etch mask stack
US7682516B2 (en) * 2005-10-05 2010-03-23 Lam Research Corporation Vertical profile fixing
US7485581B2 (en) * 2005-11-30 2009-02-03 Lam Research Corporation Device with gaps for capacitance reduction
US7429533B2 (en) * 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
US8236592B2 (en) * 2007-01-12 2012-08-07 Globalfoundries Inc. Method of forming semiconductor device
CN102007570B (zh) * 2007-12-21 2013-04-03 朗姆研究公司 用高蚀刻速率抗蚀剂掩膜进行蚀刻
US7772122B2 (en) * 2008-09-18 2010-08-10 Lam Research Corporation Sidewall forming processes

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060064861A (ko) * 2004-12-09 2006-06-14 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US20060127821A1 (en) * 2004-12-09 2006-06-15 Sanyo Electric Co., Ltd. Method of forming a photoresist pattern
US20060172540A1 (en) * 2005-02-03 2006-08-03 Jeffrey Marks Reduction of feature critical dimensions using multiple masks

Also Published As

Publication number Publication date
KR20100106502A (ko) 2010-10-01
US8282847B2 (en) 2012-10-09
TW200931513A (en) 2009-07-16
US20090162790A1 (en) 2009-06-25
US8911587B2 (en) 2014-12-16
US20130000846A1 (en) 2013-01-03
WO2009085598A3 (en) 2009-09-11
KR101573954B1 (ko) 2015-12-02
WO2009085598A2 (en) 2009-07-09
CN101903977A (zh) 2010-12-01

Similar Documents

Publication Publication Date Title
TWI447800B (zh) 光阻雙重圖形化
TWI476834B (zh) 利用高蝕刻速率光阻遮罩之蝕刻
JP5086090B2 (ja) 水素流量傾斜化によるフォトレジストプラズマコンディショニング工程を含むエッチング方法及び装置
TWI427696B (zh) 三層型抗蝕劑有機層之蝕刻
KR101353239B1 (ko) 피치 감소
JP5081917B2 (ja) フッ素除去プロセス
CN100543946C (zh) 蚀刻掩模特征临界尺寸的减小
JP4886513B2 (ja) フィーチャ微小寸法の低減
TWI381427B (zh) 臨界尺寸縮減及粗糙度控制
TWI496208B (zh) 側壁形成製程
KR101534883B1 (ko) 마스크 트리밍
US7785484B2 (en) Mask trimming with ARL etch
TWI528446B (zh) 利用惰性氣體電漿改善線寬粗度
TWI405265B (zh) 均勻控制的蝕刻
JP2007503728A (ja) 改良されたバイレイヤフォトレジストパターンを提供する方法
US20090311871A1 (en) Organic arc etch selective for immersion photoresist

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees