CN101903977A - 光刻胶两次图案化 - Google Patents

光刻胶两次图案化 Download PDF

Info

Publication number
CN101903977A
CN101903977A CN200880123004.1A CN200880123004A CN101903977A CN 101903977 A CN101903977 A CN 101903977A CN 200880123004 A CN200880123004 A CN 200880123004A CN 101903977 A CN101903977 A CN 101903977A
Authority
CN
China
Prior art keywords
mask
gas
computer
pattern
protective finish
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN200880123004.1A
Other languages
English (en)
Inventor
S·M·列扎·萨贾迪
安德鲁·R·罗马诺
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101903977A publication Critical patent/CN101903977A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Abstract

提供一种蚀刻形成在基片上的蚀刻层的方法。带有第一掩模特征的第一光刻胶(PR)掩模提供在该蚀刻层上。保护涂层通过包括至少一个循环的工艺提供在该第一PR掩模上。每个循环包括(a)沉积阶段,使用沉积气体将沉积层沉积在该第一掩模特征的表面上方,以及(b)形貌成形阶段,使用形貌成形气体成形该沉积层的形貌。液体PR材料施加在具有该保护涂层的第一PR掩模上方。将该PR材料图案化成第二掩模特征,其中该第一和第二掩模特征形成第二PR掩模。通过该第二PR掩模蚀刻该蚀刻层。

Description

光刻胶两次图案化
技术领域
本发明涉及半导体器件的形成。更具体地,本发明涉及通过图案化掩模的蚀刻。
背景技术
在半导体晶片处理过程中,使用公知的图案化和蚀刻工艺在晶片中形成半导体器件的特征。在这些(光刻)工艺中,光刻胶(PR)材料沉积在晶片上,然后暴露于经过中间掩模过滤的光线。中间掩模通常是图案化有模板特征几何结构的玻璃板,该几何结构阻止光传播透过中间掩模。
通过该中间掩模后,该光线接触该光刻胶材料的表面。该光线改变该光刻胶材料的化学成分从而显影机可以去除该光刻胶材料的一部分。在正光刻胶材料的情况中,去除该暴露的区域,而在负光刻胶材料的情况中,去除该未暴露的区域。所以,蚀刻该晶片以从不再受到该光刻胶材料保护的区域去除下层的材料,并由此在该晶片中形成所需要的特征。
多种不同代的光刻胶是已知的。深紫外(DUV)光刻胶由248nm光曝光。当前,对于248nm光刻胶,使用传统的工艺该光刻胶通常的关键尺寸(CD)可以是130-250nm。由于依赖于波长的光的属性,暴露于更长波长光的光刻胶具有更大的理论最小关键尺寸。为了提供具有更小CD的特征,正在探索使用更短波长光线形成的特征。193nm光刻胶由193nm光曝光。使用相移中间模板和其他技术,使用193nm光刻胶可以形成55-100nm CD光刻胶图案。这能够提供具有90-100nm CD的特征。193nm浸没光刻胶在水直接接触该晶片表面的情况下由193nm光曝光。使用相移中间模板和其他技术,可形成55nm CD光刻胶图案,并且这可以在未来扩展。这能够提供具有90nm以下CD的特征。EUV(13nm)光刻胶由13nm光曝光。使用这个技术,可以形成22nm以下CD的光刻胶图案。这能够提供具有55nm以下CD的特征。
使用较短波长的光刻胶会比在光刻胶上使用较长波长出现额外的问题。为了获得接近理论极限的CD,该光刻设备应当更精确,这需要更昂贵的光刻设备。较短波长的光刻胶没有像较长波长的光刻胶那样高的选择比,并且容易在等离子蚀刻条件下变形(除了EUV光刻胶,其通常使用基于248nm的基干作为它们的原料聚合物)。
对于光刻,PR图案的193nm浸没扫描器已经达到其光学尺寸的极限,该极限决定最大分辨率,即,印刷出的最小图案尺寸。为了超过该光学极限,例如,获得一半节距的图案,所设计的图案可以分成两个掩模。例如,在双线路方法中,第一PR掩模图案(带有第一组线)使用第一掩模印刷,然后第二PR图案(带有第二组线)使用第二掩模印刷。该第一和第二组线的组合将线路节距降低一半。这种方法称作“两次图案化”或“LELE”工艺。传统的LELE工艺通常包含蚀刻硬掩模两次:首先通过该第一PR掩模蚀刻,然后通过该第二PR掩模蚀刻。某些LELE工艺使用两层硬掩模:通过该第一PR掩模蚀刻该第一硬掩模;以及通过该第二PR掩模蚀刻该第二硬掩模。在任一情况下,该第一PR掩模都在该第二PR掩模形成之前剥除。
可选的两次图案化的方法使用用于第一PR掩模的保护层,其在将第二PR材料施加到该第一PR掩模上之前形成。通常,当将液体PR材料施加到具有图案化PR掩模的晶片上时,该图案化的PR掩模的聚合物在其与大多数有机溶剂接触时会溶解。因此,另一中配制系统,如可水溶、可酸交联涂覆材料,可用来在该第一PR掩模上形成保护层,以防止该图案化的PR掩模溶解于该第二PR材料的有机溶剂。优选地,该保护涂层材料的溶剂不会溶解该第一PR,从而该涂层不会显著扰乱该第一图案化PR。合适的溶剂可以是水、氟代溶剂、硅溶剂或极性溶剂,像甲醇、乙醇或其他类似醇类。当可水溶、可酸交联保护层施加在该第一PR掩模上并且烘烤时,将水驱除,并且剩余的酸从该第一PR掩模排出至表面。由于该涂层材料是可酸交联的,所以在该第一PR掩模表面上形成交联聚合物。然后,没有交联的涂层材料可以冲掉,留下具有交联聚合物涂层的该第一PR图案。这个工艺可以称作该第一PR掩模图案的“化学冻结”,因为其“冻结”该第一PR掩模的形状。
然而,尽管是可水溶聚合物,但是交联的保护层涂层仍然与该有机溶剂有密切的联系。因此,当该第二PR材料液体施加在涂覆的第一PR掩模顶部时,该液体PR材料的有机溶剂使得交联区域形成“凝胶”,该第一PR图案膨胀和/或变形,其转而导致线路边缘粗糙(LER)、线路扭曲和/或线路升高和瑕疵。另外,为了保持原始的关键尺寸(CD),优选地该第一PR图案上的交联涂层尽可能薄,但是这加重这些缺陷问题。
发明内容
为了实现前面所述的以及按照本发明的目的,提供一种蚀刻形成在基片上的蚀刻层的方法。将带有第一掩模特征的第一光刻胶(PR)掩模提供在该蚀刻层上。保护涂层通过包括至少一个循环的工艺提供在该第一PR掩模上。每个循环包括:(a)沉积阶段,使用沉积气体将沉积层沉积在该第一掩模特征的表面上方;和(b)形貌成形阶段,使用形貌成形气体成形该沉积层的形貌。液体PR材料施加在具有该保护涂层的第一PR掩模上方。将该PR材料图案化成第二掩模特征,其中该第一和第二掩模特征形成第二PR掩模。通过该第二PR掩模蚀刻该蚀刻层。
在本发明另一实施例中,提供一种在设在基片上的蚀刻层上形成的、具有第一掩模特征的图案化光刻胶(PR)掩模上提供保护涂层的设备。该设备包括等离子处理室,包括形成等离子处理室外壳的室壁、用以在该等离子处理室外壳内支撑基片的基片支撑件、用以调节该等离子处理室外壳内压力的压力调节器、至少一个用以提供功率至该等离子处理室外壳以维持等离子的电极、至少一个电气连接到该至少一个电极的RF功率源、用于提供气体至该等离子处理室外壳的气体入口和用于从该等离子处理室外壳排出气体的气体出口。该设备进一步包括气体源,与该气体入口流体连通,该气体源包括沉积气体源和形貌成形气体源。控制器,以可控方式连接到该气体源和该至少一个RF功率源。该控制器包括至少一个处理器和计算机可读介质。该计算机可读介质包括用于在图案化的PR掩模上提供保护涂层的计算机可读代码,包括至少一个循环,该图案化掩模具有第一掩模特征,其中用于每个循环计算机可读代码包括用于将沉积气体通入该等离子室计算机可读代码、用于由该沉积气体形成等离子以便将沉积层沉积在该第一掩模特征的表面上方的计算机可读代码、用于停止该沉积气体流的计算机可读代码、用于将形貌成形气体通入该等离子室的计算机可读代码,用于由该形貌成形气体形成等离子以便成形该沉积层的形貌的计算机可读代码以及用于停止该沉积气体流的计算机可读代码。
本发明的这些和其他特征将在下面的具体描述中结合附图更详细地说明。
附图说明
在附图中,本发明作为示例而不是作为限制来说明,其中类似的参考标号指出相似的元件,其中:
图1是可用于本发明的实施例的工艺的高层流程图。
图2A-2E是按照本发明的实施例处理的层叠的剖视示意图。
图3是按照本发明一个实施例在该第一PR掩模上形成保护涂层的步骤的更详细的流程图。
图4是可用于实施本发明的等离子处理室的示意图。
图5A-5B说明适于实现用于本发明实施例的控制器的计算机系统。
具体实施方式
现在将根据其如在附图中说明的几个实施方式来具体描述本发明。在下面的描述中,阐述许多具体细节以提供对本发明的彻底理解。然而,对于本领域技术人员,显然,本发明可不利用这些具体细节的一些或者全部而实施。在有的情况下,公知的工艺步骤和/或结构没有说明,以避免不必要的混淆本发明。
本发明提供新的PR掩模“冻结”工艺,其可用于例如两次图案化工艺。为了便于理解,图1是可用于本发明的实施例的工艺的高层流程图。具有第一掩模特征的第一光刻胶(PR)掩模提供在蚀刻层上(步骤102)。图2A是在基片202上方形成的待蚀刻层204(蚀刻层)的剖视示意图,带有形成第一PR掩模特征210的第一PR掩模208。抗反射涂覆(ARC)层206可以形成在该蚀刻层204上方、该第一PR掩模208下方。该ARC层206可以是有机或无机,并可包括底部抗反射涂层(BARC)和/或SiON层。该蚀刻层204可以是形成在电介质层上的无定形碳层(ACL)。这样的ACL可以用作用于蚀刻下面的电介质层的硬掩模。无定形碳类似于聚合物,但是具有更少的氢而具有更多的碳,因为其在超过200℃的高温通过CVD沉积,并因此比聚合物更耐蚀刻。或者,该蚀刻层204可以是电介质层,如TEOS。然而,本发明适用于任何使用PR掩模的蚀刻层。
该第一PR掩模208可以使用光刻工艺图案化,例如,该193nm水浸没光刻。然而,本发明还适用于其他光刻工艺。形成该第一PR掩模208可包括将PR材料液体施加到该晶片上(例如,通过旋涂)、使用第一中间掩模曝光(扫描)、烘烤和显影。该水溶性PR材料可以在显影后冲洗掉。该第一PR掩模特征210可具有通常的关键尺寸(CD),使用传统的光刻工艺时,其可以是邻近掩模特征图案210之间的间距212的宽度(大约55nm至100nm)。
然后在该第一PR掩模208上形成保护涂层(步骤104)。图3是在该第一PR掩模上形成该保护涂层的步骤104的更详细的流程图。按照本发明一个实施例,该保护涂层是通过两阶段工艺组成的至少一个循环形成,包括沉积阶段302和形貌成形阶段304。优选地,该两阶段循环重复1至10次。更优选地,该两阶段循环重复2至3次。该沉积阶段302中,使用沉积气体将沉积层沉积在该第一掩模特征210的表面上方。在这个示例中,该沉积阶段302包括提供沉积气体并由该沉积气体生成等离子以形成沉积层。在这个示例中,该沉积气体具有聚合物形成配方。聚合物形成配方的一个示例是烃气,如CH4、C2H2和C2H4,以及氟碳气体,如CH3F、CH2F2、CHF3、C4F6和C4F8。聚合物形成配方的另一示例是氟碳化学品和含氢气体,如CF4和H2组成的配方。图2B是在该第一PR掩模208上方形成的该沉积层215的剖视示意图。
该形貌成形阶段304中,成形该沉积层215,或者使用形貌成形气体回蚀,从而至少去除该掩模特征210的底部上的该沉积层215。该形貌成形阶段304包括提供形貌成形气体,由该形貌成形气体生成形貌成形等离子以成形该沉积层的形貌。该形貌成形气体不同于该沉积气体。如图3中所述,该沉积阶段302和该形貌成形阶段304发生不同的次数。在这个示例中,该形貌成形气体含有氟碳化学品,如CF4、CHF3、和CH2F2。可使用其他气体,如O2、N2、和H2
图2C是具有在该第一掩模特征210表面上形成的保护涂层214的该第一PR掩模208,在该沉积层215通过该形貌成形阶段304成形之后的剖视示意图。该沉积形貌成形循环可以重复多于一次。优选地,该保护涂层形成在该第一掩模特征210的顶部和侧壁,而不在该第一掩模特征的底部224,如图2C所示。也就是,该保护涂层214暴露出该ARC 206。还需要该保护涂层214具有高度共形的、基本上垂直的侧壁。基本上垂直的侧壁的示例是从底部到顶部与特征的底部形成88°至90°之间的角度。该保护涂层的共形侧壁从该掩模特征的顶部到底部具有基本上相同的厚度。非共形侧壁会形成刻面或方包化形态,其提供在非基本上垂直的侧壁上。另外,优选地是该保护涂层214尽可能薄从而不会影响原始设计CD。例如,该保护涂层214的厚度可以是大约0.5nm至30nm,优选地大约0.5nm至10nm,更优选地1至3nm。每循环该保护涂层的净厚度可以是大约0.5nm至30nm,优选地大约0.5nm至5nm,更优选地1至3nm。循环的数量取决于该保护涂层的总厚度以及每个循环该保护层的净厚度。
图4是按照本发明一个实施例,可用于在该第一PR掩模上提供该保护层的等离子处理室400。该等离子室400还可用于在形成该第二PR掩模之后的后续蚀刻工艺和剥除。该等离子处理室400包括限制环402、上部电极404、下部电极408、气体源410和排气泵420。该气体源410包括沉积气体源412和形貌成形气体源416。该气体源410可包括额外的气体源,如蚀刻气体源418和用于掩模剥除的气体源(未示)。
在等离子处理室400内,该基片202设在该下部电极408上。该下部电极408结合合适的基片夹紧机构(例如,静电、机械夹紧等),用于把持该基片202。该反应器顶部428结合该上部电极404,其正对该下部电极408设置。该上部电极404、下部电极408和限制环402限定受限制的等离子容积440。通过气体源410向该受限制的等离子容积440提供气体并且通过排气泵420经过该限制环402和排气口从该受限制的等离子容积440排出气体。第一RF源444电连接至该上部电极404。第二RF源448电连接至该下部电极408。室壁452围绕该限制环402、上部电极404和下部电极408。该第一RF源444和该第二RF源448均可包括60MHz功率源、27MHz功率源和2MHz功率源。可以有不同的将RF功率连接到电极的组合。在LamResearch Corporation的电介质蚀刻系统的情况中,如
Figure BPA00001168330600081
系列,由LAM Research Corporation,Fremont,California制造,其可用于本发明的优选实施方式中,该60MHz,27MHz,和2MHz构成连接至的下部电极第二RF电源448,并且上部电极接地。
控制器435以可控方式连接到该RF源444和448、排气泵420以及该气体源410。该电介质蚀刻系统在该蚀刻层204是电介质层时使用,如氧化硅、有机硅酸盐玻璃或TEOS。该电介质蚀刻系统可用于蚀刻或开口硬掩模。该控制器435控制该RF源444和448、排气泵420、该沉积气体源412和该形貌成形气体源416,并将该沉积和该形貌成形作为循环的两个阶段交替执行。使用该两阶段循环(其可以重复超过一次),形成该保护涂层以覆盖该第一PR掩模特征的表面而不覆盖该掩模特征的底部。
图5A和5B说明了一个计算机系统500,其适于实现用于本发明的实施方式的控制器435。图5A示出该计算机系统一种可能的物理形式。当然,该计算机系统可以具有从集成电路、印刷电路板和小型手持设备到巨型超级计算机的范围内的许多物理形式。计算机系统500包括监视器502、显示器504、机箱506、磁盘驱动器508、键盘510和鼠标512。磁盘514是用来与计算机系统500传入和传出数据的计算机可读介质。
图5B是计算机系统500的框图的一个例子。连接到系统总线520的是各种各样的子系统。处理器522(也称为中央处理单元,或CPU)连接到存储设备,包括存储器524。存储器524包括随机访问存储器(RAM)和只读存储器(ROM)。如本领域所公知的,ROM用作向CPU单向传输数据和指令,而RAM通常用来以双向的方式传输数据和指令。这两种类型的存储器可包括下面描述的任何合适的计算机可读介质。固定磁盘526也是双向连接到CPU522;其提供额外的数据存储并且也包括下面描述的任何计算机可读介质。固定磁盘526可用来存储程序、数据等,并且通常是次级存储介质(如硬盘),其比主存储器慢。可以理解的是保留在固定磁盘526内的信息可以在适当的情况下作为虚拟存储器以标准的方式结合在存储器524中。可移动存储器514可以采用下面描述的任何计算机可读介质的形式。
CPU522还连接到各种输入/输出设备,如显示器504、键盘510、鼠标512和扬声器530。通常,输入/输出设备可以是下面的任何一种:视频显示器、轨迹球、鼠标、键盘、麦克风、触摸显示器、转换器读卡器、磁带或纸带阅读器、书写板、触针、语音或手写识别器、生物阅读器或其他计算机。CPU522可选地可使用网络接口540连接到另一台计算机或者电信网络。利用这样的网络接口,计划在执行上述方法步骤地过程中,CPU可从网络接收信息或者向网络输出信息。此外,本发明的方法实施方式可在CPU522上单独执行或者可在如Internet的网络上与共享该处理一部分的远程CPU一起执行。
另外,本发明的实施方式进一步涉及具有计算机可读介质的计算机存储产品,在计算机可读介质上有用于执行各种计算机实现的操作的计算机代码。该介质和计算机代码可以是那些为本发明目的专门设计和构建的,或者它们可以是对于计算机软件领域技术人员来说公知并且可以得到的类型。计算机可读介质的例子包括,但不限于:磁介质,如硬盘、软盘和磁带;光介质,如CD-ROM和全息设备;磁-光介质,如光软盘;以及为了存储和执行程序代码专门配置的硬件设备,如专用集成电路(ASIC)、可编程逻辑器件(PLD)以及ROM和RAM器件。计算机代码的例子包括如由编译器生成的机器代码,以及包含高级代码的文件,该高级代码能够由计算机使用解释器来执行。计算机可读介质还可以是在载波中由计算机数据信号携带的并且表示能够被处理器执行的指令序列的计算机代码。
该沉积气体的一个示例是氟碳化学品和含氢气体,如CF4和H2组成的配方。在这个示例中,提供400瓦特的2MHz功率和800瓦特的27MHz功率。每个沉积阶段中,在该室中提供带有第一化学品的该沉积气体,由该沉积气体形成等离子,在沉积之后停止该沉积气体。
该形貌成形气体的一个示例具有氟碳化学品,如CF4、CHF3和CH2F2。可以使用其他气体,如O2、N2和H2。在这个示例中,提供0瓦特的2MHz功率和800瓦特的27MHz功率。每个形貌成形阶段中,在该室中提供带有第二化学品的形貌成形气体,由该形貌成形气体形成等离子,并在该形貌成形之后停止该形貌成形气体。
该沉积阶段302和该形貌成形阶段304可以在同一室中连续进行而不熄灭该等离子,并且该循环可以重复超过一次,优选地2-3次。通过控制各种不同的参数,如该沉积阶段302和该形貌成形阶段304的处理时间、循环的次数、总的沉积时间、沉积/形貌成形时间比、每种化学品中气体化学品比率,可形成具有所需厚度、所需形状的保护涂层214。
回头参考图1,在该第一PR掩模上形成该保护涂层214之后(步骤104),液体PR材料施加在该第一PR掩模上(步骤106)。该PR材料的第二次液体施加可以与该PR材料的第一次施加到该第一PR掩模相同的方式进行,例如,通过旋涂。该液体PR材料包含有机溶剂如PEGMIA。该保护涂层214保护该第一掩模特征不会被该有机溶剂损害。因为该保护涂层214通过气相沉积、而不是由该PR材料形成,所以其与该液体PR材料中的溶剂关系不密切。因此,该保护涂层不允许或者允许极少的溶剂通过该保护涂层,因此在该图案化第一PR掩模和施加在其上的该液体PR材料之间没有或者有极少的相互作用。因而,该图案化第一掩模特征保持被该保护涂层保护的它们最初的形状,如最初的线条图案。
然后,将第二次施加的PR材料图案化成第二掩模特征216(步骤108)。该PR材料图案化成该第二掩模特征可以与该第一PR掩模的图案化类似的方式进行,例如,传统的光刻工艺,使用对应该第二掩模特征的第二中间掩模。图2D是第二PR掩模220包括该第一掩模特征208和该第二掩模特征216的剖视示意图。也就是,该第一掩模特征208和该第二掩模特征216组合在一起形成该第二PR掩模220。如图2D所示,按照本发明一个实施例,该第二掩模特征216提供在该第一掩模特征208之间。例如,在线条图案的情况下,该第一掩模特征208和该第二掩模特征216交替形成以获得更小的CD 226,例如,大约32nm至45nm。然而,本发明不限于线条图案,而是可适用于任何涉及图案分解,即二维设计图案分成两个单独的中间掩模,即,两组PR掩模特征。
该基片202设在该室中,通过该第二PR掩模蚀刻该蚀刻层204(步骤110)。图2E示出蚀刻进该蚀刻层204的特征222。
然后可执行额外的形成步骤(112)。例如,可以剥除该PR掩模220,和/或可执行后续蚀刻步骤以在下面的层中形成特征图案。
尽管本发明依照多个实施方式描述,但是存在落入本发明范围内的改变、置换和各种替代等同物。还应当注意,有许多实现本发明方法和设备的可选方式。所以,其意图是下面所附的权利要求解释为包括所有这样的落入本发明主旨和范围内的改变、置换和各种替代等同物。

Claims (15)

1.一种蚀刻形成在基片上的蚀刻层的方法,包括:
在该蚀刻层上提供带有第一掩模特征的第一光刻胶(PR)掩模;
在该第一PR掩模上形成保护涂层,包括至少一个循环,该循环包括:
沉积阶段,使用沉积气体将沉积层沉积在该第一掩模特征的表面上方;以及
形貌成形阶段,使用形貌成形气体成形该沉积层的形貌;
将液体PR材料施加在具有该保护涂层的第一PR掩模上方;
将该PR材料图案化成第二掩模特征,该第一和第二掩模特征形成第二PR掩模;以及
通过该第二PR掩模蚀刻该蚀刻层。
2.根据权利要求1所述的方法,其中该液体PR材料含有有机溶剂,其中该保护涂层保护该第一特征不会被该有机溶剂损害。
3.根据权利要求1或2所述的方法,其中该第二掩模特征设在该第一掩模特征之间。
4.根据权利要求1或2所述的方法,其中每个沉积阶段包括:
提供具有第一化学品的沉积气体;
由该沉积气体形成等离子;以及
停止该沉积气体。
5.根据权利要求4所述的方法,其中每个形貌成形阶段包括:
提供具有与该第一化学品不同的第二化学品的形貌成形气体;
由该形貌成形气体形成等离子;以及
停止该形貌成形气体。
6.根据权利要求1或2所述的方法,其中该循环重复一至三次。
7.根据权利要求1或2所述的方法,其中重复该循环从而使该保护涂层的厚度为大约0.5至3nm。
8.一种在设在基片上的蚀刻层上形成的、具有第一掩模特征的图案化光刻胶(PR)掩模上提供保护涂层的设备,包括:
等离子处理室,其包括:
形成等离子处理室外壳的室壁;
用以在该等离子处理室外壳内支撑基片的基片支撑件;
用以调节该等离子处理室外壳内压力的压力调节器;
至少一个用以提供功率至该等离子处理室外壳以维持等离子的电极;
至少一个电气连接到该至少一个电极的RF功率源;
用于提供气体至该等离子处理室外壳的气体入口;以及
用于从该等离子处理室外壳排出气体的气体出口;
气体源,与该气体入口流体连通,该气体源包括:
沉积气体源;以及
形貌成形气体源;
控制器,以可控方式连接到该气体源和该至少一个RF功率源,包括:
至少一个处理器;以及
计算机可读介质,包括:
用于执行在图案化的PR掩模上提供保护涂层的工艺的计算机可读代码,该工艺包括至少一个循环,该图案化掩模具有第一掩模特征,其中用于每个循环的计算机可读代码包括:
用于将沉积气体通入该等离子室的计算机可读代码;
用于由该沉积气体形成等离子的计算机可读代码,以便将沉积层沉积在该第一掩模特征的表面上方;
用于停止该沉积气体流的计算机可读代码;
用于将形貌成形气体通入该等离子室的计算机可读代码;
用于由该形貌成形气体形成等离子的计算机可读代码以便成形该沉积层的形貌;以及
用于停止该沉积气体流的计算机可读代码。
9.根据权利要求8所述的设备,其中所述用于执行在图案化的PR掩模上提供保护涂层的工艺的计算机可读代码重复该循环一至三次。
10.根据权利要求8或9所述的设备,其中所述用于执行在图案化的PR掩模上提供保护涂层的工艺的计算机可读代码重复该循环从而使该保护涂层厚度为大约0.5至3nm。
11.一种用于蚀刻形成在基片上的蚀刻层的系统,包括;
在该蚀刻层上提供带有第一掩模特征的第一光刻胶(PR)掩模的装置;
在该第一PR掩模上形成保护涂层的装置,包括:
使用沉积气体将沉积层沉积在该第一掩模特征的表面上方的装置;
使用形貌成形气体成形该沉积层的形貌的装置;以及
交替重复该用于沉积的装置和该用于成形的装置的运行一次或多次的装置;
将液体PR材料施加在具有该保护涂层的第一PR掩模上方的装置;
将该PR材料图案化成第二掩模特征的装置,该第一和第二掩模特征形成第二PR掩模;以及
通过该第二PR掩模蚀刻该蚀刻层的装置。
12.根据权利要求1-3任一项所述的方法,其中每个沉积阶段包括:
提供具有第一化学品的沉积气体;
由该沉积气体形成等离子;以及
停止该沉积气体。
13.根据权利要求12所述的方法,其中每个形貌成形阶段包括:
提供具有与该第一化学品不同的第二化学品的形貌成形气体;
由该形貌成形气体形成等离子;以及
停止该形貌成形气体。
14.根据权利要求1-3和12-13任一项所述的方法,其中该循环重复一至三次。
15.根据权利要求1-3和12-14任一项所述的方法,其中重复该循环从而使该保护涂层厚度为大约0.5至3nm。
CN200880123004.1A 2007-12-21 2008-12-09 光刻胶两次图案化 Pending CN101903977A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US1640407P 2007-12-21 2007-12-21
US61/016,404 2007-12-21
PCT/US2008/086095 WO2009085598A2 (en) 2007-12-21 2008-12-09 Photoresist double patterning

Publications (1)

Publication Number Publication Date
CN101903977A true CN101903977A (zh) 2010-12-01

Family

ID=40789064

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200880123004.1A Pending CN101903977A (zh) 2007-12-21 2008-12-09 光刻胶两次图案化

Country Status (5)

Country Link
US (2) US8282847B2 (zh)
KR (1) KR101573954B1 (zh)
CN (1) CN101903977A (zh)
TW (1) TWI447800B (zh)
WO (1) WO2009085598A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104425242A (zh) * 2013-08-26 2015-03-18 东京毅力科创株式会社 半导体器件的制造方法
CN108352310A (zh) * 2015-10-20 2018-07-31 胜高股份有限公司 半导体晶片的加工方法
CN113539794A (zh) * 2020-04-22 2021-10-22 芯恩(青岛)集成电路有限公司 半导体结构及其制备方法

Families Citing this family (308)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US20090209097A1 (en) * 2008-02-15 2009-08-20 Thomas Schulz Method of forming interconnects
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5571788B2 (ja) * 2009-07-23 2014-08-13 ダウ コーニング コーポレーション ダブルパターニング方法及び材料
SG176550A1 (en) * 2009-07-23 2012-01-30 Dow Corning Method and materials for reverse patterning
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8916051B2 (en) * 2010-12-23 2014-12-23 United Microelectronics Corp. Method of forming via hole
TWI485772B (zh) * 2010-12-23 2015-05-21 United Microelectronics Corp 形成介層洞的方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
GB201322931D0 (en) 2013-12-23 2014-02-12 Spts Technologies Ltd Method of etching
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9659824B2 (en) * 2015-04-28 2017-05-23 International Business Machines Corporation Graphoepitaxy directed self-assembly process for semiconductor fin formation
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859127B1 (en) 2016-06-10 2018-01-02 Lam Research Corporation Line edge roughness improvement with photon-assisted plasma process
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10495970B2 (en) * 2017-11-15 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Critical dimension uniformity
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US20220113635A1 (en) * 2020-10-08 2022-04-14 Tokyo Electron Limited Non-Destructive Coupon Generation via Direct Write Lithography for Semiconductor Process Development
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7712122B2 (en) * 2001-01-16 2010-05-04 Physical Optics Corporation Uncompressed IP multimedia data transmission and switching
FR2834382B1 (fr) * 2002-01-03 2005-03-18 Cit Alcatel Procede et dispositif de gravure anisotrope du silicium a haut facteur d'aspect
US7163721B2 (en) * 2003-02-04 2007-01-16 Tegal Corporation Method to plasma deposit on organic polymer dielectric film
US20060276043A1 (en) * 2003-03-21 2006-12-07 Johnson Mark A L Method and systems for single- or multi-period edge definition lithography
US7250371B2 (en) * 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
KR20060064861A (ko) * 2004-12-09 2006-06-14 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US20060127821A1 (en) * 2004-12-09 2006-06-15 Sanyo Electric Co., Ltd. Method of forming a photoresist pattern
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7695632B2 (en) * 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US7271108B2 (en) * 2005-06-28 2007-09-18 Lam Research Corporation Multiple mask process with etch mask stack
US7682516B2 (en) * 2005-10-05 2010-03-23 Lam Research Corporation Vertical profile fixing
US7485581B2 (en) * 2005-11-30 2009-02-03 Lam Research Corporation Device with gaps for capacitance reduction
US7429533B2 (en) * 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
US8236592B2 (en) * 2007-01-12 2012-08-07 Globalfoundries Inc. Method of forming semiconductor device
WO2009085564A2 (en) * 2007-12-21 2009-07-09 Lam Research Corporation Etch with high etch rate resist mask
US7772122B2 (en) * 2008-09-18 2010-08-10 Lam Research Corporation Sidewall forming processes

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104425242A (zh) * 2013-08-26 2015-03-18 东京毅力科创株式会社 半导体器件的制造方法
CN104425242B (zh) * 2013-08-26 2017-04-12 东京毅力科创株式会社 半导体器件的制造方法
CN108352310A (zh) * 2015-10-20 2018-07-31 胜高股份有限公司 半导体晶片的加工方法
CN113539794A (zh) * 2020-04-22 2021-10-22 芯恩(青岛)集成电路有限公司 半导体结构及其制备方法

Also Published As

Publication number Publication date
TWI447800B (zh) 2014-08-01
KR101573954B1 (ko) 2015-12-02
KR20100106502A (ko) 2010-10-01
US20130000846A1 (en) 2013-01-03
WO2009085598A3 (en) 2009-09-11
TW200931513A (en) 2009-07-16
WO2009085598A2 (en) 2009-07-09
US8282847B2 (en) 2012-10-09
US20090162790A1 (en) 2009-06-25
US8911587B2 (en) 2014-12-16

Similar Documents

Publication Publication Date Title
CN101903977A (zh) 光刻胶两次图案化
CN100543946C (zh) 蚀刻掩模特征临界尺寸的减小
CN101061436B (zh) 包括具有氢流速渐变的光刻胶等离子体老化步骤的蚀刻方法
TWI476834B (zh) 利用高蝕刻速率光阻遮罩之蝕刻
CN101595551B (zh) 临界尺寸减小及粗糙度控制
US8329585B2 (en) Method for reducing line width roughness with plasma pre-etch treatment on photoresist
CN101971301B (zh) 利用稀有气体等离子的线宽粗糙度改进
KR101353239B1 (ko) 피치 감소
CN101971291B (zh) 双掩模自对准双图案化技术(SaDPT)工艺
CN102150244B (zh) 侧壁形成工艺
US7785484B2 (en) Mask trimming with ARL etch
US7838426B2 (en) Mask trimming
CN101606231B (zh) 超高纵横比电介质刻蚀
CN101292197A (zh) 具有减小的线条边缘粗糙度的蚀刻特征
JP2013191854A (ja) エッチング中のラインエンドショートニングの低減
TWI405265B (zh) 均勻控制的蝕刻
CN102318037A (zh) 利用arc层打开的cd偏置负载控制
US20090311871A1 (en) Organic arc etch selective for immersion photoresist

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20101201