JP2013191854A - エッチング中のラインエンドショートニングの低減 - Google Patents

エッチング中のラインエンドショートニングの低減 Download PDF

Info

Publication number
JP2013191854A
JP2013191854A JP2013086806A JP2013086806A JP2013191854A JP 2013191854 A JP2013191854 A JP 2013191854A JP 2013086806 A JP2013086806 A JP 2013086806A JP 2013086806 A JP2013086806 A JP 2013086806A JP 2013191854 A JP2013191854 A JP 2013191854A
Authority
JP
Japan
Prior art keywords
gas
line
photoresist
polymer
trimming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2013086806A
Other languages
English (en)
Inventor
Yoko Yamaguchi Adams
山口 アダムス・葉子
Kota Gowri
コータ・ゴウリ
Frank Y Lin
リン・フランク・ワイ.
Qinghua Zhong
チョン・キングア
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2013191854A publication Critical patent/JP2013191854A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】エッチング層において特徴部をエッチングするための方法を提供する。
【解決手段】パターン形成済みフォトレジストマスクを、エッチング層上に提供し、線端部において終了する一対の側壁を有した少なくとも一本のフォトレジスト線を有するフォトレジストマスクを提供する。少なくとも一本のフォトレジスト線の上にポリマ層を配置し、フォトレジスト線の線端部420におけるポリマ層の厚さは、フォトレジスト線の側壁424におけるポリマ層の厚さより大きくする。フォトレジストマスクを介してエッチング層に特徴部をエッチングし、ラインエンドショートニング(LES)比を1以下とする。
【選択図】図4D

Description

[関連出願の説明]
本願は、出典を明記することで本願明細書の一部とする2006年9月14日提出のコータらによる米国特許出願第11/521,810号「エッチング中のラインエンドショートニングの低減」の一部継続出願である。
本発明は、半導体デバイスの形成に関する。特に、本発明は、半導体デバイスの形成中にラインエンドショートニングを低減することに関する。
半導体ウェーハ処理中、半導体デバイスの特徴部は、周知のパターニング及びエッチングプロセスを使用してウェーハ内に定められる。こうしたプロセスでは、フォトレジスト(PR)材料をウェーハ上に堆積させ、その後、レチクルによりフィルタリングした光に露出する。レチクルは、一般には、光がレチクルを介して伝搬するのを遮断する理想的な特徴形状によりパターン形成されたガラス板である。
レチクル通過後、光は、フォトレジスト材料の表面に接する。光は、現像剤によりフォトレジスト材料の一部を除去できるように、フォトレジスト材料の化学組成を変化させる。ポジティブフォトレジスト材料の場合、露光領域が除去され、ネガティブフォトレジスト材料の場合、未露光領域が除去される。その後、ウェーハをエッチングして、フォトレジスト材料により保護されなくなった領域から下層材料を除去することにより、ウェーハ内に所望の特徴部を定める。
集積回路(IC)の最小特徴部サイズは、半導体ウェーハ処理の進歩の世代毎に縮小を続けている。トランジスタ及び金属線が小さくなり、互いの近くへ移動するに従って、以前は重要ではなかった三次変数が、ICの設計及び製造を左右するようになっている。発生した問題の一つは「ラインエンドショートニング(LES)」である。図1A及び1Bは、ラインエンドショートニング問題の一つを示している。LESは、線の終端の実際のプリント位置と、意図(設計)された位置との間の差とされる。図1Aは、上部拡散領域を下部拡散領域に電気的に結合するために使用されるゲート領域を形成し得る、左から右へ延びるポリシリコン線12を備えたトランジスタ10の設計を示す。
図1Bは、設計により生じた実際のプリント画像を、所望の設計を示す点線16と共に示す。エッチングの影響及びフォトレジストのプルバックのため、相当な量のラインエンドショートニング14が存在する。LES比は、図1Bを参照すると、(Y−Y1)/(X−X1)として定義し得ることになり、これは幅の減少に対する長さの減少の比であり、現在では、2より大きい。
図2A及び2Bは、別のLES問題を示している。図2Aに示した設計は、アクティブソース26及びドレーン28間に幅W1 と、側壁24と、線端部22とを有するフォトレジスト線20を有し得る。結果的に生じたプリント画像を図2Bに示す。結果的に生じた画像は、幅W2 と、側壁32と、線端部34とを有するフォトレジスト線30を有する。設計線端部22とプリント画像線端部34との間の幅W3 は、漏電及びデバイスの故障を引き起こす隙間をソース26とドレーン28との間に発生させる。上述及び図2Bに図示したように、W3 におけるLESは、W1 とW2 との間の差に比べ遙かに大きい。そのため、LESは、側壁24と比較して、線端部22において大きくなる。線に延長部を追加して、より長いフォトレジスト線をプリントしてもよいが、通常は、LESを補う上で十分な余地がマスク設計に存在しないため不可能である。LES比は、(W1−W2)/(2W3 )として定義し得る。
「ハンマーヘッド」を線端部に追加してLESを補う場合もあった。しかしながら、ハンマーヘッドは、ハンマーヘッドとポリシリコン線との間の橋絡を引き起こす可能性のある設計規則違反を発生させる恐れがある。この橋絡問題は、ハンマーヘッドとポリシリコン線との分離により軽減し得るが、分離により回路素子のサイズは増加し、これは半導体デバイスに集積可能な回路素子が少なくなることを意味する。更に、ハンマーヘッドの使用により、線幅の粗度が大きくなる恐れがある。
LESは、デバイス性能の悪化、信頼性の低下、歩留まりの損失、デバイスにおける漏電、限界寸法(CD)の制限、他の関連する問題を発生させる場合がある。
上述したことを達成するため、及び本発明の目的により、エッチング層において特徴部をエッチングするための方法を提供する。パターン形成済みフォトレジストマスクを、エッチング層上に提供し、線端部において終了する一対の側壁を有した少なくとも一本のフォトレジスト線を有するフォトレジストマスクを提供する。少なくとも一本のフォトレジスト線の上にポリマ層を配置し、フォトレジスト線の線端部におけるポリマ層の厚さは、フォトレジスト線の側壁におけるポリマ層の厚さより大きくする。フォトレジストマスクを介してエッチング層に特徴部をエッチングし、ラインエンドショートニング(LES)比を1以下とする。
本発明の別の実施形態は、エッチング層において特徴をエッチングするための方法を提供する。パターン形成済みフォトレジストマスクを、エッチング層上に提供し、フォトレジストマスクは、線端部において終了する一対の側壁を有した少なくとも一本のフォトレジスト線を有する。少なくとも一本のフォトレジスト線の上にポリマ層を配置し、これには堆積ガスを流動させるステップと、堆積ガスをプラズマに転換するステップと、堆積ガスを停止するステップとが含まれる。ポリマ層をトリミングして、フォトレジスト線の線端部におけるトリミング済みポリマ層の厚さを、フォトレジスト線の側壁におけるトリミング済みポリマ層の厚さより大きくし、これにはトリミングガスを流動させるステップと、トリミングガスをプラズマに転換するステップと、トリミングガスを停止するステップとが含まれる。フォトレジストマスクを介してエッチング層に特徴部をエッチングし、ラインエンドショートニング(LES)比を1以下とする。
本発明の別の実施形態では、線端部において終了する一対の側壁を有した少なくとも一本のフォトレジスト線を有するフォトレジストマスク下のエッチング層において特徴部をエッチングするための装置を提供する。プラズマ処理チャンバは、プラズマ処理チャンバ容器を形成するチャンバ壁と、プラズマ処理チャンバ容器内において基板を支持するための基板支持部と、プラズマ処理チャンバ容器内の圧力を調整するための圧力調整器と、プラズマを維持するためにプラズマ処理チャンバ容器に電力を提供するための少なくとも一個の電極と、プラズマ処理チャンバ容器内へガスを供給するためのガス入口と、プラズマ処理チャンバ容器からガスを排気するためのガス出口とを有する。ガス入口と流体連絡するガスソースは、ポリマ堆積ガスソースと、エッチング層エッチングガスソースとを含む。コントローラは、ガスソースと少なくとも一個の電極とに制御可能に接続され得る。コントローラは、少なくとも一個のプロセッサと、少なくとも一サイクルを有する、フォトレジスト線の側壁及び線端部の縮小を低減するためのコンピュータ読み取り可能なコードを含むコンピュータ読み取り可能な媒体とを備え、各サイクルは、線上にポリマを形成するために堆積ガスを供給して、線端部のポリマ量を側壁のポリマ量より大きくするコンピュータ読み取り可能なコードと、エッチング層をエッチングして、ラインエンドショートニング(LES)を1以下とするコンピュータ読み取り可能なコードと、フォトレジストマスクを除去するためのコンピュータ読み取り可能なコードと、を含む。
本発明の上記その他の特徴は、次の各図と併せて本発明の詳細な説明において以下更に詳しく説明する。
本発明は、同様の参照符号が同様の要素を表す以下の添付図面の各図において、限定ではなく一例として図示される。
ラインエンドショートニング問題の一つを示す説明図である。 ラインエンドショートニング問題の一つを示す説明図である。 別のラインエンドショートニング問題を示す説明図である。 別のラインエンドショートニング問題を示す説明図である。 本発明の実施形態において使用し得るプロセスの高レベルフローチャートである。 本発明の実施形態により処理されたスタックの概略断面及び上面図である。 本発明の実施形態により処理されたスタックの概略断面及び上面図である。 本発明の実施形態により処理されたスタックの概略断面及び上面図である。 本発明の実施形態により処理されたスタックの概略断面及び上面図である。 本発明の実施形態により処理されたスタックの概略断面及び上面図である。 本発明の実施形態により処理されたスタックの概略断面及び上面図である。 ラインエンドショートニングを低減するステップの更に詳細なフローチャートである。 本発明を実現する際に使用し得るプラズマ処理チャンバの概略構成図である。 本発明の実施形態において使用されるコントローラを実現するのに適したコンピュータシステムを示す説明図である。 本発明の実施形態において使用されるコントローラを実現するのに適したコンピュータシステムを示す説明図である。 本発明の実施形態により処理されたスタックの概略断面及び上面図である。 本発明の実施形態により処理されたスタックの概略断面及び上面図である。 本発明の実施形態により処理されたスタックの概略断面及び上面図である。 本発明の実施形態により処理されたスタックの概略断面及び上面図である。 ラインエンドショートニングを低減するステップの別の更に詳細なフローチャートである。
以下、添付図面に示した幾つかの好適な実施形態を参照して本発明を説明する。以下の説明では、本発明の実施形態の完全な理解を提供するために、多数の具体的な詳細について述べる。しかしながら、こうした具体的な詳細の一部または全部が無くとも、本発明を実現可能であることは、当業者には理解されよう。また、周知の処理ステップ及び/または構造は、本発明を不必要に曖昧にしないため、詳細な説明を省略する。
理解を容易にするため、図3は、本発明の実施形態において使用し得るプロセスの高レベルフローチャートである。パターン形成済みフォトレジストマスクが提供される(ステップ304)。図4Aは、基板404上のエッチング対象層408の概略断面図であり、エッチング対象層408上の下層410上にあるフォトレジスト特徴部414を備えたパターン形成済みフォトレジストマスク412によりスタック400が形成される。パターン形成済みフォトレジストマスク412は、線端部420において終了する一対の側壁424を有した少なくとも一本のフォトレジスト線を有する。パターン形成済みフォトレジストマスクを提供するためには、まず、エッチング対象層上にフォトレジスト層を形成し得る。その後、フォトレジスト層のパターン形成を行うことで、フォトレジスト側壁と線端部とを備えたフォトレジスト線が形成される。フォトレジスト特徴部は、図示したように幅We を有し得る。
図4Bは、フォトレジストマスク412の上面図である。上述したように、リソグラフィ等の製造プロセスでは、プリント画像のLESが生じる。点線416は、フォトレジストマスク412に提供されたプリントパターンを示す。実際のエッチング画像は、図示したように、短縮された側壁43及び線端部436を有し得る。
下層410は、任意の公知の有機層、無機層、または、金属層にしてよい。限定ではなく例示を目的として、下層は、反射防止層(ARL)、裏面反射防止膜(BARC)、誘電反射防止膜(DARC)、アモルファスカーボン、Sixy、Sixy、Sixyz 等のハードマスク、または他の任意の公知の下層にしてよい。
フォトレジストマスク上に被覆を配置し得る(ステップ308)。図5は、このステップの更に詳細なフローチャートである。図5に示したように、LESの低減には、ポリマ層を堆積させるステップ504とポリマ層を硬化させるステップ508とを含む循環プロセスが少なくとも一サイクル含まれる。フォトレジスト線がポリマ層により被覆された後のスタックの断面図である図4Cに示した通り、フォトレジスト特徴部412間の間隔がポリマ層の被覆前のフォトレジスト特徴部の幅「Se 」より小さい幅「Sp 」を有するように、フォトレジスト線をポリマ層により被覆または堆積させ得る。
図4Dは、フォトレジスト線がポリマ層226により被覆された状態にある図4Cのフォトレジストマスク412の上面図である。ポリマ層226は、フォトレジスト線を被覆して、エッチング中に線端部420及び側壁424を保護し、LES比が1以下になるようにする。被覆は、LESを減少させて、フォトレジスト線の長さ及び幅を増加させる。収縮は側壁424よりも線端部420において大きな度合いで発生するため、図示したように、線端部420に堆積させるポリマの量yは、側壁424に堆積させるポリマの量xより大きくする。一実施形態において、線端部に堆積させる被覆の量は、側壁に堆積させる量の三倍程度にすることが好ましい。堆積させる被覆の量は、側壁の低減に対するLES比が1未満になるように使用し得る。
ポリマは、炭素、水素、フッ素、またはその組み合わせを含有し得る。使用されるガスは、メタン(CH4 )等の炭化水素、フルオロカーボン、ハイドロフルオロカーボン、ヘリウム、アルゴン、またはその組み合わせにしてよい。フルオロカーボンは、化学式C48を有してよい。好ましくは、フルオロカーボンは、化学式Cxyz を有する。フォトレジストマスク上にポリマを堆積させるプロセスには、約1ないし20秒が必要となり得る。
ポリマは、フルオロカーボンと臭化水素ガスとの混合物により硬化させ得る。好ましくは、フルオロカーボンは、CF4 にしてよい。循環プロセスは、所望の層が達成されるまで反復し得る。サイクルは、10回以下、好ましくは1回以上反復することが好適である。
次に、図4Eに示したように、フォトレジストマスク412を介して、エッチング層408に特徴部428をエッチングし得る(ステップ316)。ポリマ被覆226の一部または全部も、このステップ中に除去されてよく、これにより、線端部及び側壁を保護して、LES比が1以下となるようにLESの量を減少させる。その後、図4Fに示したように、フォトレジストマスク412を除去する(ステップ320)。
実施例
このプロセスの例では、パターン形成済みフォトレジスト層が形成される(ステップ304)。エッチング層408、下層410、及びパターン形成済みフォトレジストマスク412を備える基板404を、エッチングチャンバ内に配置する。
図6は、フォトレジストマスク上にポリマ層を配置し、ポリマ層を硬化させ、エッチング、及び剥離を行うために使用し得るプラズマ処理システム600の概略図である。プラズマ処理システム600は、プラズマ処理ツール601を含み得る。プラズマ処理ツール601は、誘導結合プラズマエッチングツールであり、内部にプラズマ処置チャンバ604を有するプラズマリアクタ602を含む。変圧器結合電力(TCP)コントローラ650及びバイアス電力コントローラ655は、プラズマチャンバ604内に形成されたプラズマ624に影響を与えるTCP電源651及びバイアス電源656をそれぞれ制御する。
TCP電力コントローラ650は、TCP整合回路652により調整された13.56MHzの高周波信号を、プラズマチャンバ604近くに位置するTCPコイル675へ供給するように構成されたTCP電源651の設定点を設定する。RF透過窓654を設けることにより、TCPコイル675からプラズマチャンバ604へのエネルギの伝達を可能にしつつ、TCPコイル675をプラズマチャンバ604から分離する。RF透過窓654の開口部に配置した約2.5cm(1インチ)の直径を有する円形のサファイア片により、光透過窓665を設けてもよい。
バイアス電力コントローラ655は、処理中の半導体ウェーハワーク等の基板606を受領することに適した、プラズマチャンバ604内部に位置し且つ電極608上方に直流(DC)を形成するチャック電極608に対して、バイアス整合回路657により調整されたRF信号を供給するように構成されたバイアス電源656の設定点を設定する。
ガス供給機構またはガスソース610は、ガスマニホルド617を介して取り付けられたガスまたはガス群のソースまたはソース群616を含み、プロセスに必要となる適切な化学物質をプラズマチャンバ604の内部へ供給する。ガス排出機構618は、圧力制御バルブ619と排気ポンプ620とを含み、プラズマチャンバ604内部から粒子を除去し、プラズマチャンバ604内において特定の圧力を維持する。
温度コントローラ680は、ヒータ電源684制御することにより、チャック電極608内部に設けたヒータ682の温度を制御する。プラズマ処理システム600は、更に、電子制御回路670を含む。
図7A及び7Bは、本発明の実施形態において使用されるコントローラ670を実現するのに適したコンピュータシステム700を示す。図7Aは、コンピュータシステムの可能な一物理形態を示す。当然ながら、コンピュータシステムは、集積回路、プリント回路基板、及び小型携帯機器から、巨大なスーパーコンピュータに至るまで、多数の物理形態を有し得る。コンピュータシステム700は、モニタ702、ディスプレイ704、筐体706、ディスクドライブ708、キーボード710、及びマウス712を含む。ディスク714は、データをコンピュータシステム700との間で転送するために使用されるコンピュータ読み取り可能媒体である。
図7Bは、コンピュータシステム700のブロック図の例である。システムバス720に、様々なサブシステムが取り付けられている。プロセッサ(群)722(中央演算処理装置またはCPUとも呼ばれる)は、メモリ724を含む記憶装置に結合される。メモリ724は、ランダムアクセスメモリ(RAM)と読み出し専用メモリ(ROM)とを含む。この技術において周知であるように、ROMは、データ及び命令を一方向でCPUへ転送する機能を果たし、RAMは、通常、データ及び命令を双方向の形で転送するために使用される。こうした種類のメモリは、両方とも、以下に説明する任意の適切なコンピュータ読み取り可能な媒体を含み得る。固定ディスク726は、CPU722に双方向で結合され、追加的なデータ記憶容量を提供すると共に、同様に、以下に説明する任意のコンピュータ読み取り可能な媒体を含み得る。固定ディスク726は、プログラム、データ、及びその他を格納するのに使用し得ると共に、通常は、一次記憶装置よりも低速な(ハードディスク等の)二次記憶媒体となる。固定ディスク726内部に保持される情報は、適切である場合、標準的な形で仮想メモリとしてメモリ724に組み込み得ることは理解されよう。リムーバブルディスク714は、以下に説明する任意のコンピュータ読み取り可能な媒体の形態を取ってよい。
CPU722は、更に、ディスプレイ704、キーボード710、マウス712、及びスピーカ730等の様々な入出力デバイスに結合される。一般に、入出力デバイスは、ビデオディスプレイ、トラックボール、マウス、キーボード、マイクロフォン、タッチ式ディスプレイ、トランスデューサカードリーダ、磁気または紙テープリーダ、タブレット、スタイラス、音声または手書き認識装置、バイオメトリクスリーダ、または他のコンピュータの何れかにしてよい。CPU722は、随意的に、ネットワークインタフェース740を使用して別のコンピュータまたは遠隔通信ネットワークに結合し得る。こうしたネットワークインタフェースにより、CPUは、上述した方法ステップを実行する過程において、ネットワークからの情報の受信またはネットワークへの情報の出力を実行し得ると考えられる。更に、本発明の方法の実施形態は、CPU722単独で実行し得るものであり、あるいは、処理の一部を共有するリモートCPUと連動して、インターネット等のネットワークを介して実行し得る。
加えて、本発明の実施形態は、更に、コンピュータにより実現される様々な動作を実行するためのコンピュータコードを有するコンピュータ読み取り可能な媒体を備えたコンピュータストレージ製品に関する。媒体及びコンピュータコードは、本発明の目的のために特別に設計及び構築されたものにしてよく、あるいは、コンピュータソフトウェア技術における当業者に周知且つ利用可能な種類のものにしてもよい。コンピュータ読み取り可能な媒体の例には、ハードディスク、フレキシブルディスク、及び磁気テープ等の磁気媒体と、CD−ROM及びホログラフィックデバイス等の光学媒体、フロプティカルディスク等の光磁気媒体、特定用途向け集積回路(ASIC)、プログラマブル論理デバイス(PLD)、及びROM及びRAMデバイス等、プログラムコードを格納及び実行するために特別に構成されたハードウェアデバイス等が含まれる。コンピュータコードの例には、コンパイラにより作成されるような機械コードと、インタプリタを使用してコンピュータにより実行される高レベルコードを含むファイルとが含まれる。コンピュータ読み取り可能な媒体は、搬送波内において実現され、プロセッサにより実行可能な一連の命令を表すコンピュータデータ信号により送信されるコンピュータコードにしてもよい。
ポリマ層をフォトレジストマスク上に配置する(ステップ308)。図5に示したサイクルを複数回使用することでポリマ層を堆積させる(ステップ504)レシピの一例では、例えば、CH4 、C48、及びCxyz の少なくとも一つのガスを使用する。エッチングチャンバまたはプラズマ処理チャンバは、1ないし100mTの圧力を有し得る。更に好ましくは、マスクを横方向にエッチングする圧力は、2ないし8mTである。500ないし1000ワットの電力を、プラズマ処理チャンバに対して13.56MHzで供給する。温度は、約40℃ないし60℃にしてよい。レシピの一実施形態においては、20sccmのCH4 及び100sccmのHeを、温度40℃で15秒間、圧力5mT、電力500ワット、及びバイアス電力ゼロで使用する。
プラズマ層を硬化させる(ステップ508)。ポリマ層の硬化のためのレシピの一例は、100sccmのCF4 及び25sccmのHBrといったフルオロカーボン及び臭化水素ガスを供給する。チャンバは5mTorrの圧力とする。RF電源は、周波数13.56MHz及び温度40℃において500ワットを提供する。
次に、エッチング層に特徴部をエッチングする(ステップ316)。この例において、エッチング層は、ゲートを形成するためのポリシリコンである。別の実施形態において、エッチング対象層は、SiN、SiC、酸化物、金属層、または低k誘電体等、従来のエッチング層にしてよい。従来のエッチングレシピを使用して、エッチング対象層をエッチングし得る。
マスクを除去するために(ステップ320)、酸素アッシングを使用し得る。
本発明の好適な実施形態において、ポリマ層の配置、ポリマ層の硬化、及びエッチング層への特徴部のエッチングは、図示したような同一のエッチングチャンバ内において原位置で実行される。好ましくは、ポリマ層の配置及び硬化は、10サイクル未満に渡って実行される。更に好ましくは、ポリマ層の配置及び硬化は、少なくとも一サイクルに渡って実行される。
堆積のためのレシピの一例では、チャンバ圧を25mTorrとする。堆積ガスとして100sccmのC48を供給する。堆積ガスは、バイアス電圧が0ボルトの状態において、500ワットのTCP電力を13.56MHzで提供することによりプラズマに転換する。堆積レシピの別の例では、CH4 レシピを使用する。この例では、チャンバ圧を5mTorrとする。堆積ガスとして20sccmのCH4 及び100sccmのHeを供給する。堆積ガスは、バイアス電圧が0ボルトの状態において、600ワットのTCP電力を13.56MHzで提供することによりプラズマに転換する。堆積ステップに続いて、HBr及びCF4 硬化ステップが行われる。
更に一般的には、堆積には、CHF3 、CH4 、CH22、CH4 、またはSiCl4 の少なくとも一つを含む気体化学物質が含まれ得る。
別の実施形態では、硬化ステップは使用されない。例えば、フォトレジスト及び堆積ポリマは、十分なエッチング耐性を有し、硬化ステップを必要としない。上述した、堆積ガスとして100sccmのC48を供給する例と、堆積ガスとして20sccmのCH4 及び100sccmのHeを供給する例とにおいて、独立した硬化ステップは省略してよい。こうしたプロセスでは、硬化ステップを排除して、こうしたプロセスの簡略化を行い、スループットを高めることが可能である。独立した硬化ステップを必要としない堆積レシピの別の例において、チャンバ圧は、5ないし10mTorrに設定される。堆積ガスとして100sccmのCF4 及び20sccmのHBrをチャンバ内に流入させる。100ないし200ボルトのバイアス電圧と共に、300ないし500ワットのTCP電力を13.56MHzで提供することにより、堆積ガスをプラズマにする。独立した硬化ステップを必要としない堆積レシピの別の例において、チャンバ圧は、5ないし10mTorrに設定される。堆積ガスとして200sccmのN2 及び20ないし50sccmのCF4 をチャンバ内に流入させる。100ないし300ボルトのバイアス電圧と共に、300ないし900ワットのTCP電力を13.56MHzで提供することにより、堆積ガスをプラズマにする。こうしたプロセスではトリミングは行われない。端から端まで堆積を行い、フォトレジストを硬化させる。
別の例は、硬化ステップを使用せず、堆積段階とトリミング段階とを有するポリマ形成プロセスを提供するプロセスである。図3のプロセスによれば、パターン形成済みフォトレジストマスクを提供する(ステップ304)。図8Aは、基板804上のエッチング対象層808の概略断面図であり、エッチング対象層808上の下層810上にあるフォトレジスト特徴部814を備えたパターン形成済みフォトレジストマスク812によりスタック800が形成される。パターン形成済みフォトレジストマスク812は、線端部において終了する一対の側壁824を有した少なくとも一本のフォトレジスト線を有する。パターン形成済みフォトレジストマスクを提供するためには、まず、エッチング対象層上にフォトレジスト層を形成し得る。その後、フォトレジスト層のパターン形成を行うことで、フォトレジスト側壁と線端部とを備えたフォトレジスト線が形成される。フォトレジスト特徴部は、図示したように幅Se を有し得る。
図4Bは、フォトレジストマスク812の上面図である。パターン形成済みフォトレジストマスク812は、線端部820において終了する一対の側壁824を有した少なくとも一本のフォトレジスト線を有する。
フォトレジストマスク上に被覆を配置する(ステップ308)。図9は、このステップの更に詳細なフローチャートである。図9に示したように、LES比の低減には、ポリマ層を堆積させるステップ(ステップ904)と側壁をトリミングするステップ(ステップ908)とを含む循環プロセスが少なくとも一サイクル含まれる。図8Cは、フォトレジストマスク812上にポリマ層828を堆積させた(ステップ904)後のフォトレジストマスク812の上面図である。ポリマ層828は、フォトレジスト線を被覆して、線端部820及び側壁824を保護する。この例において、側壁824に堆積させるポリマ層の厚さは、線端部820に堆積させるポリマの厚さとほぼ同じである。別の例において、厚さは、異なる関係を有し得る。こうしたプロセスのレシピの一例において、堆積の際に、チャンバ圧を5mTorrとする。堆積ガスとして20sccmのHBr、80sccmのCH4 、及び5sccmのO2 をチャンバに流入させる。堆積ガスは、バイアス電圧が0ボルトの状態において、300ないし500ワットのTCP電力を13.56MHzで提供することによりプラズマにする。図8Dは、側壁をトリミングした(ステップ908)後のフォトレジストマスク812の上面図である。
トリミングレシピの一例では、チャンバ圧を8mTorrとする。トリミングガスとして20sccmのCl2 、20sccmのO2 、及び60sccmのHeをチャンバに流入させる。トリミングガスは、バイアス電圧が0ボルトの状態において、300ワットのTCP電力を13.56MHzで提供することによりプラズマにする。トリミングでは、側壁のポリマ、可能であれば更にフォトレジストを、線端部よりも速く選択的にエッチングし、図8Dに示したように、線端部のポリマが側壁より厚くなるようにする。図8Dの例は、ポリマのみがトリミングされた状態を示しているが、別の例においては、フォトレジストマスクの側壁の一部がトリミングされるように、側壁のポリマを完全にトリミングしてよい。その後、エッチング層に特徴部をエッチングする(ステップ316)。マスク及びポリマ層は除去される(ステップ320)。以前の実施形態と同様に、結果的に生じたポリマ層は、端部で厚くなり、側壁において薄くなるため、LESは低減される。トリミングの追加により、更に、CDの低減が可能となる。硬化ステップを有していないことから、トリミングは容易に実行される。
堆積及びトリミングプロセスの別の例では、少なくとも四サイクルの堆積及びその後のトリミングが実行され、各堆積において、薄層を堆積させた後、トリミングを実行して、次の堆積前に少量をトリミングする。こうした多重サイクルプロセスにより制御が向上することが分かっている。
別の例では、堆積及びトリミングを単一ステップで実行し得るように、堆積及びトリミングを同時に実行してよい。トリミング及び堆積を単一ステップで実行するレシピの例は、次の通りである。チャンバ圧は、5mTorrに設定する。堆積及びトリミングガスとして、80ないし150sccmのCF4 、20sccmのHBr、及び0ないし5sccmのO2 を供給する。堆積及びトリミングガスは、バイアス電圧が0ボルトの状態において、300ないし625ワットを周波数13.56MHzで提供することによりプラズマにする。これは、フォトレジストを硬化させる単一の堆積及びトリミングプロセスであり、独立した硬化ステップは必要なくなる。
単一ステップの堆積及びトリミングプロセスの別の例では、圧力を5mTorrとする。堆積及びトリミングガスとして、80ないし150sccmのCF4 及び20sccmのCHF3 またはCH22を供給する。堆積及びトリミングガスは、バイアス電圧が0ボルトの状態において、300ワットTCP電力を13.56MHzで提供することによりプラズマに転換する。このレシピは、線をトリミングするが、端から端まで堆積を行い、硬化ステップを要することなくLES比を改善する。ガスの注入及びTESCの温度は、この場合には固定されず、均一性を調整するために使用される。
別の実施形態において、フォトレジストマスク上に被覆を配置すること(ステップ308)と同時に、フォトレジストマスク上にポリマを堆積させると共に、下層、例えば、BARCを単一ステップにおいてエッチングする。エッチングマスクの一部としてBARCを使用することにより、硬化ステップは回避可能となる。堆積及びBARCエッチングレシピの例において、チャンバ圧は、5ないし8mTorrに設定される。堆積及びBARCエッチングガスとして、200sccmのN2 及び20ないし50sccmのCF4 をチャンバへ流入させる。堆積及びBARCガスは、300ないし900ワットのTCP電力を13.56MHzで提供することによりプラズマにする。
別の例において、BARCエッチングを提供するためのレシピでは、チャンバ圧を8mTorrとする。BARCエッチングガスとして、20sccmのCl2 、20sccmのO3 、4sccmのSiCl4 、及び60sccmのHeを供給する。BARCエッチングガスは、150ボルトまでのバイアス電圧と共に、300ないし400ワットのTCP電力を13.56MHzで提供することによりプラズマにする。別のレシピの例では、チャンバ圧を8mTorrとする。BARCエッチングガスとして、80ないし100sccmのCF4 及び20sccmのCHF3 またはCH22をチャンバに流入させる。BARCエッチングガスは、100ないし200ボルトのバイアス電圧と共に、300ないし400ワットのTCP電力を13.56MHzで提供することによりプラズマにする。
以上、幾つかの好適な実施形態により本発明を説明してきたが、本発明の範囲に含まれる変更、置換、及び様々な代用等価物が存在する。更に、本発明の方法及び装置を実現する別の多数の形が存在することに留意されたい。したがって、以下の特許請求の範囲には、本発明の趣旨及び範囲に含まれる全ての変更、置換、及び様々な代用等価物が含まれると解釈されるべきである。
以上、幾つかの好適な実施形態により本発明を説明してきたが、本発明の範囲に含まれる変更、置換、及び様々な代用等価物が存在する。更に、本発明の方法及び装置を実現する別の多数の形が存在することに留意されたい。したがって、以下の特許請求の範囲及び適用例には、本発明の趣旨及び範囲に含まれる全ての変更、置換、及び様々な代用等価物が含まれると解釈されるべきである。
[適用例1]
エッチング層に特徴部をエッチングするための方法であって、
線端部において終了する一対の側壁を有した少なくとも一本のフォトレジスト線を有するパターン形成済みフォトレジストマスクを、前記エッチング層上に提供するステップと、
前記少なくとも一本のフォトレジスト線の上にポリマ層を配置して、前記フォトレジスト線の前記線端部における前記ポリマ層の厚さが前記フォトレジスト線の前記側壁における前記ポリマ層の厚さより大きくするステップと、
前記フォトレジストマスクを介して前記エッチング層に特徴部をエッチングして、ラインエンドショートニング(LES)比を1以下とするステップと
を備える方法。
[適用例2]
前記少なくとも一本のフォトレジスト線の上に前記ポリマ層を配置する前記ステップは、前記ポリマを堆積させる間に下層を同時にエッチングし、その後の前記エッチング層のエッチングのために、前記下層と共にエッチングマスクを形成する適用例1記載の方法。
[適用例3]
前記ポリマ層を堆積させる前記ステップは、
堆積ガスを流動させるステップと、
前記堆積ガスをプラズマにするステップと、
少なくとも100ボルトの大きさのバイアス電圧を提供するステップと
を含む適用例2記載の方法。
[適用例4]
前記少なくとも一本のフォトレジスト線の上に前記ポリマ層を配置する前記ステップは、少なくとも一サイクルを含み、各サイクルは
ポリマを前記少なくとも一本のフォトレジスト線上に堆積させる堆積段階と、
前記少なくとも一本のフォトレジスト線の前記側壁上に堆積したポリマが、前記少なくとも一本のフォトレジスト線の前記線端部上に堆積したポリマより多くトリミングされるように、前記堆積ポリマを選択的にトリミングするトリミング段階と
を有する適用例1記載の方法。
[適用例5]
前記少なくとも一本のフォトレジスト線の上に前記ポリマ層を配置する前記ステップは、少なくとも四サイクルを含む
適用例4記載の方法。
[適用例6]
前記堆積段階は、
堆積ガスを流動させるステップと、
前記堆積ガスをプラズマにするステップと、
前記堆積ガスを停止するステップと、を含み、前記トリミング段階は、
トリミングガスを流動させるステップと、
前記トリミングガスをプラズマにするステップと、
前記トリミングガスを停止するステップと
を含む適用例4または適用例5に記載の方法。
[適用例7]
前記トリミングガスは、酸素含有ガスを含む適用例6記載の方法。
[適用例8]
前記トリミングガスは、更に、Cl 2 を含む適用例7記載の方法。
[適用例9]
前記少なくとも一本のフォトレジスト線の上に前記ポリマ層を配置する前記ステップは、
ポリマ形成ガス及びHBrを含む堆積ガスを供給するステップと、
前記堆積ガスからプラズマを形成するステップと
を含む適用例1記載の方法。
[適用例10]
前記少なくとも一本のフォトレジスト線の上に前記ポリマ層を配置する前記ステップは、
堆積ガス及びトリミングガスを同時に流動させるステップと、
前記堆積ガス及びトリミングガスをプラズマにするステップと
を含む適用例1記載の方法。
[適用例11]
更に、酸素アッシングを提供するステップを備える適用例1ないし10の何れかに記載の方法。
[適用例12]
前記少なくとも一本のフォトレジスト線の上に前記ポリマ層を配置する前記ステップは、
CHF 3 、CH 4 、CH 2 2 、CH 4 、またはSiCl 4 の少なくとも一つを含む堆積ガスを供給するステップと、
前記堆積ガスをプラズマにするステップと
を含む適用例1記載の方法。
[適用例13]
適用例1ないし適用例12の何れかに記載の方法により形成された半導体デバイス。
[適用例14]
エッチング層において特徴をエッチングするための方法であって、
線端部において終了する一対の側壁を有した少なくとも一本のフォトレジスト線を有するパターン形成済みフォトレジストマスクを、前記エッチング層上に提供するステップと、
堆積ガスを流動させるステップ、
前記堆積ガスをプラズマに転換するステップ、及び
前記堆積ガスを停止するステップを含む
前記少なくとも一本のフォトレジスト線の上にポリマ層を配置するステップと、
トリミングガスを流動させるステップ、
前記トリミングガスをプラズマに転換するステップ、及び
前記トリミングガスを停止するステップを含む
前記ポリマ層をトリミングして、前記フォトレジスト線の前記線端部における前記トリミング済みポリマ層の厚さが前記フォトレジスト線の前記側壁における前記トリミング済みポリマ層の厚さより大きくなるようにするステップと、
前記フォトレジストマスクを介して前記エッチング層に特徴部をエッチングして、ラインエンドショートニング(LES)比を1以下とするステップと
を備える方法。
[適用例15]
前記トリミングガスは、酸素含有ガスを含む適用例14記載の方法。
[適用例16]
前記トリミングガスは、更に、Cl 2 を含む適用例15記載の方法。
[適用例17]
線端部において終了する一対の側壁を有した少なくとも一本のフォトレジスト線を有するフォトレジストマスク下のエッチング層において特徴部をエッチングするための装置であって、
プラズマ処理チャンバ容器を形成するチャンバ壁、
前記プラズマ処理チャンバ容器内において基板を支持するための基板支持部、
前記プラズマ処理チャンバ容器内の圧力を調整するための圧力調整器、
プラズマを維持するために前記プラズマ処理チャンバ容器に電力を提供するための少なくとも一個の電極、
前記プラズマ処理チャンバ容器内へガスを供給するためのガス入口、
前記プラズマ処理チャンバ容器からガスを排気するためのガス出口を含む、
プラズマ処理チャンバと、
ポリマ堆積ガスソース、及び
エッチング層エッチングガスソースを含む
前記ガス入口と流体連絡するガスソースと、
少なくとも一個のプロセッサ、及び
コンピュータ読み取り可能な媒体を含む
前記ガスソースと前記少なくとも一個の電極とに制御可能に接続されたコントローラと
を備え、
前記コンピュータ読み取り可能な媒体は、前記フォトレジスト線の前記側壁及び前記線端部の縮小を低減する少なくとも一つのサイクルを実現するコンピュータ読み取り可能なコードを含み、各サイクルは、
前記線上にポリマを形成するために堆積ガスを供給して、前記線端部のポリマ量を前記側壁のポリマ量より大きくするコンピュータ読み取り可能なコードと、
前記エッチング層をエッチングして、ラインエンドショートニング(LES)を1以下とするコンピュータ読み取り可能なコードと、
前記フォトレジストマスクを除去するためのコンピュータ読み取り可能なコードと
を有する装置。

Claims (17)

  1. エッチング層に特徴部をエッチングするための方法であって、
    線端部において終了する一対の側壁を有した少なくとも一本のフォトレジスト線を有するパターン形成済みフォトレジストマスクを、前記エッチング層上に提供するステップと、
    前記少なくとも一本のフォトレジスト線の上にポリマ層を配置して、前記フォトレジスト線の前記線端部における前記ポリマ層の厚さが前記フォトレジスト線の前記側壁における前記ポリマ層の厚さより大きくするステップと、
    前記フォトレジストマスクを介して前記エッチング層に特徴部をエッチングして、ラインエンドショートニング(LES)比を1以下とするステップと
    を備える方法。
  2. 前記少なくとも一本のフォトレジスト線の上に前記ポリマ層を配置する前記ステップは、前記ポリマを堆積させる間に下層を同時にエッチングし、その後の前記エッチング層のエッチングのために、前記下層と共にエッチングマスクを形成する請求項1記載の方法。
  3. 前記ポリマ層を堆積させる前記ステップは、
    堆積ガスを流動させるステップと、
    前記堆積ガスをプラズマにするステップと、
    少なくとも100ボルトの大きさのバイアス電圧を提供するステップと
    を含む請求項2記載の方法。
  4. 前記少なくとも一本のフォトレジスト線の上に前記ポリマ層を配置する前記ステップは、少なくとも一サイクルを含み、各サイクルは
    ポリマを前記少なくとも一本のフォトレジスト線上に堆積させる堆積段階と、
    前記少なくとも一本のフォトレジスト線の前記側壁上に堆積したポリマが、前記少なくとも一本のフォトレジスト線の前記線端部上に堆積したポリマより多くトリミングされるように、前記堆積ポリマを選択的にトリミングするトリミング段階と
    を有する請求項1記載の方法。
  5. 前記少なくとも一本のフォトレジスト線の上に前記ポリマ層を配置する前記ステップは、少なくとも四サイクルを含む
    請求項4記載の方法。
  6. 前記堆積段階は、
    堆積ガスを流動させるステップと、
    前記堆積ガスをプラズマにするステップと、
    前記堆積ガスを停止するステップと、を含み、前記トリミング段階は、
    トリミングガスを流動させるステップと、
    前記トリミングガスをプラズマにするステップと、
    前記トリミングガスを停止するステップと
    を含む請求項4または請求項5に記載の方法。
  7. 前記トリミングガスは、酸素含有ガスを含む請求項6記載の方法。
  8. 前記トリミングガスは、更に、Cl2 を含む請求項7記載の方法。
  9. 前記少なくとも一本のフォトレジスト線の上に前記ポリマ層を配置する前記ステップは、
    ポリマ形成ガス及びHBrを含む堆積ガスを供給するステップと、
    前記堆積ガスからプラズマを形成するステップと
    を含む請求項1記載の方法。
  10. 前記少なくとも一本のフォトレジスト線の上に前記ポリマ層を配置する前記ステップは、
    堆積ガス及びトリミングガスを同時に流動させるステップと、
    前記堆積ガス及びトリミングガスをプラズマにするステップと
    を含む請求項1記載の方法。
  11. 更に、酸素アッシングを提供するステップを備える請求項1ないし10の何れかに記載の方法。
  12. 前記少なくとも一本のフォトレジスト線の上に前記ポリマ層を配置する前記ステップは、
    CHF3 、CH4 、CH22、CH4 、またはSiCl4 の少なくとも一つを含む堆積ガスを供給するステップと、
    前記堆積ガスをプラズマにするステップと
    を含む請求項1記載の方法。
  13. 請求項1ないし請求項12の何れかに記載の方法により形成された半導体デバイス。
  14. エッチング層において特徴をエッチングするための方法であって、
    線端部において終了する一対の側壁を有した少なくとも一本のフォトレジスト線を有するパターン形成済みフォトレジストマスクを、前記エッチング層上に提供するステップと、
    堆積ガスを流動させるステップ、
    前記堆積ガスをプラズマに転換するステップ、及び
    前記堆積ガスを停止するステップを含む
    前記少なくとも一本のフォトレジスト線の上にポリマ層を配置するステップと、
    トリミングガスを流動させるステップ、
    前記トリミングガスをプラズマに転換するステップ、及び
    前記トリミングガスを停止するステップを含む
    前記ポリマ層をトリミングして、前記フォトレジスト線の前記線端部における前記トリミング済みポリマ層の厚さが前記フォトレジスト線の前記側壁における前記トリミング済みポリマ層の厚さより大きくなるようにするステップと、
    前記フォトレジストマスクを介して前記エッチング層に特徴部をエッチングして、ラインエンドショートニング(LES)比を1以下とするステップと
    を備える方法。
  15. 前記トリミングガスは、酸素含有ガスを含む請求項14記載の方法。
  16. 前記トリミングガスは、更に、Cl2 を含む請求項15記載の方法。
  17. 線端部において終了する一対の側壁を有した少なくとも一本のフォトレジスト線を有するフォトレジストマスク下のエッチング層において特徴部をエッチングするための装置であって、
    プラズマ処理チャンバ容器を形成するチャンバ壁、
    前記プラズマ処理チャンバ容器内において基板を支持するための基板支持部、
    前記プラズマ処理チャンバ容器内の圧力を調整するための圧力調整器、
    プラズマを維持するために前記プラズマ処理チャンバ容器に電力を提供するための少なくとも一個の電極、
    前記プラズマ処理チャンバ容器内へガスを供給するためのガス入口、
    前記プラズマ処理チャンバ容器からガスを排気するためのガス出口を含む、
    プラズマ処理チャンバと、
    ポリマ堆積ガスソース、及び
    エッチング層エッチングガスソースを含む
    前記ガス入口と流体連絡するガスソースと、
    少なくとも一個のプロセッサ、及び
    コンピュータ読み取り可能な媒体を含む
    前記ガスソースと前記少なくとも一個の電極とに制御可能に接続されたコントローラと
    を備え、
    前記コンピュータ読み取り可能な媒体は、前記フォトレジスト線の前記側壁及び前記線端部の縮小を低減する少なくとも一つのサイクルを実現するコンピュータ読み取り可能なコードを含み、各サイクルは、
    前記線上にポリマを形成するために堆積ガスを供給して、前記線端部のポリマ量を前記側壁のポリマ量より大きくするコンピュータ読み取り可能なコードと、
    前記エッチング層をエッチングして、ラインエンドショートニング(LES)を1以下とするコンピュータ読み取り可能なコードと、
    前記フォトレジストマスクを除去するためのコンピュータ読み取り可能なコードと
    を有する装置。
JP2013086806A 2007-01-10 2013-04-17 エッチング中のラインエンドショートニングの低減 Pending JP2013191854A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/621,902 US7491343B2 (en) 2006-09-14 2007-01-10 Line end shortening reduction during etch
US11/621,902 2007-01-10

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2009545644A Division JP5489724B2 (ja) 2007-01-10 2008-01-08 エッチング中のラインエンドショートニングの低減

Publications (1)

Publication Number Publication Date
JP2013191854A true JP2013191854A (ja) 2013-09-26

Family

ID=39615674

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2009545644A Expired - Fee Related JP5489724B2 (ja) 2007-01-10 2008-01-08 エッチング中のラインエンドショートニングの低減
JP2013086806A Pending JP2013191854A (ja) 2007-01-10 2013-04-17 エッチング中のラインエンドショートニングの低減

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2009545644A Expired - Fee Related JP5489724B2 (ja) 2007-01-10 2008-01-08 エッチング中のラインエンドショートニングの低減

Country Status (6)

Country Link
US (1) US7491343B2 (ja)
JP (2) JP5489724B2 (ja)
KR (1) KR101433987B1 (ja)
CN (1) CN101584027B (ja)
TW (1) TWI409875B (ja)
WO (1) WO2008086361A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015220277A (ja) * 2014-05-15 2015-12-07 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100840652B1 (ko) * 2006-12-29 2008-06-24 동부일렉트로닉스 주식회사 씨모스 이미지 센서의 제조방법
JP2010161162A (ja) 2009-01-07 2010-07-22 Tokyo Electron Ltd 微細パターンの形成方法
US8298959B2 (en) * 2009-06-03 2012-10-30 Applied Materials, Inc. Method and apparatus for etching
US8394723B2 (en) * 2010-01-07 2013-03-12 Lam Research Corporation Aspect ratio adjustment of mask pattern using trimming to alter geometry of photoresist features
US8815747B2 (en) * 2010-06-03 2014-08-26 Micron Technology, Inc. Methods of forming patterns on substrates
CN102468168B (zh) * 2010-11-01 2014-06-04 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
US10049892B2 (en) * 2015-05-07 2018-08-14 Tokyo Electron Limited Method for processing photoresist materials and structures
CN108885977B (zh) * 2016-03-04 2023-08-08 东京毅力科创株式会社 在集成方案的各个阶段期间进行图案化的修整方法
KR102329531B1 (ko) * 2016-03-28 2021-11-23 주식회사 히타치하이테크 플라스마 처리 방법 및 플라스마 처리 장치
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
JP2019121750A (ja) * 2018-01-11 2019-07-22 東京エレクトロン株式会社 エッチング方法およびエッチング装置
JP7195113B2 (ja) 2018-11-07 2022-12-23 東京エレクトロン株式会社 処理方法及び基板処理装置
JP2022117597A (ja) 2021-02-01 2022-08-12 東京エレクトロン株式会社 温度制御方法及び基板処理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040087092A1 (en) * 2002-10-31 2004-05-06 Taiwan Semiconductor Manufacturing Company Novel approach to improve line end shortening
WO2006096528A2 (en) * 2005-03-08 2006-09-14 Lam Research Corporation Stabilized photoresist structure for etching process

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5959325A (en) 1997-08-21 1999-09-28 International Business Machines Corporation Method for forming cornered images on a substrate and photomask formed thereby
JP4153606B2 (ja) 1998-10-22 2008-09-24 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
KR100447263B1 (ko) 1999-12-30 2004-09-07 주식회사 하이닉스반도체 식각 폴리머를 이용한 반도체 소자의 제조방법
JP2001308076A (ja) 2000-04-27 2001-11-02 Nec Corp 半導体装置の製造方法
US6451705B1 (en) 2000-08-31 2002-09-17 Micron Technology, Inc. Self-aligned PECVD etch mask
US6653231B2 (en) 2001-03-28 2003-11-25 Advanced Micro Devices, Inc. Process for reducing the critical dimensions of integrated circuit device features
US6553560B2 (en) 2001-04-03 2003-04-22 Numerical Technologies, Inc. Alleviating line end shortening in transistor endcaps by extending phase shifters
US7125496B2 (en) 2001-06-28 2006-10-24 Hynix Semiconductor Inc. Etching method using photoresist etch barrier
CN1316564C (zh) * 2002-04-11 2007-05-16 联华电子股份有限公司 复合光致抗蚀剂层结构
JP3866155B2 (ja) * 2002-05-17 2007-01-10 株式会社ルネサステクノロジ 半導体装置およびその製造方法
KR100475080B1 (ko) 2002-07-09 2005-03-10 삼성전자주식회사 Si-콘테이닝 수용성 폴리머를 이용한 레지스트 패턴형성방법 및 반도체 소자의 제조방법
TW575907B (en) 2002-12-24 2004-02-11 Macronix Int Co Ltd Patterning method for fabricating integrated circuit
US6916594B2 (en) 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
CN100423192C (zh) * 2003-03-31 2008-10-01 东京毅力科创株式会社 用于多层光致抗蚀剂干式显影的方法和装置
JP4455936B2 (ja) * 2003-07-09 2010-04-21 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法とエッチングシステム
JP4727171B2 (ja) * 2003-09-29 2011-07-20 東京エレクトロン株式会社 エッチング方法
CN100395874C (zh) * 2004-07-14 2008-06-18 中芯国际集成电路制造(上海)有限公司 改善蚀刻后光刻胶残余的半导体器件制造方法
KR100792409B1 (ko) * 2004-10-12 2008-01-09 주식회사 하이닉스반도체 텅스텐막을 희생 하드마스크로 이용하는 반도체소자 제조방법
US7419771B2 (en) * 2005-01-11 2008-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a finely patterned resist
US7566525B2 (en) 2005-06-14 2009-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming an anti-etching shielding layer of resist patterns in semiconductor fabrication
JP4652140B2 (ja) * 2005-06-21 2011-03-16 東京エレクトロン株式会社 プラズマエッチング方法、制御プログラム、コンピュータ記憶媒体
US7425507B2 (en) 2005-06-28 2008-09-16 Micron Technology, Inc. Semiconductor substrates including vias of nonuniform cross section, methods of forming and associated structures
US7531296B2 (en) 2005-08-24 2009-05-12 Taiwan Semiconductor Manufacturing, Co., Ltd. Method of forming high etch resistant resist patterns

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040087092A1 (en) * 2002-10-31 2004-05-06 Taiwan Semiconductor Manufacturing Company Novel approach to improve line end shortening
WO2006096528A2 (en) * 2005-03-08 2006-09-14 Lam Research Corporation Stabilized photoresist structure for etching process

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015220277A (ja) * 2014-05-15 2015-12-07 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置

Also Published As

Publication number Publication date
KR101433987B1 (ko) 2014-08-25
CN101584027B (zh) 2011-07-13
JP5489724B2 (ja) 2014-05-14
KR20090107055A (ko) 2009-10-12
JP2010516059A (ja) 2010-05-13
CN101584027A (zh) 2009-11-18
WO2008086361A1 (en) 2008-07-17
TWI409875B (zh) 2013-09-21
TW200845184A (en) 2008-11-16
US7491343B2 (en) 2009-02-17
US20080087639A1 (en) 2008-04-17

Similar Documents

Publication Publication Date Title
JP5489724B2 (ja) エッチング中のラインエンドショートニングの低減
CN100543946C (zh) 蚀刻掩模特征临界尺寸的减小
US20110117749A1 (en) Method for reducing line width roughness with plasma pre-etch treatment on photoresist
JP5086090B2 (ja) 水素流量傾斜化によるフォトレジストプラズマコンディショニング工程を含むエッチング方法及び装置
US7429533B2 (en) Pitch reduction
TWI493619B (zh) 雙層、三層遮罩臨界尺寸控制
US8262920B2 (en) Minimization of mask undercut on deep silicon etch
CN101971291B (zh) 双掩模自对准双图案化技术(SaDPT)工艺
US20090163035A1 (en) Etch with high etch rate resist mask
TWI545648B (zh) 擬硬遮罩用之擺動控制
TW200814142A (en) Residue free hardmask trim
CN101292197A (zh) 具有减小的线条边缘粗糙度的蚀刻特征
US8986492B2 (en) Spacer formation for array double patterning
CN102318037B (zh) 利用arc层打开的cd偏置负载控制
KR20110040933A (ko) H₂플라즈마 처리법을 이용한 유기 선폭 조도의 개선
TWI405265B (zh) 均勻控制的蝕刻
US8668805B2 (en) Line end shortening reduction during etch

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140326

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140408

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20141202