CN101584027A - 减少刻蚀过程中的线路末端缩短 - Google Patents

减少刻蚀过程中的线路末端缩短 Download PDF

Info

Publication number
CN101584027A
CN101584027A CNA2008800020667A CN200880002066A CN101584027A CN 101584027 A CN101584027 A CN 101584027A CN A2008800020667 A CNA2008800020667 A CN A2008800020667A CN 200880002066 A CN200880002066 A CN 200880002066A CN 101584027 A CN101584027 A CN 101584027A
Authority
CN
China
Prior art keywords
gas
photoresistance
line end
circuit
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2008800020667A
Other languages
English (en)
Other versions
CN101584027B (zh
Inventor
山口叶子
高尔·科塔
弗兰克·Y·琳
钟青华
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101584027A publication Critical patent/CN101584027A/zh
Application granted granted Critical
Publication of CN101584027B publication Critical patent/CN101584027B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供一种在刻蚀层中刻蚀特征的方法。在该刻蚀层上提供图案化的光阻掩膜,该光阻掩膜具有至少一个光阻线路,该光阻线路具有一对终止于线路末端的侧壁。在该至少一个光阻线路上放置聚合物层,其中在该光阻线路的该线路末端的该聚合物层的厚度大于在该光阻线路的该侧壁上的该聚合物层的厚度。将特征透过该光阻掩膜刻蚀入该刻蚀层,其中线路末端缩短(LES)比率小于或等于1。

Description

减少刻蚀过程中的线路末端缩短
相关申请
[0001]本申请是Kota等在2006年9月14日申请的,申请号为11/521,810,名称为“Line End Shortening Reduction during Etch”的美国专利申请的部分继续申请,其内容皆引入作为参考。
技术领域
[0002]本发明有关于半导体器件的形成。更特别地,本发明有关于减少半导体器件形成过程中的线路末端缩短。
背景技术
[0003]在半导体晶片处理过程中,半导体器件的特征(features)是使用已知的图案化(patterning)和刻蚀过程限定在该晶片中的。在这些工艺中,光阻(PR)材料被沉积在该晶片上,然后被暴露于中间掩模(rectile)过滤过的光中。该中间掩模一般来说是玻璃板,该玻璃板被图案化了示例性的特征几何形状,该几何形状可以阻挡光线通过该中间掩模传播。
[0004]穿过该中间掩模以后,光与光阻材料的表面接触。光改变该光阻材料的化学成分,使得显影剂(developer)可以除去该光阻材料的一部分。在正性胶材料的情况下,除去暴露区域,而在负光刻胶材料的情况下,除去未曝光区域。然后,刻蚀该晶片,以从不再被光阻材料保护的区域中除去下面的材料,并由此在该晶片中限定想要的特征。
[0005]随着每一个半导体晶片处理改进的产生,集成电路(ICs)的最小特征尺寸持续缩小。随着晶体管和金属线路变得越来越小并且靠得越来越近,从前无关紧要的第三次序变量现在支配了IC的设计和制造。出现的一个问题是“线路末端缩短”(LES)。图1A和1B描绘了一种线路末端缩短问题。LES表现为线路末端的实际的印刷位置和预定(设计)位置之间的差异。图1A描绘了具有从左至右进行的多晶硅线路12的晶体管10的设计,其可形成用于将上部扩散区和下部扩散区电性耦合的栅极区域。
[0006]图1B描绘了从该设计产生的实际的印刷图像,其中虚线16描绘了期望设计。由于刻蚀效应和光阻拉回(photoresistpullback),产生了显著数量的线路末端缩短14。参考图1B,LES比率可以被定义为(Y-Y1)/(X-X1),其为长度减少与宽度减少的比率,该比率目前大于2。
[0007]图2A和2B描绘了另一种LES问题。图2A所示的设计具有光阻线路20,该光阻线路20在有源的(active)源极26和漏极28之间具有宽度W1、侧壁24和线路末端22。产生的印刷图像如图2B所示。产生的图象具有光阻线路30,该光阻线路30具有宽度W2、侧壁32和线路末端34。设计的线路末端22和该印刷图像线路末端34之间的宽度W3导致了源极26和漏极28之间的空隙,该空隙可引起器件的泄漏和故障。如上所述,以及如图2B所示,在W3的LES,远大于W1和W2之间的差异。因此,与侧壁24相比,LES在线路末端22更大。虽然可以给该线路增加延长以印刷更长的光阻线路,但这通常是不可能的,因为在掩模设计中没有足够的空间来补偿LES。该LES比率可以被定义为(W1-W2)/(2·W3)。
[0008]其它人在线路末端添加“锤头(hammer heads)”以补偿LES。然而,锤头可能违反设计规则,这有可能导致锤头和多晶硅线路之间的桥接。虽然这种桥接问题可以通过锤头和多晶硅线路之间的隔离(separation)而缓解,然而隔离会增加电路元件的尺寸,这就意味着更少的电路元件可以被集成到半导体器件中。而且,使用锤头可能带来更大的线路宽度粗糙度(line width roughness)。
[0009]LES可能导致器件性能降级、可靠性降低、产量损失、器件中的泄漏、临界尺寸(CD)的限制以及其它有关问题。
发明内容
[0010]为了完成前述并根据本发明的目标,提供一种在刻蚀层中刻蚀特征的方法。在该刻蚀层上方提供图案化的光阻掩膜,该光阻掩膜具有至少一个光阻线路,该光阻线路具有一对终止于线路末端的侧壁。在该至少一个光阻线路上方放置聚合物层,其中在该光阻线路的该线路末端的该聚合物层的厚度大于在该光阻线路的该侧壁上的该聚合物层的厚度。将特征透过该光阻掩膜刻蚀入该刻蚀层,其中线路末端缩短(LES)比率小于或等于1。
[0011]本发明的另一个表现提供一种在刻蚀层中刻蚀特征的方法。在该刻蚀层上方提供图案化的光阻掩膜,该光阻掩膜具有至少一个光阻线路,该光阻线路具有一对终止于线路末端的侧壁。在该至少一个光阻线路上方放置聚合物层,包含使沉积气体流入,使该沉积气体转化为等离子体,以及停止该沉积气体。消减该聚合物层,在该光阻线路的该线路末端的该被消减的聚合物层的厚度大于在该光阻线路的该侧壁上的被消减的该聚合物层的厚度,包含使消减气体流入,使该消减气体转化为等离子体,以及停止该消减气体。将特征透过该光阻掩膜刻蚀入该刻蚀层,其中线路末端缩短(LES)比率不大于1。
[0012]在本发明的另一个表现中,提供一种在光阻掩膜下的刻蚀层中刻蚀特征的装置,该光阻掩膜具有至少一个光阻线路,该光阻线路具有一对终止于线路末端的侧壁。等离子体处理室具有形成等离子体处理室外壳的室壁,用于在该等离子体处理室外壳内支撑基板的基板支架,用于调节该等离子体处理室外壳内的压强的压强调节器,至少一个电极,用于向该等离子体处理室外壳提供能量以维持等离子体,气体入口,以向该等离子体处理室外壳内提供气体,以及气体出口,以从该等离子体处理室外壳中排出气体。与该气体入口流体连通的气体源包含聚合物沉积气体源以及刻蚀层刻蚀气体源。控制器,可控地连接于该气体源和该至少一个电极,包含至少一个处理器;以及计算机可读介质,包含用于减少该光阻线路的该侧壁和该线路末端的缩小的计算机可读代码,包含至少一个循环,其中每个循环包含用于提供沉积气体以在该线路上形成聚合物的计算机可读代码,其中在该线路末端的聚合物的量大于在该侧壁上的聚合物的量,用于刻蚀该刻蚀层的计算机可读代码,其中线路末端缩短(LES)不大于1,以及用于除去该光阻掩膜的计算机可读代码。
[0013]在本发明的具体实施方式部分,结合附图,对本发明的这些以及其它特征作更加详细的描述。
附图说明
[0014]本发明是通过附图中的各图的实施例的方式进行说明的,而不是通过限制的方式说明的,其中同类的参考数字代表相似的元件,且其中:
图1A和1B描绘了一种线路末端缩短问题。
图2A和图2B描绘了另一种线路末端缩短问题。
图3是本发明的一个实施方式中可使用的处理的高水平流程图。
图4A-F依照本发明的一个实施方式处理过的堆栈的横截面示意图和俯视图。
图5是减少线路末端缩短的一个步骤的更具体的流程图。
图6是实现本发明时可能使用的一种等离子体处理室的示意图。
图7A-B描绘了一种计算机系统,其适于完成在本发明的实施方式中使用的控制器。
图8A-D是依照本发明的一个实施方式处理过的堆栈的横截面示意图和俯视图。
图9是减少线路末端缩短的一个步骤的另一个更具体的流程图。
具体实施方式
[0015]现在参考附图中描绘的一些优选实施方式,对本发明作出详细地描述。在以下描述中,提供了一些具体细节,以提供对本发明的完全理解。然而,显然,对于本领域的技术人员来说,没有其中的一些或全部细节,本发明仍然能够实现。在其它情况下,没有对熟知的工艺步骤和/或结构进行详细描述,以免不必要地模糊本发明。
[0016]为了便于理解,图3是在本发明的一个实施方式中使用的处理的高水平流程图。提供图案化的光阻掩模(步骤304)。图4A是在基板404上方待蚀刻的层408的横截面示意图,在待蚀刻的层408上方的下垫层(underlayer)410上方具有光阻掩模412,形成堆栈400,该光阻掩模412带有光阻特征414。该图案化光阻掩模412具有至少一条光阻线路,该光阻线路具有一对终止于线路末端420的侧壁424。为提供图案化的光阻掩模,先在待蚀刻层的上方形成光阻层。然后将该光阻层图案化以形成具有光阻侧壁和线路末端的光阻线路。该光阻特征可具有宽度We,如图所示。
[0017]图4B是光阻掩模412的俯视图。如上所述,制造过程,比如光刻,导致了印刷图像的LES。虚线416描绘了为该光阻掩模412提供的印刷图案。实际的刻蚀图案具有缩短的侧壁434和线路末端436,如图所示。
[0018]该下垫层410可以是任何已知的有机、无机或金属层。为了示意的目的而非意在限定,该下垫层可以是防反射层(ARL)、底部防反射涂层(BARC)、电介质防反射涂层(DARC)、无定形碳、硬掩模比如SixOy、SixNy、SixOyNz,或任何其它的已知的下垫层。
[0019]在该光阻掩模上方放置涂层(步骤308)。图5是此步骤的更具体的流程图。如图5所示,LES的减小包含至少一个循环的循环过程,该循环过程包含沉积聚合物层的步骤504和硬化该聚合物层的步骤508。该光阻线路可以被聚合物层覆盖或沉积以使得该光阻特征412之间的间隔有宽度“Sp”,该宽度“Sp”小于该光阻特征的宽度“Se”,在涂覆该聚合物层以前,如图4C所示,图4C是该光阻线路被该聚合物层覆盖之后的堆栈的横截面视图。
[0020]图4D是图4C的光阻掩模412的俯视图,其中该光阻线路被该聚合物层226覆盖。该聚合物层226覆盖该光阻线路以在刻蚀过程中保护线路末端420和侧壁424,以使得该LES比率等于或者小于1。该涂层减少了LES以增加该光阻线路的长度和宽度。如图所示,沉积在该线路末端420的聚合物的量y大于沉积在该侧壁424上的聚合物的量x,因为缩减的程度在该线路末端420比在该侧壁424大。在一个实施方式中,优选地,沉积在该线路末端的涂层的量是沉积在该侧壁上的量的三倍。沉积的涂层的量可以用来获得小于1的LES比侧壁缩减比率。
[0021]该聚合物层可包含碳、氢、氟或其结合。使用的气体可以是比如甲烷(CH4)等碳氢化合物、碳氟化合物、氢氟碳化合物(hydrofluorocarbon)、氦、氩或其结合。该碳氟化合物可具有化学式C4F8。优选地,该碳氟化合物具有化学式CxHyFz。在该光阻掩模上方沉积聚合物的工艺可持续约1秒到约20秒之间。
[0022]该聚合物可以被碳氟化合物和溴化氢气体的混合物硬化。优选地,该碳氟化合物可以是CF4。该循环过程可以重复直到完成期望的层。优选地,该循环重复不超过10次,优选地,至少一次。
[0023]特征428可以透过该光阻掩膜412被刻蚀入该刻蚀层408(步骤316),如图4E所示。在这一步骤中,该聚合物涂层226的部分或全部也可以被出去,从而保护该线路末端和侧壁以减少LES的量,以使得该LES比率等于或者小于1。然后除去该光阻掩膜412(步骤320),如图4F所示。
实施例
在此处理的一个实施例中,形成图案化的光阻层(步骤304)。具有刻蚀层408、下垫层410和图案化的光阻掩膜412的基板404被置于刻蚀室中。
[0024]图6是等离子体处理系统600的示意图,该系统可被用来在光阻掩膜上方放置聚合物层、硬化该聚合物层、刻蚀及剥离。等离子体处理系统600可包括等离子体处理工具601。该等离子体处理工具601是电感耦合等离子体刻蚀工具,且包括具有等离子体处理室604的等离子体反应器602。变压器耦合电源(TCP)控制器650和偏置电源控制器655分别控制TCP电源供应651和偏置电源供应656,其影响等离子体室604中等离子体624的形成。
[0025]TCP电源控制器650为TCP电源供应651设定一设定值(set point),该TCP电源供应651被配置为将由TCP匹配网络652调谐的频率为13.56MHz的射频信号供应到位于等离子体室604附近的TCP线圈675。提供RF透明窗(RF transparent window)654以将TCP线圈675与等离子体室604隔开,同时又允许能量从TCP线圈675传送到等离子体室604。在该RF透明窗654中的孔中,可用直径大约为2.5cm(1英寸)的蓝宝石(sapphire)的圆片提供光学透明窗(opticallytransparent window)665。
[0026]该偏置电源控制器655为偏置电源供应656设定一设定值,该偏置电源供应被配置为将由偏置匹配网络657调谐的RF信号供应到位于该等离子体室604中的卡盘电极608,以在电极608上方创造直流(DC)偏置,其适于接收处理中的基板606,比如半导体晶片加工件(work piece)。
[0027]气体供应机构或气体源610包括一种或多种气体616的一个或多个源,其通过气体岐管(gas manifold)617固定,以将该处理所需的合适的化学物质供应到该等离子体室604的内部。排气机构618包括压强控制阀619和排气泵620,并从该等离子体室604的内部除去微粒,并在等离子体室604内维持特定的压强。
[0028]温度控制器680通过控制加热器电源供应684控制加热器682的温度,该加热器682被提供在该卡盘电极608之内。该等离子体处理系统600还包括电子控制线路670。
[0029]图7A和图7B描绘了计算机系统700,其适于完成在本发明的实施方式中使用的控制器670。图7A显示了该计算机系统的一种可能的物理形式。当然,计算机系统也可以有多种物理形式,从集成电路、印刷电路板和小型手持装置直到大型超级计算机。计算机系统700包括监视器702、显示器704、外壳706、磁盘驱动708、键盘710和鼠标712。磁盘714是计算机可读介质,用于向计算机系统700传送数据和从计算机系统700接收数据。
[0030]图7B是计算机系统700的方框图的一个实施例。各种子系统连接于系统总线720。一个或多个处理器722(也称为中央处理单元或CPU)耦合于存储器件,包括存储器724。存储器724包括随机存取存储器(RAM)和只读存贮器(ROM)。如本领域中所熟知的,ROM单向地将数据与指令传送到该CPU,而RAM通常以双向的方式传送数据和指令。这两种类型的存储器可包括下述的任何合适的计算机可读介质。固定磁盘726也双向耦合于CPU 722;它提供额外的数据存储容量而且还包括任何下述的计算机可读介质。固定磁盘726可被用来存储程序、数据等等而且通常是比主存储器更慢的第二级存储介质(比如硬盘)。应当理解,在固定磁盘726中保存的信息,在适当的情况下,可以作为存储器724中的虚拟存储器(virtualmemory)用标准方式合并。可移除磁盘714可以采取下述任何的计算机可读介质的形式。
[0031]CPU 722还耦合于各种输入/输出设备,比如显示器704、键盘710、鼠标712和扬声器730。通常,输入/输出设备可以是下述任何一个:视频显示器、轨迹球、鼠标、键盘、麦克风、触摸屏、转换器读卡器(transducer card readers)、磁性的或纸质磁带阅读器、书写板(tablets)、触控笔(styluses)、语音或笔迹识别器、生物特征阅读器(biometrics readers)或其它的电脑。可选地,CPU 722可以使用网络接口740耦合于另一个计算机或无线电通讯网络。通过这样的网络接口,可以推断出,在执行上述方法步骤的过程中,该CPU可以从该网络接收信息,或者向该网络输出信息。而且,本发明的方法实施方式可以单独在CPU 722上执行,也可以通过网络(比如英特网)与远程CPU一起执行,且与该远程CPU共享该处理的一部分。
[0032]另外,本申请的实施方式进一步涉及具有计算机可读介质的计算机存储器产品,该计算机可读介质具有用以执行各种计算机完成的操作的计算机代码。该介质和计算机代码可以是为本发明的目的特殊设计和制造的,也可以是对具有计算机软件领域的技术的人员来说熟知并可以获得的。计算机可读介质的实施例包括但不限于:磁性介质比如硬盘、软盘和磁带;光学介质比如CD-ROM和全息器件;磁光(magneto-optical)介质,比如光读磁盘(flopticaldisks);和被特殊配置为存储和执行程序代码硬件装置,比如专用集成电路(ASIC)、可编程逻辑器件(PLD)和ROM和RAM器件。计算机代码的实施例包括比如由编译器产生的机器码和包含由计算机使用解释器执行的高级别代码的文件。计算机可读介质还可以是通过嵌入载波的计算机数据信号传送并代表由处理器执行的指令序列的计算机代码。
[0033]聚合物层被置于该光阻掩膜的上方(步骤308)。使用图5所示的循环的多个循环,沉积聚合物层(步骤504)的实施例配方(recipe)使用,例如,CH4、C4F8和CxHyFz中的至少一种气体。刻蚀室或等离子体处理室可以具有1-100mT的压强。更优选地,用于横向刻蚀该掩模的压强在2-8mT之间。向该等离子体处理室供应13.56MHz下的500到1000瓦的能量。温度可以在大约40℃-60℃之间。在配方的一个实施方式中,在5mT气压、500瓦功率和0偏置能量下,在40℃温度下使用20sccm CF4和100sccm He 15秒。
[0034]硬化该等离子体层(步骤508)。用于聚合物层硬化的一个实施例配方提供包含碳氟化合物和溴化氢的气体,比如100sccmCF4和25sccm HBr。对该室提供5毫托的气压。RF电源提供13.56MHz频率的、500瓦的能量以及40℃的温度。
[0035]然后将特征刻蚀入该刻蚀层(步骤316)。在此实施例中,该刻蚀层是用于形成栅极的多晶硅。在其它的实施方式中,待刻蚀层可以是传统的刻蚀层,比如SiN、SiC、氧化物、金属层或低k电介质。传统的刻蚀配方可以用来刻蚀该待刻蚀层。
[0036]为了除去该掩模(步骤320),可以使用氧灰化(oxygenashing)。
[0037]在本发明的一个优选实施方式中,放置该聚合物层、硬化该聚合物层和将该特征刻蚀入该刻蚀层是在相同的刻蚀室原地完成的,如图所示。优选地,执行放置和硬化该聚合物层小于十个循环。更优选地,执行放置和硬化该聚合物层至少一个循环。
[0038]用于沉积的配方的实施例提供25毫托的室内压强。提供100sccm C4F8沉积气体。通过提供13.56MHz的、500瓦的,偏压为0伏的TCP能量,将该沉积气体转化为等离子体。沉积配方的另一个实施例使用CH4配方。此实施例提供5毫托的室内压强。向该室内提供20sccm CH4和100sccm He的沉积气体。通过提供13.56MHz的600瓦的,偏压为0伏的TCP能量,将该沉积气体转化为等离子体。沉积步骤之后是HBr和CF4硬化步骤。
[0039]更通常地,沉积具有包含CHF3、CF4、CH2F2、CH4或SiCl4中的至少一种的气体化学物质。
[0040]在一个替代实施方式中,不使用硬化步骤。例如,该光阻和沉积的聚合物是足够抗刻蚀的,这样硬化步骤就不是必需的。在上面的提供100sccm C4F8的沉积气体的实施例,以及上面的提供20sccm CH4和100sccm He的沉积气体的实施例中,可以省略单独的硬化步骤。这样的处理能够消除硬化步骤,使得这种处理更简单,而且有更高的吞吐量。在另一个不需要单独的硬化步骤的沉积配方实施例中,室内压强被设定为5-10毫托。将100sccm CF4和20sccmHBr的沉积气体流入该室。通过提供频率为13.56MHz的、偏压为100-200伏的300-500瓦能量,使该沉积气体形成等离子体。在另一个不要求单独的硬化步骤的沉积配方实施例中,该室内压强被设置为5-10毫托。使200sccm N2和20-50sccm CF4的沉积气体流入该室。通过提供频率为13.56MH的、偏压为100-300伏的300-900瓦能量,使该沉积气体形成等离子体。这些步骤没有消减(trim)。它们端到端(tip to tip)的沉积和硬化该光阻。
[0041]另一个实施例是一种处理,该处理不使用硬化步骤而且提供具有沉积阶段和消减阶段的聚合物形成处理。依照图3中的处理,提供图案化的光阻掩膜(步骤304)。图8A是基板804上的待刻蚀层808的横截面视示意图,在待蚀刻的层808上方的下垫层810上方具有光阻掩模812,形成堆栈800,该光阻掩模812带有光阻特征814。该图案化的光阻掩膜812具有至少一条光阻线路,该光阻线路具有一对终止于线路末端的侧壁824。为了提供图案化的光阻掩膜,可以首先在待刻蚀层上形成光阻层。然后图案化该光阻层以形成具有光阻侧壁和线路末端的光阻线路。该光阻特征具有宽度Se,如图所示。
[0042]图8B是该光阻掩膜812的俯视图。该图案化的光阻掩膜812具有至少一个光阻线路,该光阻线路有一对终止于线路末端820的侧壁824。
[0043]在该光阻掩膜上方放置涂层(步骤308)。图9是此步骤的更具体的流程图。如图9所示,减小LES比率包含一个循环过程的至少一个循环,该循环包含沉积聚合物层(步骤904)和消减该侧壁(步骤908)的步骤。图8C是在该光阻掩膜812上方沉积完聚合物层828(步骤904)之后,该光阻掩膜812的俯视图。该聚合物层828覆盖该光阻线路以保护该线路末端820和侧壁824。在此实施例中,沉积在该侧壁824上的该聚合物层的厚度差不多与沉积在线路末端820上的聚合物的厚度相同。在其它的实施例中,该厚度可有不同的关系。在这种处理的一个实施例中,沉积提供5毫托的室内压强。使20sccm HBr、80sccm CF4和5sccm O2的沉积气体流入该室。通过提供13.56MHz、0伏偏压的300-500瓦的TCP能量,使得该沉积气体形成等离子体。图8D是该侧壁消减(步骤908)后的该光阻掩膜812的俯视图。
[0044]消减配方的一个实施例提供8毫托的室内压强。使20sccmCl2、20sccm O2和60sccm He的消减气体流入该室。通过提供13.56MHz、0伏偏压的300瓦的能量使该消减气体形成等离子体。该消减选择性地刻蚀该聚合物,甚至可能刻蚀光阻,在该侧壁上比该线路末端刻蚀得更快,这样在该线路末端上的聚合物比在该侧壁上的更厚,如图8D所示。尽管图8D中的实施例显示只消减了聚合物,然而在其它的实施例中,在该侧壁上的聚合物可能被完全消减掉,以使得该光阻掩膜的一些侧壁被消减。然后将特征刻蚀入该刻蚀层(步骤316)。去除该掩模和聚合物层(步骤320)。因为像在先前的实施方式中一样,产生的聚合物层在末端比较厚而在侧壁上比较薄,LES被减小。消减的添加,也使得CD能够减小。通过去除硬化步骤,使得消减更加容易。
[0045]在沉积和消减处理的另一个实施例中,至少执行四次沉积然后消减的循环,以使得在执行消减之前,每次沉积都沉积一薄层,该消减在下一次沉积之前消减很小的量。已经发现,这样的多循环处理提供了改进的控制。
[0046]在另一个实施例中,可以同时执行沉积和消减,以使得该沉积和消减可以在单一步骤中完成。在单一步骤中执行消减和沉积的一个实施例配方如下:将室内压强设置为5毫托。提供80-150sccm CF4、20sccm HBr和0-5sccm O2的沉积和消减气体。通过提供13.56MHz频率的、偏压为0伏的、300-625瓦的能量,使该沉积和消减气体形成等离子体。这是单一的沉积和消减处理,可硬化光阻,这样单独的硬化步骤就不是必需的了。
[0047]在单一步骤中进行沉积和消减处理的另一个实施例中,提供5毫托的压强。提供大约80-100sccm CF4和大约20sccm CHF3或CH2F2的沉积和消减气体。通过提供13.56MHz频率、0伏偏压的大约300瓦的TCP能量,将该沉积和消减气体转变为等离子体。此配方消减了线路但是端到端地沉积并改善了LES比率,而不需要硬化步骤。气体注入和TESC温度在此不是固定的,因为这些可被用来使得一致性失调。
[0048]在另一个实施方式中,在单一步骤中,在光阻掩膜上方放置涂层(步骤308),同时,在该光阻掩膜上方沉积聚合物并刻蚀该下垫层,例如BARC。通过将BARC作为蚀刻掩模的一部分使用,可以避免硬化步骤。在沉积和BARC刻蚀配方的一个实施例中,设置室内压强为5-8毫托。使200sccm N2和20-50sccm CF4的沉积和BARC刻蚀气体流入该室。通过提供13.56MHz频率、300-900瓦的TCP能量,使该沉积和BARC刻蚀气体形成等离子体。
[0049]在另一个实施例中,用于提供BARC刻蚀的配方提供8毫托的室内压强。提供20sccm Cl2、20sccm O2、4sccm SiCl4和60sccm He的BARC刻蚀气体。通过提供13.56MHz、-150伏偏压的300-400瓦的TCP能量,使该BARC刻蚀气体形成等离子体。在另一个实施例配方中,提供8毫托的室内压强。使80-100sccm CF4、20sccm CHF3或CH2F2的BARC刻蚀气体流入该室。通过提供13.56MHz、100-200伏偏压的300-400瓦的TCP能量,使该BARC刻蚀气体形成等离子体。
[0050]尽管此发明是依照几个优选实施方式进行描述的,然而存在落入本发明范围的变更、置换和各种等同替换。应当注意,有许多完成本发明的方法和装置的替换方式。因此,我们的意思是,所附权利要求应当被解释为包括所有这样的变更、置换和各种等同替换,均落入本发明的真实精神和范围。

Claims (17)

1.一种在刻蚀层中刻蚀特征的方法,包含:
在该刻蚀层上方提供图案化的光阻掩膜,该光阻掩膜具有至少一个光阻线路,该光阻线路具有一对终止于线路末端的侧壁;
在该至少一个光阻线路上方放置聚合物层,其中在该光阻线路的该线路末端的该聚合物层的厚度大于在该光阻线路的该侧壁上的该聚合物层的厚度;以及
将特征透过该光阻掩膜刻蚀入该刻蚀层,其中线路末端缩短(LES)比率小于或等于1。
2.根据权利要求1所述的方法,其中该在该至少一个光阻线路上方放置聚合物层在沉积该聚合物层的同时刻蚀下垫层,以形成具有该下垫层的刻蚀掩模,以进行后续的刻蚀层的刻蚀。
3.根据权利要求2所述的方法,其中该沉积该聚合物层包含:
使沉积气体流入;
该沉积气体形成等离子体;以及
提供数值至少为100伏的偏压。
4.根据权利要求1所述的方法,其中该在该至少一个光阻线路上方放置该聚合物层包含至少一个循环,其中每个循环包含:
沉积阶段,其在该至少一个光阻线路上沉积聚合物;以及
消减阶段,其选择性地消减该沉积的聚合物,以使得沉积在该至少一个光阻线路的该侧壁上方的聚合物比沉积在该至少一个光阻线路的该线路末端上方的聚合物被消减得更多。
5.根据权利要求4所述的方法,其中该在该至少一个光阻线路上方放置该聚合物层包含至少四个循环。
6.根据权利要求4-5中任一项所述的方法,其中该沉积阶段包含:
使沉积气体流入;
从该沉积气体形成等离子体;以及
停止该沉积气体;以及其中该消减阶段包含:
使消减气体流入;
从该消减气体形成等离子体;以及
停止该消减气体的流入。
7.根据权利要求6所述的方法,其中该消减气体包含含氧气体。
8.根据权利要求7所述的方法,中该消减气体进一步包含Cl2
9.根据权利要求1所述的方法,其中该在该至少一个光阻线路上方放置该聚合物层包含:
提供沉积气体,该沉积气体包含聚合物形成气体和HBr;
以及
从该沉积气体形成等离子体。
10.根据权利要求1所述的方法,其中该在该至少一个光阻线路上方放置该聚合物层包含:
使沉积气体和消减气体同时流入;以及
使该沉积气体和消减气体形成等离子体。
11.根据权利要求1-10中任一项所述的方法,进一步包含提供氧灰化。
12.根据权利要求1所述的方法,其中该在该至少一个光阻线路上方放置该聚合物层包含:
提供沉积气体,该沉积气体包含CHF3、CF4、CH2F2、CH4或SiCl4中的至少一种;以及
使该沉积气体形成等离子体。
13.由权利要求1-12中任一项所述的方法形成的半导体器件。
14.一种在刻蚀层中刻蚀特征的方法,包含:
在该刻蚀层上方提供图案化的光阻掩膜,该光阻掩膜具有至少一个光阻线路,该光阻线路具有一对终止于线路末端的侧壁;
在该至少一个光阻线路上方放置聚合物层,包含:
使沉积气体流入;
使该沉积气体转化为等离子体;以及
停止该沉积气体;
消减该聚合物层,在该光阻线路的该线路末端的该被消减的聚合物层的厚度大于在该光阻线路的该侧壁上的被消减的该聚合物层的厚度,包含:
使消减气体流入;
使该消减气体转化为等离子体;以及
停止该消减气体;以及
将特征透过该光阻掩膜刻蚀入该刻蚀层,其中线路末端缩短(LES)比率不大于1。
15.根据权利要求14所述的方法,其中该消减气体包含含氧气体。
16.根据权利要求15所述的方法,其中该消减气体进一步包含Cl2
17.一种在光阻掩膜下的刻蚀层中刻蚀特征的装置,该光阻掩膜具有至少一个光阻线路,该光阻线路具有一对终止于线路末端的侧壁,包含:
等离子体处理室,包含:
形成等离子体处理室外壳的室壁;
用于在该等离子体处理室外壳内支撑基板的基板支架;
用于调节该等离子体处理室外壳内的压强的压强调节器;
至少一个电极,用于向该等离子体处理室外壳提供能量以维持等离子体;
气体入口,以向该等离子体处理室外壳内提供气体;
以及
气体出口,以从该等离子体处理室外壳中排出气体;与该气体入口流体连通的气体源,包含:
聚合物沉积气体源;以及
刻蚀层刻蚀气体源;以及
控制器,可控地连接于该气体源和该至少一个电极,包含:
至少一个处理器;以及
计算机可读介质,包含:
用于减少该光阻线路的该侧壁和该线路末端的缩减的计算机可读代码,包含至少一个循环,其中每个循环包含:
用于提供沉积气体以在该线路上方形成聚合物的计算机可读代码,其中在该线路末端的聚合物的量大于在该侧壁上的聚合物的量;
用于刻蚀该刻蚀层的计算机可读代码,其中线路末端缩短(LES)不大于1;以及
用于除去该光阻掩膜的计算机可读代码。
CN2008800020667A 2007-01-10 2008-01-08 减少刻蚀过程中的线路末端缩短 Expired - Fee Related CN101584027B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/621,902 2007-01-10
US11/621,902 US7491343B2 (en) 2006-09-14 2007-01-10 Line end shortening reduction during etch
PCT/US2008/050524 WO2008086361A1 (en) 2007-01-10 2008-01-08 Line end shortening reduction during etch

Publications (2)

Publication Number Publication Date
CN101584027A true CN101584027A (zh) 2009-11-18
CN101584027B CN101584027B (zh) 2011-07-13

Family

ID=39615674

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008800020667A Expired - Fee Related CN101584027B (zh) 2007-01-10 2008-01-08 减少刻蚀过程中的线路末端缩短

Country Status (6)

Country Link
US (1) US7491343B2 (zh)
JP (2) JP5489724B2 (zh)
KR (1) KR101433987B1 (zh)
CN (1) CN101584027B (zh)
TW (1) TWI409875B (zh)
WO (1) WO2008086361A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102468168A (zh) * 2010-11-01 2012-05-23 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100840652B1 (ko) * 2006-12-29 2008-06-24 동부일렉트로닉스 주식회사 씨모스 이미지 센서의 제조방법
JP2010161162A (ja) * 2009-01-07 2010-07-22 Tokyo Electron Ltd 微細パターンの形成方法
KR101360876B1 (ko) * 2009-06-03 2014-02-11 어플라이드 머티어리얼스, 인코포레이티드 식각을 위한 방법 및 장치
US8394723B2 (en) * 2010-01-07 2013-03-12 Lam Research Corporation Aspect ratio adjustment of mask pattern using trimming to alter geometry of photoresist features
US8815747B2 (en) * 2010-06-03 2014-08-26 Micron Technology, Inc. Methods of forming patterns on substrates
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
JP6151215B2 (ja) * 2014-05-15 2017-06-21 東京エレクトロン株式会社 プラズマエッチング方法
US10049892B2 (en) * 2015-05-07 2018-08-14 Tokyo Electron Limited Method for processing photoresist materials and structures
WO2017151622A1 (en) * 2016-03-04 2017-09-08 Tokyo Electron Limited Trim method for patterning during various stages of an integration scheme
CN107438892B (zh) * 2016-03-28 2021-08-24 株式会社日立高新技术 等离子处理方法以及等离子处理装置
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
JP2019121750A (ja) * 2018-01-11 2019-07-22 東京エレクトロン株式会社 エッチング方法およびエッチング装置
JP7195113B2 (ja) 2018-11-07 2022-12-23 東京エレクトロン株式会社 処理方法及び基板処理装置
JP2022117597A (ja) 2021-02-01 2022-08-12 東京エレクトロン株式会社 温度制御方法及び基板処理装置

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5959325A (en) 1997-08-21 1999-09-28 International Business Machines Corporation Method for forming cornered images on a substrate and photomask formed thereby
JP4153606B2 (ja) 1998-10-22 2008-09-24 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
KR100447263B1 (ko) 1999-12-30 2004-09-07 주식회사 하이닉스반도체 식각 폴리머를 이용한 반도체 소자의 제조방법
JP2001308076A (ja) 2000-04-27 2001-11-02 Nec Corp 半導体装置の製造方法
US6451705B1 (en) 2000-08-31 2002-09-17 Micron Technology, Inc. Self-aligned PECVD etch mask
US6653231B2 (en) 2001-03-28 2003-11-25 Advanced Micro Devices, Inc. Process for reducing the critical dimensions of integrated circuit device features
US6553560B2 (en) 2001-04-03 2003-04-22 Numerical Technologies, Inc. Alleviating line end shortening in transistor endcaps by extending phase shifters
US7125496B2 (en) 2001-06-28 2006-10-24 Hynix Semiconductor Inc. Etching method using photoresist etch barrier
CN1316564C (zh) * 2002-04-11 2007-05-16 联华电子股份有限公司 复合光致抗蚀剂层结构
JP3866155B2 (ja) * 2002-05-17 2007-01-10 株式会社ルネサステクノロジ 半導体装置およびその製造方法
KR100475080B1 (ko) 2002-07-09 2005-03-10 삼성전자주식회사 Si-콘테이닝 수용성 폴리머를 이용한 레지스트 패턴형성방법 및 반도체 소자의 제조방법
US6794230B2 (en) 2002-10-31 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Approach to improve line end shortening
TW575907B (en) 2002-12-24 2004-02-11 Macronix Int Co Ltd Patterning method for fabricating integrated circuit
US6916594B2 (en) 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
CN100423192C (zh) * 2003-03-31 2008-10-01 东京毅力科创株式会社 用于多层光致抗蚀剂干式显影的方法和装置
JP4455936B2 (ja) * 2003-07-09 2010-04-21 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法とエッチングシステム
JP4727171B2 (ja) * 2003-09-29 2011-07-20 東京エレクトロン株式会社 エッチング方法
CN100395874C (zh) * 2004-07-14 2008-06-18 中芯国际集成电路制造(上海)有限公司 改善蚀刻后光刻胶残余的半导体器件制造方法
KR100792409B1 (ko) * 2004-10-12 2008-01-09 주식회사 하이닉스반도체 텅스텐막을 희생 하드마스크로 이용하는 반도체소자 제조방법
US7419771B2 (en) * 2005-01-11 2008-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a finely patterned resist
US7491647B2 (en) 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7566525B2 (en) 2005-06-14 2009-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming an anti-etching shielding layer of resist patterns in semiconductor fabrication
JP4652140B2 (ja) * 2005-06-21 2011-03-16 東京エレクトロン株式会社 プラズマエッチング方法、制御プログラム、コンピュータ記憶媒体
US7425507B2 (en) 2005-06-28 2008-09-16 Micron Technology, Inc. Semiconductor substrates including vias of nonuniform cross section, methods of forming and associated structures
US7531296B2 (en) 2005-08-24 2009-05-12 Taiwan Semiconductor Manufacturing, Co., Ltd. Method of forming high etch resistant resist patterns

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102468168A (zh) * 2010-11-01 2012-05-23 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法
CN102468168B (zh) * 2010-11-01 2014-06-04 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法

Also Published As

Publication number Publication date
JP2013191854A (ja) 2013-09-26
CN101584027B (zh) 2011-07-13
JP5489724B2 (ja) 2014-05-14
WO2008086361A1 (en) 2008-07-17
JP2010516059A (ja) 2010-05-13
KR20090107055A (ko) 2009-10-12
US20080087639A1 (en) 2008-04-17
TW200845184A (en) 2008-11-16
US7491343B2 (en) 2009-02-17
KR101433987B1 (ko) 2014-08-25
TWI409875B (zh) 2013-09-21

Similar Documents

Publication Publication Date Title
CN101584027B (zh) 减少刻蚀过程中的线路末端缩短
US8329585B2 (en) Method for reducing line width roughness with plasma pre-etch treatment on photoresist
CN103258729B (zh) 硅结构的制造和带有形貌控制的深硅蚀刻
CN101461044B (zh) 没有残余物的硬掩模修整
CN101971291B (zh) 双掩模自对准双图案化技术(SaDPT)工艺
US8986492B2 (en) Spacer formation for array double patterning
KR101690337B1 (ko) H₂플라즈마 처리법을 이용한 유기 선폭 조도의 개선
TWI545648B (zh) 擬硬遮罩用之擺動控制
CN101730930A (zh) 在蚀刻高纵横比结构中降低微负载的方法
JP2013016844A (ja) 均一性を制御したエッチング
TWI584360B (zh) 梯級狀構造之形成方法
US8668805B2 (en) Line end shortening reduction during etch
CN107785253A (zh) 利用侧边溅射的线边缘粗糙表面改进

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110713

Termination date: 20220108

CF01 Termination of patent right due to non-payment of annual fee