KR20110040933A - H₂플라즈마 처리법을 이용한 유기 선폭 조도의 개선 - Google Patents

H₂플라즈마 처리법을 이용한 유기 선폭 조도의 개선 Download PDF

Info

Publication number
KR20110040933A
KR20110040933A KR1020117003635A KR20117003635A KR20110040933A KR 20110040933 A KR20110040933 A KR 20110040933A KR 1020117003635 A KR1020117003635 A KR 1020117003635A KR 20117003635 A KR20117003635 A KR 20117003635A KR 20110040933 A KR20110040933 A KR 20110040933A
Authority
KR
South Korea
Prior art keywords
layer
processing
etching
mask
gas
Prior art date
Application number
KR1020117003635A
Other languages
English (en)
Other versions
KR101690337B1 (ko
Inventor
요코 와이 아담스
데이비드 양
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20110040933A publication Critical patent/KR20110040933A/ko
Application granted granted Critical
Publication of KR101690337B1 publication Critical patent/KR101690337B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

패터닝 유기 마스크 아래 배치된 에칭층에서 에칭된 피쳐들을 형성하는데 있어서 초저주파 선폭 조도 (LWR) 를 감소시키기 위한 방법이 제공된다. 패터닝 유기 마스크는 패터닝 유기 마스크의 초저주파 선폭 조도를 감소시키기 위해 처리되는데, 이 처리는, H2 를 포함하는 처리 가스를 흐르게 하는 단계로서, 처리 가스는 유량을 갖고 H2 는 처리 가스의 유량의 적어도 50% 를 갖는, 상기 처리 가스를 흐르게 하는 단계, 처리 가스로부터 플라즈마를 형성하는 단계, 및 처리 가스의 흐름을 중지시키는 단계를 포함한다. 감소된 초저주파 LWR 를 갖는, 처리된 패터닝 유기 마스크를 통해 에칭층이 에칭된다.

Description

H₂플라즈마 처리법을 이용한 유기 선폭 조도의 개선{IMPROVEMENT OF ORGANIC LINE WIDTH ROUGHNESS WITH H2 PLASMA TREATMENT}
본 발명은 반도체 디바이스의 형성에 관한 것이다.
반도체 웨이퍼 프로세싱 중에, 잘 알려진 패터닝과 에칭 프로세스를 사용하여 웨이퍼에서 반도체 디바이스의 피쳐 (feature) 가 정의된다. 이들 프로세스에서, 포토레지스트 (PR) 재료가 웨이퍼상에 증착되고, 이후 레티클에 의해 필터링된 광에 노광된다. 일반적으로 레티클은, 광이 레티클을 통해 전파하는 것을 차단하는 예시적인 피쳐 기하 구조로 패터닝되는 유리판이다.
광은 레티클을 통과한 후, 포토레지스트 재료의 표면에 접촉한다. 현상제가 포토레지스트 재료의 일부분을 제거할 수 있도록 광은 포토레지스트 재료의 화학 조성을 변화시킨다. 포지티브형 포토레지스트 재료의 경우에서 노출된 영역이 제거되고, 네거티브형 포토레지스트 재료의 경우에서는, 노출되지 않은 영역이 제거된다. 그 후에, 포토레지스트 재료에 의해 더 이상 보호되지 않는 영역으로부터 하지 재료 (underlying material) 를 제거하기 위해 웨이퍼가 에칭되고, 이에 따라 웨이퍼에서 원하는 피쳐를 규정한다.
전술하는 바를 달성하기 위해 본 발명의 목적에 따르면, 패터닝된 유기 마스크 아래에 배치된 에칭층에서 에칭된 피쳐를 형성하는데 있어서 초저주파 선폭 조도 (line width roughness; LWR) 를 감소시키는 방법이 제공된다. 패터닝된 유기 마스크는 패터닝된 유기 마스크의 초저주파 선폭 조도를 감소시키기 위해 처리되는데, 이 처리는, H2 를 포함하는 처리 가스를 흐르게 하는 단계로서, 처리 가스는 유량을 갖고 H2 는 처리 가스의 유량의 적어도 50% 인 유량을 갖는, 상기 처리 가스를 흐르게 하는 단계, 처리 가스로부터 플라즈마를 형성하는 단계, 및 처리 가스의 흐름을 중지시키는 단계를 포함한다. 감소된 초저주파 LWR 를 갖는, 처리된 패터닝된 유기 마스크를 통해 에칭층이 에칭된다.
본 발명의 다른 양태에서, 웨이퍼 상에 스택을 형성하는 패터닝된 포토레지스트 마스크 아래 배치된 에칭층, 에칭층 아래 배치된 하드 마스크층, 하드 마스크층 아래 배치된 도전층에서 에칭된 피쳐를 형성하는데 있어서 초저주파 선폭 조도 (LWR) 를 감소시키기 위한 방법이 제공된다. 프로세스 챔버에 웨이퍼가 놓인다. 패터닝된 포토레지스트 마스크는 패터닝된 포토레지스트 마스크의 초저주파 선폭 조도를 감소시키기 위해 처리되는데, 이 처리는, H2 를 포함하는 처리 가스를 흐르게 하는 단계로서, 처리 가스는 유량을 갖고 H2 는 프로세스 챔버로의 처리 가스의 유량의 적어도 50% 인 유량을 갖는, 상기 처리 가스를 흐르게 하는 단계, 처리 가스로부터 플라즈마를 형성하는 단계, 및 처리 가스의 흐름을 중지시키는 단계를 포함한다. 처리된 패터닝된 포토레지스트 마스크를 통해 에칭층이 에칭된다. 하드 마스크층이 에칭층을 통해 에칭된다. 도전층이 하드 마스크층을 통해 에칭된다. 웨이퍼가 프로세스 챔버로부터 제거되어, 패터닝된 유기 마스크의 처리, 에칭층의 에칭, 하드 마스크층의 에칭 및 도전층의 에칭이 모두 동일한 프로세스 챔버에서 인 시츄로 행해진다.
본 발명의 다른 양태에서, 마스크 피쳐들을 갖는 패터닝된 유기 마스크 아래에 배치된, 에칭층에서 에칭된 피쳐들을 형성하는데 있어서 초저주파 선폭 조도 (LWR) 를 감소시키기 위한 장치가 제공된다. 플라즈마 프로세싱 챔버 인클로저를 형성하는 챔버 벽, 플라즈마 프로세싱 챔버 인클로저 내에서 웨이퍼를 지지하기 위한 기판 지지부, 플라즈마 프로세싱 챔버 인클로저에서 압력을 조정하기 위한 압력 조정기, 플라즈마를 유지하도록 플라즈마 프로세싱 챔버 인클로저에 유도적으로 커플링된 전력을 제공하기 위한 적어도 하나의 안테나, 플라즈마 프로세싱 챔버 인클로저에 가스를 제공하기 위한 가스 인렛, 및 플라즈마 프로세싱 챔버 인클로저로부터의 가스를 배기하기 위한 가스 아웃렛을 포함하는 플라즈마 프로세싱 챔버가 제공된다. 가스 소스가 가스 인렛과 유체 연통되고 에천트 (etchant) 가스 소스와 H2 처리 가스 소스를 포함한다. 제어기가 가스 소스와 적어도 하나의 안테나에 제어 가능하게 접속되고, 적어도 하나의 프로세서와 컴퓨터 판독가능한 매체를 포함한다. 컴퓨터 판독가능한 매체는 패터닝된 유기 마스크의 초저주파 선폭 조도를 감소시키기 위하여 패터닝 유기 마스크를 처리하기 위한 컴퓨터 판독가능한 코드를 포함하고, 상기 컴퓨터 판독가능한 코드는, H2 를 포함하는 처리 가스를 흐르게 하기 위한 컴퓨터 판독가능한 코드로서, 처리 가스는 유량을 갖고, H2 는 처리 가스의 유량의 적어도 50% 인 유량을 갖는, 상기 처리 가스를 흐르게 하기 위한 컴퓨터 판독가능한 코드, 처리 가스로부터 플라즈마를 형성하기 위한 컴퓨터 판독가능한 코드, 및 처리 가스의 흐름을 중지시키기 위한 컴퓨터 판독가능한 코드를 포함하고, 상기 컴퓨터 판독가능한 매체는 감소된 초저주파 LWR 을 갖는 처리된 패터닝된 유기 마스크를 통하여 에칭층을 에칭하기 위한 컴퓨터 판독가능한 코드를 포함한다.
본 발명의 이러한 특징 및 다른 특징들은 첨부되는 도면과 함께 본 발명의 상세한 설명에서 이하 보다 상세하게 설명될 것이다.
본 발명은 동일한 참조 부호가 유사한 엘리먼트를 지칭하는 첨부한 도면에서 제한이 아닌 예로서 예시된다.
도 1 은 본 발명의 실시형태에서 사용될 수도 있는 프로세스의 하이 레벨 플로우 차트이다.
도 2a 내지 도 2c 는 본 발명의 실시형태에 따라 에칭된 스택의 개략적인 횡단면도이다.
도 3 은 본 발명을 실시하는데 사용될 수도 있는 플라즈마 프로세싱 챔버의 개략적인 도면이다.
도 4a 및 도 4b 는 본 발명의 실시형태에서 사용된 제어기를 구현하는데 적합한 컴퓨터 시스템을 예시한다.
도 5a 내지 도 5f 는 본 발명의 실시형태의 예들에 의해 프로세싱된 웨이퍼의 CD-SEM 들이다.
도 6a 내지 도 6c 는 본 발명의 실시형태의 상기 예들로부터의 결과의 그래프이다.
도 7 은 LWR 를 예시하는 마스크를 갖는 웨이퍼의 CD-SEM (하향식) 이다.
도 8 은 LWR 대 검사 길이 곡선을 획득하기 위해 후속하는 통상적인 시퀀스를 도시한다.
이제, 첨부한 도면에 예시되는 바와 같은 본 발명의 몇몇 바람직한 실시형태를 참조하여 본 발명을 상세하게 설명할 것이다. 후속하는 설명에서, 다수의 특정한 상세가 본 발명의 완전한 이해를 제공하기 위하여 설명된다. 그러나, 본 발명이 이들 특정한 상세 중 일부 또는 전부없이 실시될 수도 있다는 것이 당업자들에게 명백할 것이다. 다른 경우에, 잘 알려진 프로세스 단계 및/또는 구조들은 본 발명을 불필요하게 애매하게 하지 않도록 상세하게 설명되지 않는다.
이해를 용이하게 하기 위해, 도 1 은 패터닝된 포토레지스트 마스크 아래에서 초저주파 선폭 조도를 감소시키는 본 발명의 실시형태에서 사용될 수도 있는 프로세스의 하이 레벨 플로우 차트이다. 패터닝된 포토레지스트 마스크를 갖는 웨이퍼가 유도적으로 커플링된 TCP 챔버에 배치된다 (단계 102). 패터닝된 포토레지스트 마스크가 초저주파 선폭 조도 (LWR) 를 감소시키기 위해 처리된다 (단계 104). 이 단계는, H2 처리 가스를 프로세스 챔버에 흐르게 하는 단계 (단계 108), H2 처리 가스로부터 플라즈마를 형성하는 단계 (단계 112) 를 포함하고, 이 단계는 초저주파 선폭 조도를 감소시킨다. 후속하는 프로세싱 단계는 구조를 완성하기 위해 실행될 수도 있다. H2 처리 가스의 흐름이 중지되어 처리 프로세스를 중지한다 (단계 116). 예를 들어, 일 실시형태에서 PR 처리 이후 에칭층이 에칭된다 (단계 120). 이 실시형태에서, 에칭층은 도전층 상에 있는 하드 마스크층 상의 유기 ARC층이다. 이후, 하드 마스크가 개방된다 (단계 124). 도전층이 에칭된다 (단계 128). 웨이퍼가 프로세스 챔버로부터 제거된다 (단계 132).
실시예
본 발명의 실시예에서, 웨이퍼에는 에칭층과 포토레지스트 마스크가 제공된다. 도 2a 는 웨이퍼 (204) 위에 도전층 (208) 이 형성되고, 도전층 위에 하드 마스크층 (212) 이 형성되고, 하드 마스크층 위에 유기 반사방지 코팅 (ARC) 층 (216) 이 형성되고, 유기 반사방지 코팅층 위에 패터닝된 PR 마스크 (220) 가 형성되는 일 예의 횡단면도이다. 이 예에서, 패터닝된 PR 마스크 (220) 는 193 nm 이상 생성 포토레지스트 재료이다. 유기 ARC 층 (216) 은 저부 반사방지 코팅 BARC (bottom antireflective coating) 재료일 수도 있다. 하드 마스크층 (212) 은 SiOx 또는 SiNx 와 같은 상이한 재료들의 적어도 하나의 층들일 수도 있다. 도전층 (208) 은 폴리실리콘, 아모르퍼스 실리콘, 또는 TiN 과 같은 금속과 같은 도전 재료이다. 이 예에서, 웨이퍼 (204) 는 결정 실리콘 웨이퍼이다.
이 예에서, 패터닝된 포토레지스트 마스크 (216) 는 초저주파 선 에지 조도를 가진다. 초저주파 선폭 조도 반복 길이는 500 nm 보다 크다. 보다 바람직하게, 초저주파 선 에지 조도 반복 길이는 550 nm 보다 크다.
Figure pct00001
(식 1)
에 따라 계산될 수도 있는 선폭 조도는, 주어진 검사 영역에서 선 폭의
Figure pct00002
의 값이다.
도 7 은 LWR 을 예시하는 마스크 (704) 를 갖는 웨이퍼의 CD-SEM (하향식) 이다. 검사 길이 (708) 가 선택된다. 검사 길이를 따라, 선 폭 (712) 이 검사 길이를 따라 연장되는 피쳐에 대해 측정된다. 측정된 선 폭 (712) 이 LWR 을 계산하기 위해 식 1 에서 사용된다.
도 8 은 LWR 대 검사 길이 곡선을 획득하기 위해 후속되는 통상적인 시퀀스를 도시한다. CD-SEM (하향식) 으로부터의 이미지 획득에 후속하여 최적의 포커스, 빔 정렬, 및 통합에서 최적의 LWR 알고리즘이 이미지 내의 관련된 피쳐들에 적용된다. LWR 의 변동은 검사 길이의 함수로서 연구되고, 그 결과는 고주파 LWR 컴포넌트 및 초저주파 LWR 컴포넌트를 나타내는 곡선이다. LWR 곡선이 (검사 길이 ~ 200 nm 및 ~ 600 nm 의 2 개의 위치에서) 평탄해지는 영역들은 고주파 LWR 및 초저주파 LWR 각각의 진폭에 대응한다.
웨이퍼 (204) 가 유도적으로 커플링된 플라즈마 프로세싱 챔버 내에 배치된다 (단계 102).
도 3 은 본 발명의 구현에서 사용될 수도 있는 프로세싱 툴을 예시한다. 도 3 은 플라즈마 프로세싱 툴 (301) 을 포함하는, 플라즈마 프로세싱 시스템 (300) 의 개략도이다. 플라즈마 프로세싱 툴 (301) 은 유도적으로 커플링된 플라즈마 에칭 툴이고, 내부에 플라즈마 프로세싱 챔버 (304) 를 가지는 플라즈마 리액터 (302) 를 포함한다. 변압기 결합 전력 (TCP) 제어기 (350) 와 바이어스 전력 제어기 (355) 는 플라즈마 챔버 (304) 내에서 생성된 플라즈마 (324) 에 영향을 주는 TCP 전원 (351) 과 바이어스 전원 (356) 을 각각 제어한다.
TCP 전력 제어기 (350) 는, TCP 매칭 네트워크 (352) 에 의해 동조된 13.56 MHz 에서의 무선 주파수 신호를 플라즈마 챔버 (304) 근방에 위치된 TCP 코일 (353) 에 공급하도록 구성된 TCP 전원 (351) 에 대한 세트 포인트를 설정한다. 에너지가 TCP 코일 (353) 로부터 플라즈마 챔버 (304) 로 통과하도록 허용하면서, 플라즈마 챔버 (304) 로부터 TCP 코일 (353) 을 분리하기 위하여 RF 투명 윈도우 (354) 가 제공된다.
프로세스되는 반도체 웨이퍼 워크피스와 같은 기판 (306) 을 수용하기 위해 구성된 전극 (308) 위에 직류 (DC) 바이어스를 생성하는 플라즈마 챔버 (304) 내에 위치된 척 전극 (308) 에, 바이어스 매칭 네트워크 (357) 에 의해 동조된 RF 신호를 공급하도록 구성된 바이어스 전원 (356) 에 대한 세트 포인트를 바이어스 전력 제어기 (355) 가 설정한다.
가스 공급 메카니즘 또는 가스 소스 (310) 는 프로세스에 필요한 적절한 화학물질을 플라즈마 챔버 (304) 의 내부에 공급하기 위하여 가스 매니폴드 (317) 를 통해 부착된 가스 또는 가스들의 소스 또는 소스들 (316) 을 포함한다. 가스 배기 메카니즘 (318) 은 압력 제어 밸브 (319) 와 배기 펌프 (320) 를 포함하고, 플라즈마 챔버 (304) 내로부터 입자를 제거하며, 플라즈마 챔버 (304) 내에 특정 압력을 유지한다.
온도 제어기 (380) 는 냉각 전원 (384) 을 제어함으로써 척 전극 (308) 내에 제공된 냉각 재순환 시스템의 온도를 제어한다. 또한, 플라즈마 프로세싱 시스템은 전자 제어 회로 (370) 를 포함한다. 또한, 플라즈마 프로세싱 시스템은 종점 검출기를 가질 수도 있다.
도 4a 및 도 4b 는 본 발명의 실시형태에서 사용된 제어 회로 (370) 에 대한 제어기를 구현하기에 적합한 컴퓨터 시스템 (400) 을 예시한다. 도 4a 는 컴퓨터 시스템의 하나의 가능한 물리적인 형태를 도시한다. 물론, 컴퓨터 시스템은 집적 회로, 인쇄 회로 기판 및 작은 핸드헬드 디바이스로부터 대형 슈퍼 컴퓨터까지의 많은 물리적인 형태들을 가질 수도 있다. 컴퓨터 시스템 (400) 은 모니터 (402), 디스플레이 (404), 하우징 (406), 디스크 드라이브 (408), 키보드 (410) 및 마우스 (412) 를 포함한다. 디스크 (414) 는 컴퓨터 시스템 (400) 에 그리고 컴퓨터 시스템으로부터 데이터를 전송하기 위해 사용된 컴퓨터 판독가능한 매체이다.
도 4b 는 컴퓨터 시스템 (400) 에 대한 블록도의 일 예이다. 광범위한 서브시스템이 시스템 버스 (420) 에 부착된다. 프로세서(들) (422) (또한 중앙 프로세싱 유닛 또는 CPU 로서 지칭됨) 는 메모리 (424) 를 포함하는 저장 디바이스에 커플링된다. 메모리 (424) 는 랜덤 액세스 메모리 (RAM) 및 판독 전용 메모리 (ROM) 를 포함한다. 당업계에 널리 알려진 바와 같이, ROM 은 데이터 및 명령들을 CPU 에 단방향으로 전송하도록 동작하고, RAM 은 데이터 및 명령들을 양방향 방식으로 전송하기 위해 통상적으로 사용된다. 이러한 타입의 메모리들 모두는 아래에서 설명된 임의의 적합한 컴퓨터 판독가능한 매체를 포함할 수도 있다. 또한, 고정 디스크 (426) 는 CPU (422) 에 양방향으로 커플링되고; 추가적인 데이터 저장 용량을 제공하며, 또한 아래에서 설명된 임의의 컴퓨터 판독가능한 매체를 포함할 수도 있다. 고정 디스크 (426) 는 프로그램, 데이터 등을 저장하기 위하여 사용될 수도 있고, 통상적으로 주 저장 매체보다 느린 (하드 디스크와 같은) 보조 저장 매체이다. 고정 디스크 (426) 내에 보유된 정보가 적합한 경우에, 메모리 (424) 에 가상 메모리로서 표준 방식으로 통합될 수도 있다는 것이 이해될 것이다. 착탈식 디스크 (414) 는 아래에서 설명된 임의의 컴퓨터 판독가능한 매체의 형태를 취할 수도 있다.
또한, CPU (422) 는 디스플레이 (404), 키보드 (410), 마우스 (412) 및 스피커 (430) 와 같은 다양한 입/출력 디바이스에 커플링된다. 일반적으로, 입/출력 디바이스는 비디오 디스플레이, 트랙볼, 마우스, 키보드, 마이크로폰, 터치 감지형 디스플레이, 트랜듀서 카드 판독기, 자기 또는 페이퍼 테이프 판독기, 태플릿, 스타일러스, 보이스 또는 핸드라이팅 인식기, 생체 인식 판독기 또는 다른 컴퓨터들 중 어느 하나일 수도 있다. CPU (422) 는 네트워크 인터페이스 (440) 를 사용하여 다른 컴퓨터 또는 전기통신 네트워크에 선택적으로 커플링될 수도 있다. 이러한 네트워크 인터페이스를 사용하여, CPU 가 상술한 방법 단계를 수행하는 과정에서 네트워크로부터 정보를 수신할 수도 있거나 네트워크에 정보를 출력할 수도 있다는 것이 예상된다. 더욱이, 본 발명의 방법 실시형태는 CPU (422) 상에서만 실행할 수도 있거나 프로세싱의 일부를 공유하는 원격 CPU 와 함께 인터넷과 같은 네트워크를 통해 실행할 수도 있다.
또한, 본 발명의 실시형태들은, 다양한 컴퓨터 구현 동작을 수행하는 컴퓨터 코드를 갖는 컴퓨터 판독가능한 매체를 가지는 컴퓨터 저장 제품에 더 관련된다. 매체 및 컴퓨터 코드는 본 발명을 위해 특수하게 설계되고 구성된 것들일 수도 있고, 또는 컴퓨터 소프트웨이 분야의 당업자들에게 잘 알려지고 이용가능한 종류일 수도 있다. 유형의 (tangible) 컴퓨터 판독가능한 매체의 예들은, 제한되지 않지만, 하드 디스크, 플로피 디스크, 및 자기 테이프와 같은 자기 매체; CD-ROM 및 홀로그래픽 디바이스와 같은 광학 매체; 플롭티컬 디스크와 같은 마그네토 광학 매체; 및 주문형 집적 회로 (ASIC), 프로그램가능한 로직 디바이스 (PLD) 및 ROM 과 RAM 디바이스와 같은 프로그램 코드를 저장하고 실행하기 위해 특수하게 구성되는 하드웨어 디바이스를 포함하지만 이에 제한되지 않는다. 컴퓨터 코드의 예들은 컴파일러에 의해 생성되는 바와 같은 머신 코드와 인터프리터를 사용하여 컴퓨터에 의해 실행되는 상위 레벨 코드를 포함하는 파일을 포함한다. 또한, 컴퓨터 판독가능한 매체는 반송파에 포함된 컴퓨터 데이터 신호에 의해 송신되고 프로세서에 의해 실행가능한 명령의 시퀀스를 나타내는 컴퓨터 코드일 수도 있다.
초저주파 선폭 조도를 감소시키기 위해 패터닝 PR 마스크 (220) 가 처리된다 (단계 104). 이것은, H2 를 포함하는 처리 가스를 프로세스 챔버에 우선 흐르게 함으로써 달성되고, 여기서, 처리 가스는 유량을 갖고 H2 는 처리 가스의 유량의 적어도 50% 인 유량을 갖는다. 바람직하게, 처리 가스는 본질적으로 H2 및 Ar 으로 이루어진다. 보다 바람직하게, 처리 가스는 본질적으로 H2 로 이루어진다. 이 처리는 낮은 바이어스를 사용하여 플라즈마로 형성된다 (단계 112). 바람직하게, 낮은 바이어스에 대한 바이어스 전압은 0 내지 100 볼트이다. 보다 바람직하게, 낮은 바이어스에 대한 바이어스 전압은 0 내지 50 볼트이다. 가장 바람직하게, 낮은 바이어스에 대한 바이어스 전압은 0 볼트이다. 처리 단계의 흐름은 PR 마스크 처리를 종료시키기 위하여 중지된다 (단계 116).
처리 레시피의 특정 예가 10 mT 의 압력에서 100 sccm H2 와 100 sccm Ar 의 H2 처리 가스를 제공한다. 이러한 예시적인 레시피의 처리 범위는 2 내지 40 mT 사이 압력에서, 50 내지 500 sccm H2 와 0 내지 500 sccm Ar 을 제공할 수도 있다. 처리 가스로부터 플라즈마를 형성하기 위해 제공된 전력은 13.56 MHz 에서 200 내지 1500 W이다. 보다 구체적으로, 전력은 1000 W 이다. 바이어스 전압은 0 볼트이다. 60 ℃ 의 정전 척 온도가 제공된다. 처리 프로세스는 5 내지 60 초 동안 유지된다.
도 5a 내지 도 5f 는 다양한 예들의 웨이퍼의 CD-SEM (하향식) 이다. 도 5a 는 처리 이전의 웨이퍼의 CD-SEM 이다. 웨이퍼의 CD 는 103.5 nm 이다. 초저주파 LWR 는 6.1 nm 이다. 도 5b 는 처리 프로세스 이후의 도 5a 의 웨이퍼의 CD-SEM 이다. 3.6 nm 의 초저주파 LWR 에서 CD 는 119.1 nm 이다. 따라서, 초저주파 LWR 가 플라즈마 처리에 의해 감소된다. 도 6a 는 도 5b 의 웨이퍼에 대한 검사 길이 대 플라즈마 처리에 의한 LWR 감소의 그래프이다. 검사 길이는 LWR 주파수와 관련된다.
도 5c 는 처리 이전의 다른 타입의 웨이퍼의 CD-SEM 이다. 웨이퍼의 CD 는 69.8 nm 이다. 초저주파 LWR 은 5.9 nm 이다. 도 5d 는 처리 프로세스 이후의 도 5c 의 웨이퍼의 CD-SEM 이다. 3.9 nm 의 초저주파 LWR 에서 CD 는 67.3 nm 이다. 따라서, 초저주파 LWR 은 플라즈마 처리에 의해 감소된다. 도 6b 는 도 5d 의 웨이퍼에 대한 검사 길이 대 플라즈마 처리에 의한 LWR 감소의 그래프이다.
도 5e 는 처리 이전의 다른 타입의 웨이퍼의 CD-SEM 이다. 웨이퍼의 CD 는 58.1 nm 이다. 초저주파 LWR 은 4.2 nm 이다. 도 5f 는 처리 프로세스 이후 도 5e의 웨이퍼의 CD-SEM 이다. 2.8 nm 의 초저주파 LWR 에서 CD 는 57.1 nm 이다. 따라서, 초저주파 LWR 가 플라즈마 처리에 의해 감소된다. 도 6c 는 도 5f 의 웨이퍼에 대한 검사 길이 대 플라즈마 처리에 의한 LWR 감소의 그래프이다.
이후, 에칭층의 특정 재료에 기초하는 종래의 유기 ARC 개방 프로세스를 사용하여, 유기 ARC 층 (216) 이 에칭된다 (단계 120). 도 2b 는 유기 ARC 층 (216) 이 에칭된 이후 스택의 개략도이다. 이어서, 패터닝 마스크로서 패터닝 PR 마스크 (220) 및/또는 유기 ARC 층 (216) 을 사용하여 하드 마스크층 (212) 이 에칭될 수도 있다. 도전층 (208) 이 패터닝된 마스크로서 하드 마스크층 (212) 을 사용하는 종래의 도전층 에칭을 사용하여 에칭될 수도 있다 (단계 128). 이러한 프로세스 동안에, 포토레지스트 마스크와 유기 ARC 가 박리될 수도 있다. 도 2c 는, 도전층 (208) 과 하드 마스크 (212) 가 에칭된 이후의 스택의 개략도이고, 여기서 PR 마스크와 유기 ARC 가 박리된다. 반도체 디바이스를 더 형성하기 위하여 다른 프로세스가 사용될 수도 있다. 이후, 웨이퍼는 유도적으로 커플링된 TCP 프로세스 챔버로부터 제거된다 (단계 132). 따라서, 본 발명의 이러한 예는, 단일의 유도적으로 커플링된 플라즈마 프로세스 챔버에서 초저주파 LWR 을 감소시키기 위한 처리, 유기 ARC 개방, 하드 마스크 개방 및 도전층 에칭을 인 시츄로 수행한다. 이러한 실시형태에서, 유기 ARC 층 (216) 은 H2 처리 이후에 에칭되는 에칭층이다.
이론에 제한되지 않고, 패터닝된 포토레지스트 마스크에서 500 nm, 바람직하게는 550 nm 보다 큰 반복 레이트를 갖는 초저주파 선 에지 조도는 감소될 수 없다고 생각되었다. 낮은 바이어스 전압을 갖는 H2 플라즈마 처리가 초저주파 선폭 조도를 감소시킨다는 것을 예기치 못하게 발견하였다.
다른 실시형태
다른 실시형태에서, 초저주파 LWR 을 감소시키기 위한 H2 처리가 다른 패터닝 유기 마스크 상에서 수행될 수도 있다. 예를 들어, 종래 프로세스를 사용하여 개방된 유기 ARC 층이 초저주파 LWR 을 가질 수도 있다. 이후, H2 처리가 초저주파 LWR 을 감소시키기 위하여 개방된 유기 ARC 층에 적용될 수도 있다. 그러한 예에서, 에칭층인 유기 ARC 층 대신에, 하드 마스크층이 H2 처리에 후속하여 에칭되는 에칭층이다.
다른 실시형태에서, 높은 바이어스 전력이 H2 처리 동안에 사용될 수도 있다. 다른 실시형태에서, 에칭층 또는 이 에칭층 아래의 다른 층들은 유전체 층일 수도 있다. 이러한 실시형태들은 ARC 층을 가질 수도 있거나 ARC 층을 갖지 않을 수도 있거나 적어도 하나의 추가 층을 가질 수도 있다. 이러한 실시형태들은 도전층 및/또는 하드 마스크층을 가질 수도 있거나 갖지 않을 수도 있다. 에칭층이 유전체층이면, 실시형태는 유도적으로 커플링된 프로세스 챔버 대신에 용량적으로 커플링된 프로세스 챔버를 사용할 수도 있다. 다른 실시형태들에서, 처리가 에칭보다 다른 챔버에서 행해질 수도 있다.
본 발명은 몇몇 바람직한 실시형태와 관련하여 설명하였지만, 본 발명의 범위 내에 있는 변경물, 치환물, 및 다양한 대체 등가물이 존재한다. 또한, 본 발명의 방법들과 장치들을 구현하는 많은 대안의 방식들이 존재한다는 것을 이해해야된다. 따라서, 아래의 첨부된 청구항은 본 발명의 실제 사상과 범위 내에 있는 것으로서 모든 이러한 변경물, 치환물 및 다양한 대체 등가물을 포함하는 것으로서 해석된다는 것이 의도된다.

Claims (32)

  1. 패터닝 유기 마스크 아래 배치된 에칭층에서 에칭된 피쳐들을 형성하는데 있어서 초저주파 선폭 조도 (line width roughness; LWR) 를 감소시키기 위한 방법으로서,
    상기 패터닝 유기 마스크의 초저주파 선폭 조도를 감소시키기 위해 상기 패터닝 유기 마스크를 처리하는 단계; 및
    상기 감소된 초저주파 LWR 을 갖는 상기 처리된 패터닝 유기 마스크를 통하여 상기 에칭층을 에칭하는 단계를 포함하며,
    상기 패터닝 유기 마스크를 처리하는 단계는,
    H2 를 포함하는 처리 가스를 흐르게 하는 단계로서, 상기 처리 가스는 유량을 갖고, H2 는 상기 처리 가스의 상기 유량의 적어도 50% 인 유량을 갖는, 상기 처리 가스를 흐르게 하는 단계;
    상기 처리 가스로부터 플라즈마를 형성하는 단계; 및
    상기 처리 가스의 상기 흐름을 중지시키는 단계를 포함하는, 초저주파 선폭 조도를 감소시키기 위한 방법.
  2. 제 1 항에 있어서,
    상기 플라즈마를 형성하는 단계는 낮은 바이어스를 사용하는, 초저주파 선폭 조도를 감소시키기 위한 방법.
  3. 제 2 항에 있어서,
    상기 처리 가스는 무할로겐 (halogen free) 인, 초저주파 선폭 조도를 감소시키기 위한 방법.
  4. 제 2 항에 있어서,
    상기 처리 가스는 본질적으로 Ar 및 H2 로 이루어지는, 초저주파 선폭 조도를 감소시키기 위한 방법.
  5. 제 2 항에 있어서,
    상기 처리 가스는 본질적으로 H2 로 이루어지는, 초저주파 선폭 조도를 감소시키기 위한 방법.
  6. 제 5 항에 있어서,
    상기 플라즈마를 형성하는 단계는 1500 watt 이하의 RF 전력을 사용하는, 초저주파 선폭 조도를 감소시키기 위한 방법.
  7. 제 6 항에 있어서,
    상기 낮은 바이어스는 0 내지 100 볼트 사이인, 초저주파 선폭 조도를 감소시키기 위한 방법.
  8. 제 6 항에 있어서,
    상기 낮은 바이어스는 0 내지 50 볼트 사이인, 초저주파 선폭 조도를 감소시키기 위한 방법.
  9. 제 6 항에 있어서,
    상기 낮은 바이어스는 0 볼트인, 초저주파 선폭 조도를 감소시키기 위한 방법.
  10. 제 9 항에 있어서,
    상기 초저주파 LWR 은 500 nm 보다 큰 조도 반복 길이를 가지는, 초저주파 선폭 조도를 감소시키기 위한 방법.
  11. 제 10 항에 있어서,
    처리 이후의 상기 패터닝 유기 마스크의 상기 초저주파 LWR 은 처리 이전의 상기 초저주파 LWR 미만인, 초저주파 선폭 조도를 감소시키기 위한 방법.
  12. 제 11 항에 있어서,
    상기 패터닝 유기 마스크를 처리하는 단계 이전에 상기 에칭층 및 패터닝 유기 마스크를 갖는 웨이퍼를 프로세스 챔버에 배치하는 단계; 및
    상기 에칭층을 에칭하는 단계 이후에 상기 프로세스 챔버로부터 상기 웨이퍼를 제거하는 단계를 더 포함하는, 초저주파 선폭 조도를 감소시키기 위한 방법.
  13. 제 12 항에 있어서,
    상기 프로세스 챔버는 유도적으로 커플링된 TCP 프로세스 챔버인, 초저주파 선폭 조도를 감소시키기 위한 방법.
  14. 제 13 항에 있어서,
    상기 유기 마스크는 포토레지스트 마스크인, 초저주파 선폭 조도를 감소시키기 위한 방법.
  15. 제 14 항에 있어서,
    상기 에칭층 아래에 하드 마스크층이 있고, 상기 하드 마스크층 아래에 도전층이 있으며,
    상기 하드 마스크층을 에칭하는 단계, 및
    상기 프로세스 챔버로부터 상기 웨이퍼를 제거하는 단계 이전에 상기 도전층을 에칭하는 단계를 더 포함하여,
    상기 패터닝 유기 마스크를 처리하는 단계, 상기 에칭층을 에칭하는 단계, 상기 하드 마스크층을 에칭하는 단계, 및 상기 도전층을 에칭하는 단계가 모두 동일한 프로세스 챔버에서 인 시츄로 행해지도록 하는, 초저주파 선폭 조도를 감소시키기 위한 방법.
  16. 제 1 항에 있어서,
    상기 처리 가스는 무할로겐인, 초저주파 선폭 조도를 감소시키기 위한 방법.
  17. 제 1 항에 있어서,
    상기 처리 가스는 본질적으로 Ar 및 H2 로 이루어지는, 초저주파 선폭 조도를 감소시키기 위한 방법.
  18. 제 1 항 또는 제 2 항에 있어서,
    상기 처리 가스는 무할로겐인, 초저주파 선폭 조도를 감소시키기 위한 방법.
  19. 제 1 항 또는 제 2 항에 있어서,
    상기 처리 가스는 본질적으로 Ar 또는 H2 로 이루어지는, 초저주파 선폭 조도를 감소시키기 위한 방법.
  20. 제 1 항 또는 제 2 항에 있어서,
    상기 처리 가스는 본질적으로 H2 로 이루어지는, 초저주파 선폭 조도를 감소시키기 위한 방법.
  21. 제 1 항, 제 2 항 및 제 18 항 내지 제 20 항 중 어느 한 항에 있어서,
    상기 플라즈마를 형성하는 단계는 1500 watt 이하의 RF 전력을 사용하는, 초저주파 선폭 조도를 감소시키기 위한 방법.
  22. 제 1 항, 제 2 항 및 제 18 항 내지 제 21 항 중 어느 한 항에 있어서,
    상기 낮은 바이어스는 0 내지 100 볼트 사이인, 초저주파 선폭 조도를 감소시키기 위한 방법.
  23. 제 1 항, 제 2 항 및 제 18 항 내지 제 21 항 중 어느 한 항에 있어서,
    상기 낮은 바이어스는 0 내지 50 볼트 사이인, 초저주파 선폭 조도를 감소시키기 위한 방법.
  24. 제 1 항, 제 2 항 및 제 18 항 내지 제 21 항 중 어느 한 항에 있어서,
    상기 낮은 바이어스는 0 볼트인, 초저주파 선폭 조도를 감소시키기 위한 방법.
  25. 제 1 항, 제 2 항 및 제 18 항 내지 제 24 항 중 어느 한 항에 있어서,
    상기 초저주파 LWR 은 500 nm 보다 큰 조도 반복 길이를 가지는, 초저주파 선폭 조도를 감소시키기 위한 방법.
  26. 제 1 항, 제 2 항 및 제 18 항 내지 제 25 항 중 어느 한 항에 있어서,
    처리 이후의 상기 패터닝 유기 마스크의 상기 초저주파 LWR 은 처리 이전의 상기 초저주파 LWR 미만인, 초저주파 선폭 조도를 감소시키기 위한 방법.
  27. 제 1 항, 제 2 항 및 제 18 항 내지 제 26 항 중 어느 한 항에 있어서,
    상기 패터닝 유기 마스크를 처리하는 단계 이전에 상기 에칭층 및 패터닝 유기 마스크를 갖는 웨이퍼를 프로세스 챔버에 배치하는 단계; 및
    상기 에칭층을 에칭하는 단계 이후에 상기 프로세스 챔버로부터 상기 웨이퍼를 제거하는 단계를 더 포함하는, 초저주파 선폭 조도를 감소시키기 위한 방법.
  28. 제 1 항, 제 2 항 및 제 18 항 내지 제 27 항 중 어느 한 항에 있어서,
    상기 프로세스 챔버는 유도적으로 커플링된 TCP 프로세스 챔버인, 초저주파 선폭 조도를 감소시키기 위한 방법.
  29. 제 1 항, 제 2 항 및 제 18 항 내지 제 28 항 중 어느 한 항에 있어서,
    상기 유기 마스크는 포토레지스트 마스크인, 초저주파 선폭 조도를 감소시키기 위한 방법.
  30. 제 1 항, 제 2 항 및 제 18 항 내지 제 29 항 중 어느 한 항에 있어서,
    상기 에칭층 아래에 하드 마스크층이 있고, 상기 하드 마스크층 아래에 도전층이 있으며,
    상기 하드 마스크층을 에칭하는 단계, 및
    상기 프로세스 챔버로부터 상기 웨이퍼를 제거하는 단계 이전에 상기 도전층을 에칭하는 단계를 더 포함하여,
    상기 패터닝 유기 마스크를 처리하는 단계, 상기 에칭층을 에칭하는 단계, 상기 하드 마스크층을 에칭하는 단계, 및 상기 도전층을 에칭하는 단계가 모두 동일한 프로세스 챔버에서 인 시츄로 행해지도록 하는, 초저주파 선폭 조도를 감소시키기 위한 방법.
  31. 웨이퍼 상에 스택을 형성하는, 패터닝된 포토레지스트 마스크 아래에 배치되는 ARC 층, 상기 ARC 층 아래에 배치되는 하드 마스크층, 상기 하드 마스크층 아래에 배치된 도전층에서 에칭된 피쳐들을 형성하는데 있어서 초저주파 선폭 조도 (line width roughness; LWR) 을 감소시키기 위한 방법으로서,
    상기 웨이퍼를 프로세스 챔버에 배치하는 단계;
    상기 패터닝된 포토레지스트 마스크의 초저주파 선폭 조도를 감소시키기 위해 상기 패터닝된 포토레지스트 마스크를 처리하는 단계;
    상기 처리된 패터닝된 포토레지스트 마스크를 통하여 상기 ARC 층을 에칭하는 단계;
    상기 ARC 층을 통하여 상기 하드 마스크층을 에칭하는 단계;
    상기 하드 마스크층을 통하여 상기 도전층을 에칭하는 단계; 및
    상기 프로세스 챔버로부터 상기 웨이퍼를 제거하는 단계를 포함하여,
    상기 패터닝 유기 마스크를 처리하는 단계, 상기 ARC 층을 에칭하는 단계, 상기 하드 마스크층을 에칭하는 단계, 및 상기 도전층을 에칭하는 단계가 모두 동일한 프로세스 챔버에서 인 시츄로 행해지도록 하고,
    상기 패터닝된 포토레지스트 마스크를 처리하는 단계는,
    H2 를 포함하는 처리 가스를 흐르게 하는 단계로서, 상기 처리 가스는 유량을 갖고, H2 는 상기 프로세스 챔버로의 상기 처리 가스의 유량의 적어도 50% 인 유량을 갖는, 상기 처리 가스를 흐르게 하는 단계;
    상기 처리 가스로부터 플라즈마를 형성하는 단계; 및
    상기 처리 가스의 상기 흐름을 중지시키는 단계를 포함하는, 초저주파 선폭 조도를 감소시키기 위한 방법.
  32. 마스크 피쳐들을 갖는 패터닝 유기 마스크 아래에 배치된 에칭층에 에칭된 피쳐들을 형성하는데 있어서 초저주파 선폭 조도 (line width roughness; LWR) 를 감소시키기 위한 장치로서,
    플라즈마 프로세싱 챔버 인클로저를 형성하는 챔버 벽,
    상기 플라즈마 프로세싱 챔버 인클로저 내에서 웨이퍼를 지지하기 위한 기판 지지부,
    상기 플라즈마 프로세싱 챔버 인클로저 내의 압력을 조정하기 위한 압력 조정기,
    플라즈마를 유지하기 위해 상기 플라즈마 프로세싱 챔버 인클로저에 유도적으로 커플링된 전력을 제공하기 위한 적어도 하나의 안테나,
    상기 플라즈마 프로세싱 챔버 인클로저에 가스를 제공하기 위한 가스 인렛, 및
    상기 플라즈마 프로세싱 챔버 인클로저로부터 가스를 배기하기 위한 가스 아웃렛을 포함하는, 플라즈마 프로세싱 챔버;
    에천트 가스 소스, 및
    H2 처리 가스 소스를 포함하는, 상기 가스 인렛과 유체 연통하는 가스 소스; 및
    적어도 하나의 프로세서, 및
    컴퓨터 판독가능한 매체를 포함하는, 상기 가스 소스와 상기 적어도 하나의 안테나에 제어가능하게 접속된 제어기를 포함하며,
    상기 컴퓨터 판독가능한 매체는,
    상기 패터닝 유기 마스크의 초저주파 선폭 조도를 감소시키기 위해 상기 패터닝 유기 마스크를 처리하는 컴퓨터 판독가능한 코드; 및
    상기 감소된 초저주파 LWR 을 갖는 상기 처리된 패터닝 유기 마스크를 통해 상기 에칭층을 에칭하는 컴퓨터 판독가능한 코드를 포함하고,
    상기 패터닝 유기 마스크를 처리하는 컴퓨터 판독가능한 코드는,
    H2 를 포함하는 처리 가스를 흐르게 하는 컴퓨터 판독가능한 코드로서, 상기 처리 가스는 유량을 갖고, H2 는 상기 처리 가스의 상기 유량의 적어도 50% 인 유량을 갖는, 상기 처리 가스를 흐르게 하는 컴퓨터 판독가능한 코드;
    상기 처리 가스로부터 플라즈마를 형성하는 컴퓨터 판독가능한 코드; 및
    상기 처리 가스의 상기 흐름을 중지시키는 컴퓨터 판독가능한 코드를 포함하는, 초저주파 선폭 조도 (LWR) 를 감소시키기 위한 장치.
KR1020117003635A 2008-07-17 2009-07-07 H₂플라즈마 처리법을 이용한 유기 선폭 조도의 개선 KR101690337B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/175,153 US8298958B2 (en) 2008-07-17 2008-07-17 Organic line width roughness with H2 plasma treatment
US12/175,153 2008-07-17
PCT/US2009/049793 WO2010008967A2 (en) 2008-07-17 2009-07-07 Improvement of organic line width roughness with h2 plasma treatment

Publications (2)

Publication Number Publication Date
KR20110040933A true KR20110040933A (ko) 2011-04-20
KR101690337B1 KR101690337B1 (ko) 2016-12-27

Family

ID=41530667

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117003635A KR101690337B1 (ko) 2008-07-17 2009-07-07 H₂플라즈마 처리법을 이용한 유기 선폭 조도의 개선

Country Status (5)

Country Link
US (2) US8298958B2 (ko)
KR (1) KR101690337B1 (ko)
CN (1) CN102089868B (ko)
TW (1) TWI525693B (ko)
WO (1) WO2010008967A2 (ko)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US8877641B2 (en) * 2009-12-28 2014-11-04 Spansion Llc Line-edge roughness improvement for small pitches
JP5916056B2 (ja) * 2010-08-23 2016-05-11 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8435727B2 (en) 2010-10-01 2013-05-07 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying photoresist using electromagnetic radiation and ion implantation
CN102915922B (zh) * 2011-08-03 2015-04-22 中芯国际集成电路制造(北京)有限公司 一种制作半导体器件的方法
US20130267097A1 (en) * 2012-04-05 2013-10-10 Lam Research Corporation Method and apparatus for forming features with plasma pre-etch treatment on photoresist
US8999184B2 (en) 2012-08-03 2015-04-07 Lam Research Corporation Method for providing vias
JP6063264B2 (ja) * 2012-09-13 2017-01-18 東京エレクトロン株式会社 被処理基体を処理する方法、及びプラズマ処理装置
US8828744B2 (en) 2012-09-24 2014-09-09 Lam Research Corporation Method for etching with controlled wiggling
CN104345568A (zh) * 2013-08-07 2015-02-11 中芯国际集成电路制造(上海)有限公司 减小光刻胶图形线宽粗糙度的方法
CN104465333B (zh) * 2013-09-17 2017-12-29 中芯国际集成电路制造(上海)有限公司 光刻胶图形的形成方法、晶体管栅极的形成方法
KR20150093618A (ko) * 2014-02-07 2015-08-18 아이엠이씨 브이제트더블유 포스트-리소그래피 라인 폭 러프니스를 감소시키기 위한 플라즈마 방법
US9852924B1 (en) * 2016-08-24 2017-12-26 Lam Research Corporation Line edge roughness improvement with sidewall sputtering

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6811956B1 (en) * 2002-06-24 2004-11-02 Advanced Micro Devices, Inc. Line edge roughness reduction by plasma treatment before etch
US20060094221A1 (en) * 2004-11-01 2006-05-04 Nec Electronics Corporation Method for manufacturing electronic device
KR20060123312A (ko) * 2003-11-12 2006-12-01 램 리써치 코포레이션 트렌치 식각에 대한 라인 에지 러프니스 감소
US20080029483A1 (en) * 2006-08-07 2008-02-07 Tokyo Electron Limited Method of treating a mask layer prior to performing an etching process

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6335292B1 (en) 1999-04-15 2002-01-01 Micron Technology, Inc. Method of controlling striations and CD loss in contact oxide etch
US6326307B1 (en) 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6720247B2 (en) 2000-12-14 2004-04-13 Texas Instruments Incorporated Pre-pattern surface modification for low-k dielectrics using A H2 plasma
US6630288B2 (en) 2001-03-28 2003-10-07 Advanced Micro Devices, Inc. Process for forming sub-lithographic photoresist features by modification of the photoresist surface
US6936398B2 (en) 2001-05-09 2005-08-30 Massachusetts Institute Of Technology Resist with reduced line edge roughness
US7473377B2 (en) * 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method
US6923920B2 (en) 2002-08-14 2005-08-02 Lam Research Corporation Method and compositions for hardening photoresist in etching processes
US7022611B1 (en) 2003-04-28 2006-04-04 Lam Research Corporation Plasma in-situ treatment of chemically amplified resist
US7196017B2 (en) 2003-10-24 2007-03-27 Avago Technologies Fiber Ip (Singapore) Pte. Ltd. Method for etching smooth sidewalls in III-V based compounds for electro-optical devices
US20050211668A1 (en) * 2004-03-26 2005-09-29 Lam Research Corporation Methods of processing a substrate with minimal scalloping
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US7316785B2 (en) 2004-06-30 2008-01-08 Lam Research Corporation Methods and apparatus for the optimization of etch resistance in a plasma processing system
JP2006038779A (ja) * 2004-07-30 2006-02-09 Hitachi High-Technologies Corp パターン形状評価方法、評価装置、及び半導体装置の製造方法
JP2006086500A (ja) 2004-08-18 2006-03-30 Toshiba Corp 半導体装置の製造方法
US7053003B2 (en) 2004-10-27 2006-05-30 Lam Research Corporation Photoresist conditioning with hydrogen ramping
US7196014B2 (en) 2004-11-08 2007-03-27 International Business Machines Corporation System and method for plasma induced modification and improvement of critical dimension uniformity
US7488689B2 (en) * 2004-12-07 2009-02-10 Tokyo Electron Limited Plasma etching method
KR20070107017A (ko) 2004-12-30 2007-11-06 어플라이드 머티어리얼스, 인코포레이티드 트리밍과 호환되는 라인 에지 조도 감소 방법
US20060154184A1 (en) 2005-01-12 2006-07-13 International Business Machines Corporation Method for reducing feature line edge roughness
KR20070047624A (ko) 2005-11-02 2007-05-07 주성엔지니어링(주) 박막 패턴 형성 방법
US20070181530A1 (en) 2006-02-08 2007-08-09 Lam Research Corporation Reducing line edge roughness
JP4717658B2 (ja) 2006-02-17 2011-07-06 ソニー株式会社 パターン形成方法および半導体装置の製造方法
JP5362176B2 (ja) 2006-06-12 2013-12-11 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8367303B2 (en) 2006-07-14 2013-02-05 Micron Technology, Inc. Semiconductor device fabrication and dry develop process suitable for critical dimension tunability and profile control
US7642193B2 (en) 2006-08-07 2010-01-05 Tokyo Electron Limited Method of treating a mask layer prior to performing an etching process
US7435671B2 (en) 2006-08-18 2008-10-14 International Business Machines Corporation Trilayer resist scheme for gate etching applications
US7373216B1 (en) 2007-03-30 2008-05-13 Tokyo Electron Limited Method and apparatus for verifying a site-dependent wafer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6811956B1 (en) * 2002-06-24 2004-11-02 Advanced Micro Devices, Inc. Line edge roughness reduction by plasma treatment before etch
KR20060123312A (ko) * 2003-11-12 2006-12-01 램 리써치 코포레이션 트렌치 식각에 대한 라인 에지 러프니스 감소
US20060094221A1 (en) * 2004-11-01 2006-05-04 Nec Electronics Corporation Method for manufacturing electronic device
US20080029483A1 (en) * 2006-08-07 2008-02-07 Tokyo Electron Limited Method of treating a mask layer prior to performing an etching process

Also Published As

Publication number Publication date
WO2010008967A3 (en) 2010-03-25
KR101690337B1 (ko) 2016-12-27
TW201009931A (en) 2010-03-01
US20100015809A1 (en) 2010-01-21
TWI525693B (zh) 2016-03-11
WO2010008967A2 (en) 2010-01-21
US20130087284A1 (en) 2013-04-11
CN102089868B (zh) 2014-04-02
CN102089868A (zh) 2011-06-08
US8298958B2 (en) 2012-10-30

Similar Documents

Publication Publication Date Title
KR101690337B1 (ko) H₂플라즈마 처리법을 이용한 유기 선폭 조도의 개선
US8262920B2 (en) Minimization of mask undercut on deep silicon etch
KR101392121B1 (ko) 잔유물 없는 하드마스크 트림
KR101433987B1 (ko) 에칭 동안 라인 말단 단축의 감소 방법
JP5632280B2 (ja) 異なるアスペクト比の構成を誘電層内にエッチングするための方法、及びその方法によって作成される半導体デバイス、並びにそのための装置
US20110117749A1 (en) Method for reducing line width roughness with plasma pre-etch treatment on photoresist
US9263284B2 (en) Line width roughness improvement with noble gas plasma
US8986492B2 (en) Spacer formation for array double patterning
KR101562408B1 (ko) Arc 레이어 개방을 이용한 라인 폭 거칠기 제어
US20100323525A1 (en) Cd bias loading control with arc layer open
US8668805B2 (en) Line end shortening reduction during etch
KR20070102938A (ko) 피처 프로파일을 제어하기 위한 마스크 프로파일 제어

Legal Events

Date Code Title Description
AMND Amendment
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant