TWI525693B - 藉由氫電漿處理改善有機遮罩線寬粗糙度 - Google Patents

藉由氫電漿處理改善有機遮罩線寬粗糙度 Download PDF

Info

Publication number
TWI525693B
TWI525693B TW098124225A TW98124225A TWI525693B TW I525693 B TWI525693 B TW I525693B TW 098124225 A TW098124225 A TW 098124225A TW 98124225 A TW98124225 A TW 98124225A TW I525693 B TWI525693 B TW I525693B
Authority
TW
Taiwan
Prior art keywords
layer
line width
low frequency
width roughness
frequency line
Prior art date
Application number
TW098124225A
Other languages
English (en)
Other versions
TW201009931A (en
Inventor
山口葉子
楊大衛
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201009931A publication Critical patent/TW201009931A/zh
Application granted granted Critical
Publication of TWI525693B publication Critical patent/TWI525693B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

藉由氫電漿處理改善有機遮罩線寬粗糙度
本發明係關於半導體裝置的形成。
在半導體晶圓處理期間,使用熟知的圖案化與蝕刻處理將半導體裝置的特徵部界定在晶圓內。在這些處理中,光阻(PR,photoresist)材料被沉積在晶圓上,然後曝露至經由初縮遮罩(reticle)所過濾的光。此初縮遮罩一般為經過圖案化的玻璃板,其具有可阻擋光傳播通過初縮遮罩的示範特徵幾何。
在通過初縮遮罩之後,光會接觸光阻材料的表面。光會改變光阻材料的化學組成,以使顯影劑可移除一部分的光阻材料。在正光阻材料的情況下,曝光區域可被移除;而在負光阻材料的情況下,未曝光區域可被移除。然後,對晶圓進行蝕刻,以從不再被光阻材料所保護的區域移除下層材料,藉以將期望的特徵部界定在晶圓內。
為了達到上述內容並依照本發明之目的,而提供一種在蝕刻層內形成蝕刻特徵部時用以降低特低頻線寬粗糙度(LWR,line width roughness)的方法,此蝕刻層係配置在圖案化有機遮罩下方。對圖案化有機遮罩進行處理,以降低此圖案化有機遮罩的特低頻線寬粗糙度,其包含:使包含H2的處理氣體流動,其中此處理氣體具有一流率,而H2具有至少為此處理氣體流率之50%的一流率;從此處理氣體形成電漿;以及停止此處理氣體的流動。透過具有已降低之特低頻LWR的已處理圖案化有機遮罩,對蝕刻層進行蝕刻。
在本發明的另一樣態中,提供一種在導電層內形成蝕刻特徵部時用以降低特低頻線寬粗糙度(LWR)的方法,此導電層係配置在硬遮罩層下方,此硬遮罩層係配置在抗反射塗佈層下方,而此抗反射塗佈層係配置在圖案化光罩下方,如此在晶圓上形成堆疊體。將晶圓放置在處理室內。對圖案化光罩進行處理,以降低此圖案化光罩的特低頻線寬粗糙度,其包含:使包含H2的處理氣體流入處理室,其中此處理氣體具有一流率,而H2具有至少為此處理氣體流率之50%的一流率;從此處理氣體形成電漿;以及停止此處理氣體的流動。透過已處理圖案化光罩,對蝕刻層進行蝕刻。透過此蝕刻層,對硬遮罩層進行蝕刻。透過此硬遮罩層,對導電層進行蝕刻。從處理室移除晶圓,俾使圖案化光罩的處理、蝕刻層的蝕刻、硬遮罩層的蝕刻、以及導電層的蝕刻皆在同一處理室內原位(in situ)完成。
在本發明的另一樣態中,提供一種在蝕刻層內形成蝕刻特徵部時用以降低特低頻線寬粗糙度(LWR)的設備,此蝕刻層係配置在具有遮罩特徵部的圖案化有機遮罩下方。設置電漿處理室,其包含:腔壁,用以形成電漿處理室外罩;基板載台,用以支撐位於電漿處理室外罩內的晶圓;壓力調節器,用以調節電漿處理室外罩內的壓力;至少一天線,用以將感應耦合功率提供至電漿處理室外罩,以維持電漿;氣體入口,用以將氣體提供到電漿處理室外罩內;以及氣體出口,用以從電漿處理室外罩排放氣體。氣體源與此氣體入口流體連通,並包含蝕刻劑氣體源以及H2處理氣體源。控制器被可控制地連接至此氣體源以及至少一天線,並包含至少一處理器以及電腦可讀取媒體。此電腦可讀取媒體包含:處理圖案化有機遮罩以降低此圖案化有機遮罩之特低頻線寬粗糙度的電腦可讀取碼,包含使包含H2之處理氣體流動的電腦可讀取碼,其中此處理氣體具有一流率,而H2具有至少為此處理氣體流率之50%的一流率、從此處理氣體形成電漿的電腦可讀取碼、以及停止此處理氣體流動的電腦可讀取碼;以及透過具有已降低之特低頻線寬粗糙度之已處理圖案化有機遮罩而對蝕刻層進行蝕刻的電腦可讀取碼。
以下,將在結合下列圖式的詳細說明中,詳述本發明的這些以及其他特徵。
以下,本發明將參考如隨附圖式所示的數個其較佳實施例來進行詳細說明。在下列說明中,為了提供對本發明的整體瞭解而提出許多具體細節。然而,熟習本項技藝者可明白在不具某些或所有這些具體細節的情況下,仍可實施本發明。在其他情況下,為了不使本發明產生不必要的混淆,將不詳述熟知的處理步驟及/或結構。
為了促進瞭解,圖1係可用於本發明之一實施例之程序的高階流程圖,其可降低位於圖案化光罩下方的特低頻(very low frequency)線寬粗糙度(LWR,line width roughness)。將具有圖案化光罩的晶圓放入感應耦合TCP室內(步驟102)。對圖案化光罩進行處理,以降低特低頻線寬粗糙度(LWR)(步驟104)。此步驟包含使H2處理氣體流入處理室內(步驟108),從H2處理氣體形成電漿(步驟112),其可降低特低頻線寬粗糙度。吾人可執行後續處理步驟而完成此結構。停止H2處理氣體的流動(步驟116),以停止此處理程序。例如,於一實施例中,在光阻處理之後對蝕刻層進行蝕刻(步驟120)。在本實施例中,此蝕刻層為有機抗反射塗佈(ARC,antireflective coating)層,其係位於硬遮罩層上方,此硬遮罩層係位於導電層上方。然後,開啟此硬遮罩(步驟124)。蝕刻此導電層(步驟128)。從處理室移除此晶圓(步驟132)。
範例
在本發明之一實施例的一範例中,晶圓設有蝕刻層以及光罩。圖2A係晶圓204之一範例的橫剖面圖,於此晶圓上方形成導電層208,於此導電層上方形成硬遮罩層212,於此硬遮罩層上方形成有機抗反射塗佈(ARC)層216,於此抗反射塗佈層上方形成圖案化光罩220。在本範例中,圖案化光罩220係由193 nm以上世代的光阻材料所製成。有機ARC層216可為底部抗反射塗佈(BARC,bottom antireflective coating)材料。硬遮罩層212可為一或多層的不同材料,例如SiOx或SiNx。導電層208係由例如多晶矽、非晶矽的導電材料或例如TiN的金屬所製成。在本範例中,晶圓204為結晶矽晶圓。
在本範例中,圖案化光罩220具有特低頻線邊緣粗糙度(line edge roughness)。特低頻線寬粗糙度的重複長度(repetition length)大於500 nm;更佳者係特低頻線寬粗糙度的重複長度大於550 nm。在已知檢測區域中,線寬粗糙度為線寬的3σ值,其可依照下列方程式加以計算:
圖7係具有遮罩704之晶圓的臨界尺寸-掃描電子顯微影像(CD-SEM)(由上往下),其用以顯示LWR。選擇檢測長度708。沿著檢測長度,吾人可對於沿著檢測長度延伸的特徵部而量測線寬712。將所量測的線寬712用於方程式1以計算出LWR。
圖8顯示被採用以獲得LWR對檢測長度曲線的典型順序。吾人可在從位於最佳焦點的CD-SEM(由上往下)進行影像擷取、光束對正、以及整合之後,將最佳LWR演算法用在此影像中的相關特徵部上。LWR的變異被視為檢測長度的函數,而此結果為顯示高頻與特低頻LWR成分的曲線。LWR曲線變平坦的區域(位於2個位置,檢測長度~200 nm以及~600 nm)可分別對應於高頻與特低頻LWR的振幅。
晶圓204被放置在感應耦合電漿處理室內(步驟102)。
圖3顯示可用於實施本發明的處理工具。圖3係電漿處理系統300的示意圖,其包含電漿處理工具301。電漿處理工具301為一種感應耦合電漿蝕刻工具,並且包含於其內具有電漿處理室304的電漿反應器302。變壓器耦合功率(TCP,transformer coupled power)控制器350以及偏壓功率控制器355可分別控制TCP電源351以及偏壓電源356,而影響在電漿室304內所產生的電漿。
TCP控制器350可對TCP電源351設定一設定點,此電源被設置成將經由TCP匹配網路352所調整之具有13.56 MHz的無線射頻信號,供應至位於電漿室304附近的TCP線圈353。吾人可設置無線射頻(RF,radio frequency)透明窗354而隔開TCP線圈353與電漿室304,以允許能量從TCP線圈353通過而到達電漿室304。
偏壓功率控制器355可對偏壓電源356設定一設定點,此電源被設置成將經由偏壓匹配網路357所調整的RF信號,供應至位於電漿室304內的夾頭電極308,而在電極308上產生直流電流(DC)偏壓,此電極可用以容納例如半導體晶圓工作件的處理基板306。
氣體供應機構310包含經由氣體歧管317而附接的一種氣體或多種氣體源316,以將此程序所需的適當化學品供應至電漿室304內部。氣體排放機構318包含壓力控制閥319以及排放幫浦320,並且可從電漿室304內移除微粒,並維持電漿室304內的特定壓力。
溫度控制器380藉由控制冷卻電源384,而控制夾頭電極308內所設置之冷卻循環系統的溫度。此電漿處理系統亦包含電子控制電路370。此電漿處理系統亦可具有終點偵測器。
圖4A與4B顯示電腦系統400,其適合實施用於控制電路370的控制器,此控制電路可用於本發明的實施例。圖4A顯示此電腦系統的一可行實體形式。當然,此電腦系統可具有許多實體形式,其從積體電路、印刷電路板、以及小型手持式裝置分佈上至大型超級電腦。電腦系統400包含監視器402、顯示器404、外殼406、磁碟機408、鍵盤410、以及游標控制器412。磁碟414為一種用以將資料傳輸進出電腦系統400的電腦可讀取媒體。
圖4B係電腦系統400之方塊圖的一範例。多樣化的子系統被連接至系統匯流排420。處理器422(亦稱為中央處理單元(central processing units),或CPU's)被耦合至包含記憶體424的儲存裝置。記憶體424包含隨機存取記憶體(RAM,random access memory)以及唯讀記憶體(ROM,read-only memory)。此為在本技術領域中所熟知,ROM用以將資料以及指令單向地傳輸至CPU,而RAM典型上係以雙向方式傳輸資料以及指令。此兩種記憶體可包含下述其中任一合適的電腦可讀取媒體。固定式磁碟426亦被雙向耦合至CPU 422;其可提供額外的資料儲存容量,並且亦可包含下述其中任一電腦可讀取媒體。固定式磁碟426可用以儲存程式、資料等等,並且典型上為一種比例如硬碟的主儲存器(primary storage)更慢的輔助儲存媒體(secondary storage medium)。吾人可明白在適當情況下,保留在固定式磁碟426內的資訊可以作為虛擬記憶體而以標準形式併入記憶體424中。可移除式磁碟414可採用下述其中任一電腦可讀取媒體的形式。
CPU 422亦被耦合至種種輸入/輸出裝置,例如顯示器404、鍵盤410、游標控制器412、以及揚聲器430。一般而言,輸入/輸出裝置可為下列其中任一:視訊顯示器、軌跡球、游標控制器、鍵盤、擴音器、觸摸感應顯示器、訊號轉換讀卡機(transducer card reader)、磁帶或紙帶讀出器、輸入板(tablets)、記錄針(styluses)、聲音或手寫辨識器、生物特徵識別器、或其他電腦。CPU 422可使用網路介面440而任意地耦合至另一個電腦或電信網路。有了此種網路介面,吾人可考量到當執行上述方法步驟時,CPU可從網路接收資訊,或可將資訊輸出至網路。再者,本發明之方法實施例可在CPU 422上單獨執行,或在例如網際網路的網路上執行,而與分擔一部分處理之遠端CPU結合。
此外,本發明之實施例係進一步關於具有電腦可讀取媒體的電腦儲存產品,此電腦儲存產品內含具有執行各種電腦執行操作的電腦碼。此媒體與電腦碼可以係為了本發明之目的而特地設計與製造,或其可屬於熟習電腦軟體技藝者所熟知與可購得的種類。實際電腦可讀取媒體的範例包含但不限於:磁性媒體,例如硬碟、軟碟、以及磁帶;光學媒體,例如CD-ROMs以及全像(holographic)裝置;磁-光媒體,例如軟磁光碟(floptical disks);以及專門用以儲存與執行程式碼的硬體裝置,例如特定應用積體電路(ASICs,application-specific integrated circuits)、可程式化邏輯裝置(PLDs,programmable logic devices)以及ROM與RAM裝置。電腦碼的範例包含例如編譯器(compiler)所產生的機械碼,以及以電腦利用解譯器(interpreter)所執行之含有較高階碼的檔案。電腦可讀取媒體亦可以係由載波所收錄之電腦資料信號所傳輸並呈現可被處理器所執行之指令序列的電腦碼。
對圖案化光罩220進行處理以降低特低頻線寬粗糙度(步驟104)。此可藉由下列方式加以達成:首先,使含有H2的處理氣體流入處理室內,其中此處理氣體具有一流率,而H2具有至少為處理氣體流率之50%的流率。較佳為,此處理氣體係實質上由H2與Ar所組成。更佳為,此處理氣體係實質上由H2所組成。此處理氣體不含鹵素。使用低偏壓將此氣體形成為電漿(步驟112)。較佳為,用於此低偏壓的偏壓係介於0到100伏特之間。更佳為,用於此低偏壓的偏壓係介於0到50伏特之間。最佳為,用於此低偏壓的偏壓係0伏特。停止處理氣體的流動(步驟116),以結束光罩處理。
處理配方的一具體範例為以10mT的壓力來提供100sccm H2與100sccm Ar的H2處理氣體。此示範配方的處理氣體範圍可為以介於2-40mT之間的壓力來提供50-500sccm H2以及0-500sccm Ar。以13.56 MHz提供而用以從處理氣體形成電漿的功率為200-1500W。更具體來說,此功率為1000W。偏壓為0伏特。將靜電夾頭溫度設置為60℃。將此處理程序維持5-60秒。
圖5A-F為各種晶圓範例的CD-SEM(由上往下)。圖5A係一晶圓在處理前的CD-SEM。此晶圓的臨界尺寸為103.5nm。特低頻LWR為6.1nm。圖5B係圖5A之晶圓在經過此處理程序後的CD-SEM。臨界尺寸為119.1nm,而特低頻LWR為3.6nm。因此,特低頻LWR可藉由電漿處理而降低。圖6A係關於圖5B之晶圓由電漿處理所降低之LWR對檢測長度的圖。檢測長度係相對於LWR頻率。
圖5C係另一種晶圓在處理前的CD-SEM。此晶圓的臨界尺寸為69.8nm。特低頻LWR為5.9nm。圖5D係圖5C之晶圓在經過此處理程序後的CD-SEM。臨界尺寸為67.3nm,而特低頻LWR為3.9 nm。因此,特低頻LWR可藉由而降低。圖6B係關於圖5D之晶圓由電漿處理所降低之LWR對檢測長度的圖。
圖5E係另一種晶圓在處理前的CD-SEM。此晶圓的臨界尺寸為58.1 nm。特低頻LWR為4.2 nm。圖5F係圖5E之晶圓在經過此處理程序後的CD-SEM。臨界尺寸為57.1 nm,而特低頻LWR為2.8 nm。因此,特低頻LWR可藉由電漿處理而降低。圖6C關於圖5F之晶圓由電漿處理所降低之LWR對檢測長度的圖。
然後,根據蝕刻層的特定材料而使用習知有機ARC開啟程序來蝕刻有機ARC層216(步驟120)。圖2B係有機ARC層216被蝕刻後之堆疊體的示意圖。隨後吾人可使用圖案化光罩220及/或有機ARC層216來作為圖案化遮罩而對硬遮罩層212進行蝕刻。吾人可使用習知導電層蝕刻法,以硬遮罩212來作為圖案化遮罩而對導電層208進行蝕刻(步驟128)。在處理期間,光罩以及有機ARC可被剝離。圖2C係在導電層208與硬遮罩212被蝕刻後之堆疊體的示意圖,其中光罩與有機ARC已被剝離。其他處理可進一步用以形成半導體裝置。然後,從感應耦合TCP處理室移除晶圓(步驟132)。因此,本發明範例可在單一感應耦合電漿處理室內原位(in situ)執行用以降低特低頻LWR的處理、有機ARC開啟、硬遮罩開啟以及導電層蝕刻。在本實施例中,有機ARC層216為可在H2處理之後進行蝕刻的蝕刻層。
不被理論所束縛,吾人可預料到無法降低在圖案化光阻中具有大於500 nm(較佳為550 nm)之重複率的特低頻線邊緣粗糙度。但意外發現到具有低偏壓的H2電漿處理可降低特低頻線寬粗糙度。
其他實施例
在其他實施例中,用以降低特低頻LWR的H2處理可在其他圖案化有機遮罩上被加以執行。例如,已使用習知處理進行開啟的有機ARC層可具有特低頻LWR。然後H2處理可用在已開啟的有機ARC層,以降低特低頻LWR。在此種範例中,除了有機ARC層可為蝕刻層以外,硬遮罩層亦可為在H2處理之後進行蝕刻的蝕刻層。
在另一實施例中,吾人可在H2處理期間使用高偏壓功率。在其他實施例中,蝕刻層或位於蝕刻層下方的其他層可為介電層。此種實施例可具有ARC層或可不具有ARC層或可具有一層以上的附加層。此種實施例可或可不具有導電層及/或硬遮罩層。假使蝕刻層為介電層時,一實施例可使用電容耦合處理室,以替代感應耦合處理室。在其他實施例中,除了蝕刻以外,此處理可在不同腔室內完成。
雖然本發明已就數個較佳實施例來進行說明,但仍存在有落入本發明之範圍內的修改、置換以及各種等效替代。吾人亦應可注意到存在有許多用以實施本發明之方法與設備的替代方式。因此,此意指以下隨附請求項可被視為包含所有此種落入本發明之真實精神與範圍內的修改、置換、以及各種等效替代。
204...晶圓
208...導電層
212...硬遮罩層
216...有機抗反射塗佈層
220...圖案化光罩
300...電漿處理系統
301...電漿處理工具
302...電漿反應器
304...電漿處理室
306...基板
308...夾頭電極
310...氣體供應機構
316...氣體源
317...氣體歧管
318...氣體排放機構
319...壓力控制閥
320...排放幫浦
324...電漿
350...變壓器耦合功率控制器
351...TCP電源
352...TCP匹配網路
353...TCP線圈
354...RF透明窗
355...偏壓功率控制器
356...偏壓電源
357...偏壓匹配網路
370...電子控制電路
380...溫度控制器
384...冷卻電源
400...電腦系統
402...監視器
404...顯示器
406...外殼
408...磁碟機
410...鍵盤
412...游標控制器
414...可移除式磁碟
420...系統匯流排
422...處理器
424...記憶體
426...固定式磁碟
430...揚聲器
440...網路介面
在隨附圖式的圖形中,本發明係藉由舉例方式而非限制方式來加以說明,並且在這些圖式中,相同的參考符號係參照相同的元件,於其中:圖1係可用於本發明之一實施例之程序的高階流程圖;圖2A-C係依照本發明之一實施例之蝕刻堆疊體的概略橫剖面圖;圖3係可用於實施本發明之電漿處理室的示意圖;圖4A-B顯示電腦系統,其適合實施用於本發明之實施例的控制器;圖5A-F係藉由本發明實施例的範例所進行處理之晶圓的CD-SEMs;圖6A-C係由上述本發明實施例之範例所產生的圖;圖7係具有遮罩之晶圓的CD-SEM(由上往下),其用以顯示LWR;及圖8顯示典型被採用以獲得LWR對檢測長度曲線的順序。
102...將晶圓放置在腔室內
104...對光罩進行處理以降低特低頻LWR
108...使H2處理氣體流動
112...形成電漿
116...停止H2處理氣體
120...對蝕刻層進行蝕刻
124...對硬遮罩層進行蝕刻
128...對導電層進行蝕刻
132...從腔室移除晶圓

Claims (16)

  1. 一種在蝕刻層內形成蝕刻特徵部時用以降低特低頻線寬粗糙度(LWR,line width roughness)的方法,該蝕刻層係配置在一圖案化有機遮罩下方,該特低頻線寬粗糙度具有大於500nm的粗糙重複長度,該方法包含下列步驟:對一圖案化有機遮罩進行處理,以降低該圖案化有機遮罩之具有大於500nm的粗糙重複長度的特低頻線寬粗糙度,包含:使一包含H2的處理氣體流動,其中該處理氣體具有一流率,而H2具有至少為該處理氣體流率之50%的一流率;從該處理氣體形成電漿;及停止該處理氣體的流動;及透過具有已降低之特低頻線寬粗糙度之已處理的該圖案化有機遮罩,對該蝕刻層進行蝕刻。
  2. 如申請專利範圍第1項所述之在蝕刻層內形成蝕刻特徵部時用以降低特低頻線寬粗糙度的方法,其中形成電漿的該步驟係使用介於0到100伏特之間的低偏壓。
  3. 如申請專利範圍第2項所述之在蝕刻層內形成蝕刻特徵部時用以降低特低頻線寬粗糙度的方法,其中該處理氣體不含鹵素。
  4. 如申請專利範圍第2項所述之在蝕刻層內形成蝕刻特徵部時用以降低特低頻線寬粗糙度的方法,其中該處理氣體實質上係由Ar與H2所組成。
  5. 如申請專利範圍第2項所述之在蝕刻層內形成蝕刻特徵部時用以降低特低頻線寬粗糙度的方法,其中該處理氣體實質上係由H2所組成。
  6. 如申請專利範圍第5項所述之在蝕刻層內形成蝕刻特徵部時用 以降低特低頻線寬粗糙度的方法,其中形成電漿的該步驟係使用不超過1500瓦特的RF功率。
  7. 如申請專利範圍第6項所述之在蝕刻層內形成蝕刻特徵部時用以降低特低頻線寬粗糙度的方法,其中該低偏壓係介於0到50伏特之間。
  8. 如申請專利範圍第6項所述之在蝕刻層內形成蝕刻特徵部時用以降低特低頻線寬粗糙度的方法,其中該低偏壓為0伏特。
  9. 如申請專利範圍第8項所述之在蝕刻層內形成蝕刻特徵部時用以降低特低頻線寬粗糙度的方法,其中該圖案化有機遮罩的特低頻線寬粗糙度,在經過處理之後小於在經過處理之前的特低頻線寬粗糙度。
  10. 如申請專利範圍第9項所述之在蝕刻層內形成蝕刻特徵部時用以降低特低頻線寬粗糙度的方法,更包含:在處理該圖案化有機遮罩之前,將具有該蝕刻層以及該圖案化有機遮罩的一晶圓放置在一處理室內;及在對該蝕刻層進行蝕刻之後,從該處理室移除該晶圓。
  11. 如申請專利範圍第10項所述之在蝕刻層內形成蝕刻特徵部時用以降低特低頻線寬粗糙度的方法,其中該處理室為一感應耦合TCP處理室。
  12. 如申請專利範圍第11項所述之在蝕刻層內形成蝕刻特徵部時用以降低特低頻線寬粗糙度的方法,其中該有機遮罩為一光罩。
  13. 如申請專利範圍第1項所述之在蝕刻層內形成蝕刻特徵部時用以降低特低頻線寬粗糙度的方法,其中該處理氣體不含鹵素。
  14. 如申請專利範圍第1項所述之在蝕刻層內形成蝕刻特徵部時用以降低特低頻線寬粗糙度的方法,其中該處理氣體實質上係由Ar與H2所組成。
  15. 一種在蝕刻層內形成蝕刻特徵部時用以降低特低頻線寬粗糙度(LWR)的方法,其中該特低頻線寬粗糙度具有大於500nm的粗糙重複長度,該蝕刻層係配置在一圖案化有機遮罩下方,一硬遮罩層係位於該蝕刻層下方,以及一導電層係位於該硬遮罩層下方,該方法包含下列步驟:對該圖案化有機遮罩進行處理,以降低該圖案化有機遮罩之具有大於500nm的粗糙重複長度的特低頻線寬粗糙度,包含:使一包含H2的處理氣體流動,其中該處理氣體具有一流率,而H2具有至少為該處理氣體流率之50%的一流率;從該處理氣體形成電漿;停止該處理氣體的流動;透過具有已降低之特低頻線寬粗糙度之已處理的該圖案化有機遮罩,對該蝕刻層進行蝕刻;對該硬遮罩層進行蝕刻;及在從一處理室移除一晶圓之前,對該導電層進行蝕刻,俾使該圖案化有機遮罩的處理、該蝕刻層的蝕刻、該硬遮罩層的蝕刻、以及該導電層的蝕刻皆在同一處理室內原位(in situ)完成。
  16. 一種在導電層內形成蝕刻特徵部時用以降低特低頻線寬粗糙度(LWR)的方法,該特低頻線寬粗糙度具有大於500nm的粗糙重複長度,該導電層係配置在一硬遮罩層下方,該硬遮罩層係配置在一抗反射塗佈層下方,而該抗反射塗佈層係配置在一圖案化光阻遮罩下方,如此在一晶圓上形成一堆疊體,該方法包含下列步驟:將一晶圓放置在一處理室內; 對該圖案化光阻遮罩進行處理,以降低該圖案化光阻遮罩之具有大於500nm的粗糙重複長度的特低頻線寬粗糙度,包含:使一包含H2的處理氣體流入該處理室,其中該處理氣體具有一流率,而H2具有至少為該處理氣體流率之50%的一流率;從該處理氣體形成電漿;及停止該處理氣體的流動;透過已處理的該圖案化光阻遮罩,對該抗反射塗佈層進行蝕刻;透過該抗反射塗佈層,對該硬遮罩層進行蝕刻;透過該硬遮罩層,對該導電層進行蝕刻;及從該處理室移除該晶圓,俾使該圖案化光阻遮罩的處理、該抗反射塗佈層的蝕刻、該硬遮罩層的蝕刻、以及該導電層的蝕刻皆在同一處理室內原位完成。
TW098124225A 2008-07-17 2009-07-17 藉由氫電漿處理改善有機遮罩線寬粗糙度 TWI525693B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/175,153 US8298958B2 (en) 2008-07-17 2008-07-17 Organic line width roughness with H2 plasma treatment

Publications (2)

Publication Number Publication Date
TW201009931A TW201009931A (en) 2010-03-01
TWI525693B true TWI525693B (zh) 2016-03-11

Family

ID=41530667

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098124225A TWI525693B (zh) 2008-07-17 2009-07-17 藉由氫電漿處理改善有機遮罩線寬粗糙度

Country Status (5)

Country Link
US (2) US8298958B2 (zh)
KR (1) KR101690337B1 (zh)
CN (1) CN102089868B (zh)
TW (1) TWI525693B (zh)
WO (1) WO2010008967A2 (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US8877641B2 (en) * 2009-12-28 2014-11-04 Spansion Llc Line-edge roughness improvement for small pitches
JP5916056B2 (ja) * 2010-08-23 2016-05-11 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8435727B2 (en) 2010-10-01 2013-05-07 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying photoresist using electromagnetic radiation and ion implantation
CN102915922B (zh) * 2011-08-03 2015-04-22 中芯国际集成电路制造(北京)有限公司 一种制作半导体器件的方法
US20130267097A1 (en) * 2012-04-05 2013-10-10 Lam Research Corporation Method and apparatus for forming features with plasma pre-etch treatment on photoresist
US8999184B2 (en) 2012-08-03 2015-04-07 Lam Research Corporation Method for providing vias
JP6063264B2 (ja) * 2012-09-13 2017-01-18 東京エレクトロン株式会社 被処理基体を処理する方法、及びプラズマ処理装置
US8828744B2 (en) 2012-09-24 2014-09-09 Lam Research Corporation Method for etching with controlled wiggling
CN104345568A (zh) * 2013-08-07 2015-02-11 中芯国际集成电路制造(上海)有限公司 减小光刻胶图形线宽粗糙度的方法
CN104465333B (zh) * 2013-09-17 2017-12-29 中芯国际集成电路制造(上海)有限公司 光刻胶图形的形成方法、晶体管栅极的形成方法
KR20150093618A (ko) * 2014-02-07 2015-08-18 아이엠이씨 브이제트더블유 포스트-리소그래피 라인 폭 러프니스를 감소시키기 위한 플라즈마 방법
US9852924B1 (en) * 2016-08-24 2017-12-26 Lam Research Corporation Line edge roughness improvement with sidewall sputtering

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6335292B1 (en) 1999-04-15 2002-01-01 Micron Technology, Inc. Method of controlling striations and CD loss in contact oxide etch
US6326307B1 (en) 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6720247B2 (en) 2000-12-14 2004-04-13 Texas Instruments Incorporated Pre-pattern surface modification for low-k dielectrics using A H2 plasma
US6630288B2 (en) 2001-03-28 2003-10-07 Advanced Micro Devices, Inc. Process for forming sub-lithographic photoresist features by modification of the photoresist surface
US6936398B2 (en) 2001-05-09 2005-08-30 Massachusetts Institute Of Technology Resist with reduced line edge roughness
US6811956B1 (en) * 2002-06-24 2004-11-02 Advanced Micro Devices, Inc. Line edge roughness reduction by plasma treatment before etch
US7473377B2 (en) * 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method
US6923920B2 (en) 2002-08-14 2005-08-02 Lam Research Corporation Method and compositions for hardening photoresist in etching processes
US7022611B1 (en) 2003-04-28 2006-04-04 Lam Research Corporation Plasma in-situ treatment of chemically amplified resist
US7196017B2 (en) 2003-10-24 2007-03-27 Avago Technologies Fiber Ip (Singapore) Pte. Ltd. Method for etching smooth sidewalls in III-V based compounds for electro-optical devices
US6949460B2 (en) 2003-11-12 2005-09-27 Lam Research Corporation Line edge roughness reduction for trench etch
US20050211668A1 (en) * 2004-03-26 2005-09-29 Lam Research Corporation Methods of processing a substrate with minimal scalloping
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US7316785B2 (en) 2004-06-30 2008-01-08 Lam Research Corporation Methods and apparatus for the optimization of etch resistance in a plasma processing system
JP2006038779A (ja) * 2004-07-30 2006-02-09 Hitachi High-Technologies Corp パターン形状評価方法、評価装置、及び半導体装置の製造方法
JP2006086500A (ja) 2004-08-18 2006-03-30 Toshiba Corp 半導体装置の製造方法
US7053003B2 (en) 2004-10-27 2006-05-30 Lam Research Corporation Photoresist conditioning with hydrogen ramping
JP4492949B2 (ja) * 2004-11-01 2010-06-30 ルネサスエレクトロニクス株式会社 電子デバイスの製造方法
US7196014B2 (en) 2004-11-08 2007-03-27 International Business Machines Corporation System and method for plasma induced modification and improvement of critical dimension uniformity
US7488689B2 (en) * 2004-12-07 2009-02-10 Tokyo Electron Limited Plasma etching method
KR20070107017A (ko) 2004-12-30 2007-11-06 어플라이드 머티어리얼스, 인코포레이티드 트리밍과 호환되는 라인 에지 조도 감소 방법
US20060154184A1 (en) 2005-01-12 2006-07-13 International Business Machines Corporation Method for reducing feature line edge roughness
KR20070047624A (ko) 2005-11-02 2007-05-07 주성엔지니어링(주) 박막 패턴 형성 방법
US20070181530A1 (en) 2006-02-08 2007-08-09 Lam Research Corporation Reducing line edge roughness
JP4717658B2 (ja) 2006-02-17 2011-07-06 ソニー株式会社 パターン形成方法および半導体装置の製造方法
JP5362176B2 (ja) 2006-06-12 2013-12-11 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8367303B2 (en) 2006-07-14 2013-02-05 Micron Technology, Inc. Semiconductor device fabrication and dry develop process suitable for critical dimension tunability and profile control
US7572386B2 (en) * 2006-08-07 2009-08-11 Tokyo Electron Limited Method of treating a mask layer prior to performing an etching process
US7642193B2 (en) 2006-08-07 2010-01-05 Tokyo Electron Limited Method of treating a mask layer prior to performing an etching process
US7435671B2 (en) 2006-08-18 2008-10-14 International Business Machines Corporation Trilayer resist scheme for gate etching applications
US7373216B1 (en) 2007-03-30 2008-05-13 Tokyo Electron Limited Method and apparatus for verifying a site-dependent wafer

Also Published As

Publication number Publication date
US20100015809A1 (en) 2010-01-21
KR101690337B1 (ko) 2016-12-27
KR20110040933A (ko) 2011-04-20
CN102089868B (zh) 2014-04-02
US8298958B2 (en) 2012-10-30
US20130087284A1 (en) 2013-04-11
WO2010008967A3 (en) 2010-03-25
TW201009931A (en) 2010-03-01
CN102089868A (zh) 2011-06-08
WO2010008967A2 (en) 2010-01-21

Similar Documents

Publication Publication Date Title
TWI525693B (zh) 藉由氫電漿處理改善有機遮罩線寬粗糙度
KR101392121B1 (ko) 잔유물 없는 하드마스크 트림
TWI446437B (zh) 深度矽蝕刻時之遮罩底切的最小化
TWI446438B (zh) 用以控制微負載效應之脈衝偏壓電漿處理
KR101433987B1 (ko) 에칭 동안 라인 말단 단축의 감소 방법
JP5632280B2 (ja) 異なるアスペクト比の構成を誘電層内にエッチングするための方法、及びその方法によって作成される半導体デバイス、並びにそのための装置
US8329585B2 (en) Method for reducing line width roughness with plasma pre-etch treatment on photoresist
TWI467651B (zh) 活性硬遮罩電漿蝕刻時之現場光阻剝除
TWI528446B (zh) 利用惰性氣體電漿改善線寬粗度
WO2010080655A2 (en) Spacer formation for array double patterning
JP2008512853A (ja) 均一性を制御したエッチング
US20090130855A1 (en) Phase change alloy etch
US8668805B2 (en) Line end shortening reduction during etch
TW201816886A (zh) 以側壁濺射達成之線邊緣粗糙度改良

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees