JP2008512853A - 均一性を制御したエッチング - Google Patents

均一性を制御したエッチング Download PDF

Info

Publication number
JP2008512853A
JP2008512853A JP2007529970A JP2007529970A JP2008512853A JP 2008512853 A JP2008512853 A JP 2008512853A JP 2007529970 A JP2007529970 A JP 2007529970A JP 2007529970 A JP2007529970 A JP 2007529970A JP 2008512853 A JP2008512853 A JP 2008512853A
Authority
JP
Japan
Prior art keywords
wafer
etching
layer
outer edge
photoresist mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2007529970A
Other languages
English (en)
Inventor
カワグチ・セイジ
健二 竹下
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2008512853A publication Critical patent/JP2008512853A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】
【解決手段】ウエハ上に半導体素子を形成する方法が提供されている。エッチング層が、ウエハの上に形成される。フォトレジストマスクが、エッチング層の上に形成される。フォトレジストマスクは、ウエハの外縁付近のみ除去されて、ウエハの外縁付近のエッチング層が露出される。炭素および水素を含有する種を備えた蒸着ガスが供給される。蒸着ガスから、プラズマが形成される。ポリマ層が、ウエハの外縁付近の露出エッチング層に蒸着される。この時、ポリマは、蒸着ガス由来のプラズマから形成される。フォトレジストマスクと、ウエハの外縁付近の露出エッチング層に蒸着されたポリマとが消費されつつ、フォトレジストマスクを介してエッチング層がエッチングされる。
【選択図】図1

Description

本発明は、半導体素子の製造に関する。特に、本発明は、エッチングされた層を有する半導体素子を加工するための改良技術に関する。
半導体ウエハの処理では、周知のパターニングおよびエッチング処理を用いて、ウエハ内に半導体素子の形状が規定される。これらの処理では、フォトレジスト(PR)材料が、ウエハに蒸着され、次いで、レチクルによってフィルタリングされた光に露出される。レチクルとは、一般に、光がレチクルを通して伝わることを防ぐ代表的な幾何形状を有するようパターニングされたガラス板である。
レチクルを通過した後、光は、フォトレジスト材料の表面に当たる。光は、現像剤がフォトレジスト材料の一部を除去できるように、フォトレジスト材料の化学組成を変化させる。ポジ型フォトレジスト材料の場合には、露光された領域が除去され、ネガ型フォトレジスト材料の場合には、露光されていない領域が除去される。その後、ウエハをエッチングして、もはやフォトレジスト材料によって保護されていない領域から下層の材料を除去することにより、ウエハ内の所望の形状を規定する。
様々な世代のフォトレジストが知られている。素子のサイズを小さくして素子の密度を高くするためには、193nm世代のフォトレジスト、157nm世代のフォトレジスト、および、より小さい世代のフォトレジストが、望ましい。193nmおよび157nm世代のフォトレジストは、比較的柔らかくてよく、ポリマ材料であってよい。
上述およびその他の目的を実現するために、本発明の趣旨に従って、ウエハ上に半導体素子を形成するための方法が提供されている。エッチング層が、ウエハの上に形成される。フォトレジストマスクが、エッチング層の上に形成される。フォトレジストマスクは、ウエハの外縁付近のみ除去されて、ウエハの外縁付近のエッチング層が露出される。炭素および水素を含有する種を備えた蒸着ガスが供給される。蒸着ガスから、プラズマが形成される。ポリマ層が、ウエハの外縁付近の露出エッチング層に蒸着される。この時、ポリマは、蒸着ガス由来のプラズマから形成される。フォトレジストマスクと、ウエハの外縁付近の露出エッチング層に蒸着されたポリマとが消費されつつ、フォトレジストマスクを介してエッチング層がエッチングされる。
添付の図面を参照しつつ行う本発明の詳細な説明において、本発明の上述の特徴およびその他の特徴を詳述する。
以下では、添付図面に例示された、いくつかの好ましい実施形態を参照して、本発明の詳しい説明を行う。以下の説明では、本発明の完全な理解を促すために、数多くの具体的な詳細事項が示されている。しかしながら、当業者にとって明らかなように、本発明は、これらの具体的な詳細事項の一部または全てがなくとも実施することが可能である。そのほか、本発明が不必要に不明瞭となるのを避けるため、周知の処理ステップおよび/または構造については、詳細な説明を省略した。
理解しやすいように、本発明の一実施形態で利用される処理を示す高レベルのフローチャートを図1に示す。エッチング層が、ウエハの上に形成される(ステップ104)。図2Aは、ウエハ204の外縁206におけるウエハ204の断面図である。エッチング層208が、ウエハ204の上に形成される(ステップ104)。エッチング層は、導電層または誘電体層であってよい。フォトレジストマスク212が、エッチング層208の上に形成される(ステップ108)。この実施形態では、フォトレジストマスク212が形成される前に、BARCなどの反射防止膜(ARC)210が、エッチング層208の上に配置され、その結果、ARC 214は、エッチング層208とフォトレジストマスク212との間に配置される。エッチング層208とフォトレジストマスク212との間に、他の層が配置されてもよい。
ウエハの外縁付近のフォトレジストは、図2Bに示すように、ウエハの外縁付近のエッチング層を露出するために、除去される(ステップ112)。この例では、ウエハの外縁付近のエッチング層を露出させるために、ウエハの外縁付近のエッチング層216の上の有機材料がすべて除去され、その結果、有機BARC 210も除去される。図5は、ウエハの外縁付近のフォトレジストマスク212を除去してエッチング層208を露出させたウエハ204の上面図である。一般に、ウエハの外縁付近から、フォトレジストやBARCなどの有機材料を2ないし3mm除去して、剥離による粒子の源を排除する。
好ましい実施形態では、ウエハ204は、エッチングチャンバ内に配置される(ステップ114)。図3は、この実施例で利用可能な層蒸着、エッチング、および、剥離で用いることのできるプラズマ処理チャンバ300を示す説明図である。プラズマ処理チャンバ300は、閉じ込めリング302と、上側電極304と、下側電極308と、ガス源310と、排気ポンプ320とを備える。プラズマ処理チャンバ300内で、ウエハ204は、下側電極308の上に配置される。下側電極308は、ウエハ204を保持するのに適切な基板保持機構(例えば、静電チャック、機械的クランプなど)を備える。リアクタ上部328は、下側電極308のちょうど反対側に配置された上側電極304を備える。上側電極304、下側電極308、および、閉じ込めリング302は、閉じ込めプラズマ容積を規定する。ガスは、ガス源310によって、閉じ込めプラズマ容積に供給され、排気ポンプ320によって、閉じ込めリング302および排気口を通って、閉じ込めプラズマ容積から排気される。上側電極304には、第1のRF電源344が、電気的に接続されている。下側電極308には、第2のRF電源348が、電気的に接続されている。チャンバ壁352が、閉じ込めリング302、上側電極304、および、下側電極308を取り囲んでいる。第1のRF源344および第2のRF源348は両方とも、27MHz電源と2MHz電源とを備えてよい。他の組み合わせで電極にRF電源を接続してもよい。カリフォルニア州フレモントのLAM Research Corporation(商標)が製造し、本発明の好ましい実施形態で利用可能である、2300Flex(商標)、Exelan HPT、または、2300(商標)Exelanの場合には、27MHzおよび2MHz電源の両方が、下側電極に接続された第2のRF電源348を形成し、上側電極は、接地される。RF電源344、348、排気ポンプ320、および、ガス源310には、制御部335が、制御可能なように接続されている。
図4Aおよび4Bは、本発明の実施形態で用いられる制御部335の実施に適したコンピュータシステム800を示す図である。図4Aは、コンピュータシステムの物理的形状の一例を示す。もちろん、コンピュータシステムは、集積回路、プリント基板、および、小型携帯デバイスから大型スーパコンピュータまで、多くの物理的形状を有してよい。コンピュータシステム800は、モニタ802と、ディスプレイ804と、筐体806と、ディスクドライブ808と、キーボード810と、マウス812とを備える。ディスク814は、コンピュータシステム800とデータをやり取りするために用いられるコンピュータ読み取り可能な媒体である。
図4Bは、コンピュータシステム800のブロック図の一例である。システムバス820には、様々なサブシステムが取り付けられている。1または複数のプロセッサ822(中央処理装置すなわちCPUとも呼ぶ)が、メモリ824などの記憶装置に接続されている。メモリ824は、ランダムアクセスメモリ(RAM)および読み出し専用メモリ(ROM)を含む。当技術分野で周知のように、ROMは、CPUに対して単方向的にデータや命令を転送するよう機能し、RAMは、通例、双方向的にデータや命令を転送するために用いられる。これらの種類のメモリは両方とも、後に示す任意の適切なコンピュータ読み取り可能媒体を備えてよい。CPU 822には、さらに、固定ディスク826が、双方向的に接続されており、さらなるデータ記憶容量を提供している。固定ディスク826は、後に示すコンピュータ読み取り可能媒体のいずれを備えてもよい。固定ディスク826は、プログラムやデータなどを格納するために用いられてよく、通例は、一次記憶装置よりも遅い二次記憶媒体(ハードディスクなど)である。固定ディスク826内に保持された情報は、必要に応じて、メモリ824内の仮想メモリとして標準的な方法で組み込まれてよいことを理解されたい。リムーバブルディスク814は、後に示すコンピュータ読み取り可能媒体のいずれの形態を取ってもよい。
CPU822は、さらに、ディスプレイ804、キーボード810、マウス812、および、スピーカ830など、様々な入力/出力装置に接続されている。一般に、入力/出力装置は、ビデオディスプレイ、トラックボール、マウス、キーボード、マイク、タッチセンサ式ディスプレイ、トランスデューサ式カードリーダ、磁気または紙テープリーダ、タブレット、スタイラス、音声または手書き認識装置、バイオメトリクスリーダ、または、他のコンピュータ、のいずれであってもよい。CPU822は、必要に応じて、ネットワークインターフェース840を用いて、他のコンピュータや電気通信ネットワークに接続されてもよい。かかるネットワークインターフェースを用いることにより、CPUは、上述の方法のステップを実行する途中で、ネットワークから情報を受信、または、ネットワークに情報を出力してよいことが意図される。さらに、本発明の方法の実施形態は、CPU822単体で実行されてもよいし、インターネットなどのネットワーク上で、処理の一部を分担する遠隔CPUと協調して実行されてもよい。
さらに、本発明の実施形態は、様々なコンピュータによる動作を実行するためのコンピュータコードを有するコンピュータ読み取り可能な媒体を備えたコンピュータストレージ製品に関する。媒体およびコンピュータコードは、本発明のために、特別に設計および構成されてもよいし、コンピュータソフトウェア分野における当業者にとって周知および利用可能なものであってもよい。コンピュータ読み取り可能な媒体の例としては、フラッシュメモリカード;ハードディスク、フレキシブルディスク、磁気テープなどの磁気媒体;CD−ROM、ホログラフィック素子などの光学媒体;フロプティカルディスクなどの光磁気媒体;特定用途向け集積回路(ASIC)、プログラム可能論理回路(PLD)、ROMおよびRAMなど、プログラムコードを格納および実行するよう特別に構成されたハードウェア装置、が挙げられるが、それらに限定されない。コンピュータコードの例としては、コンパイラによって生成されたコードなどのマシンコードや、インタープリタを用いてコンピュータによって実行される高級言語コードを含むファイルが挙げられる。コンピュータ読み取り可能な媒体は、搬送波で具現化されたコンピュータデータ信号によって転送されると共にプロセッサが実行可能な一連の命令を表すコンピュータコードであってもよい。
蒸着ガスが、エッチングチャンバに供給される(ステップ116)。蒸着ガスは、ポリマの形成を可能にする1または複数の(少なくとも1つの)種を含む。これは、炭素および水素を含有する種を必要とする。さらに、蒸着ガスは、フッ素を含有する種を有することが望ましい。
蒸着ガスから、プラズマが形成される(ステップ120)。RF電源からの電力を用いて、蒸着ガスを励起し、プラズマを形成させる。ポリマ層が、ウエハの外縁付近の露出エッチング層に蒸着される(ステップ124)。この時、ポリマは、蒸着ガス由来のプラズマから形成される。図2Cは、ウエハの外縁付近の露出エッチング層216にポリマ220が蒸着された後のウエハ204の一部を示す断面図である。蒸着されたポリマ220は、ウエハ216の外縁のエッチング層に蒸着された様子のみが示されているが、一部の蒸着処理は、ウエハ表面全体にポリマを蒸着してもよい。ポリマの蒸着をウエハの外縁216に最適に限定できるほどの制御を行うことが望ましい。
誘電体層がエッチングされる(ステップ128)。図2Dは、誘電体層208に形状224がエッチングされた後のウエハ204を示す断面図である。
理論に縛られることは望まないが、ウエハの外縁の露出誘電体層の上にポリマが存在すると、ウエハにわたって、より均一なエッチングが可能となり、エッチング深さの均一性とプロファイル変動の均一性が実現されると考えられる。蒸着層がない場合には、エッチング層は、プラズマに直接露出され、その結果、ウエハの他の領域よりもエッチング処理中にエッチングイオンおよびラジカル種を多く消費することになり、ウエハの中央部付近のエッチング速度よりもウエハの縁部付近のエッチング速度の方が遅くなると考えられる。さらに、ウエハの縁部付近の形状のプロファイルは、ウエハの外縁では露出領域から供給される側面保護のためのポリマ源がないために、湾曲する傾向がある。ウエハの外縁の露出エッチング層の上に保護ポリマ層を提供することにより、外縁におけるエッチング層を保護してエッチングイオンおよびラジカル種の消費を低減すると共に、外縁にポリマ源を提供してエッチングの均一性を高め湾曲を低減することができる。
炭素、水素、およびフッ素の種を含む蒸着ガスを供給することにより、ハイドロフルオロカーボンポリマが形成されることがわかっている。かかるポリマは、最良の結果をもたらすことがわかった。
フォトレジストマスクに対して、エッチング層に蒸着層を選択的に蒸着することが好ましい。ウエハの外縁の露出エッチング層に蒸着層を選択的に蒸着することが、より好ましい。
実施例
本発明の一実施例では、シリコンウエハの上に、SiC、SiCOH、TEOSの順に、エッチング層が蒸着される(ステップ104)。レジスト状の有機材料であるARC層が、エッチング層の上に形成される。エッチング層の上のARC層には、フォトレジストマスクが形成される(ステップ108)。この実施例では、フォトレジストマスクは、好ましくは248nm用フォトレジスト(例えば、KrF用)からなる。別の実施形態では、i−line用など、別のフォトレジストや、ArF用など、193nm以下の波長用のフォトレジストを用いてもよい。ウエハの縁部から3mm以内のフォトレジストが除去される。湿式剥離レジスト現像剤を用いた湿式剥離によって、ウエハの縁部付近のフォトレジストが除去される(ステップ112)。ウエハは、エッチングチャンバ内に配置される(ステップ114)。この実施例では、エッチングチャンバは、二重周波数エッチングリアクタである。
エッチングチャンバでは、350sccmのArと3.5sccmのO2と50sccmのCH3Fとを含む蒸着ガスが、エッチングチャンバに供給される(ステップ116)。この時、チャンバの圧力は、60mTorrに維持される。蒸着ガスから、プラズマが形成される(ステップ120)。この実施例では、RF電源は、27MHzで800ワットの電力と、2MHzで200ワットの電力とを供給する。さらに、下側電極の温度は、20℃であり、チャックにおけるヘリウムの背面横圧は、20Torrに維持される。ポリマ層が、ウエハの外縁付近の露出エッチング層に蒸着される(ステップ124)。この時、ポリマは、蒸着ガス由来のプラズマから形成される。この実施例では、ポリマは、ハイドロフルオロカーボンポリマである。さらに、この実施例では、ポリマは、ウエハの外縁付近の露出エッチング層を含めて、ウエハ表面の全体に蒸着される。この実施例では、蒸着は、8秒間行われる。
次いで、エッチング層は、フォトレジストマスクを介してエッチングされる(ステップ128)。この実施例のエッチングのレシピでは、まず、主エッチングを実行する。主エッチングでの圧力は、70mTorrである。27MHzで1400ワットの電力が供給される。2MHzで1500ワットの電力が供給される。500sccmのArと、250sccmのN2と、8sccmのC48と、5sccmのCH22と、5sccmのO2とを含むエッチングガス流が供給され、主エッチングのために、プラズマに変換される。次いで、オーバエッチングが実行される。オーバエッチングでの圧力は、70mTorrである。27MHzで1400ワットの電力が供給される。2MHzで1800ワットの電力が供給される。500sccmのArと、150sccmのN2と、7sccmのC48と、5sccmのCH22と、100sccmのCOとを含むエッチングガス流が供給され、オーバエッチングのために、プラズマに変換される。
上述のように、8秒間の蒸着を行った後に、55秒間の主エッチングを行う本発明のエッチングと、同じエッチング処理を用いて、67秒間の主エッチングを行う対照エッチングとを比較した。本発明のエッチングでは、ウエハの中央部においては659Åのエッチング深さ、ウエハの縁部においては663Åのエッチング深さ、ウエハ縁部から3mmの位置では672Åのエッチング深さ、を有する形状が形成された。対照エッチングでは、ウエハの中央部においては608Åのエッチング深さ、ウエハの縁部においては580Åのエッチング深さ、ウエハ縁部から3mmの位置では555Åのエッチング深さ、を有する形状が形成された。本発明のエッチングは、対照エッチングの方がエッチング時間が長いにもかかわらず、対照エッチングよりも約12%深い形状を形成することに注意されたい。さらに、中央部のエッチング深さと、縁部から3mmの位置でのエッチング深さとの差が、本発明のエッチングでは、対照エッチングよりも小さいことに注意されたい。また、対照エッチングと本発明のエッチングとの比較により、本発明のエッチングでは、ウエハ縁部から3mmの位置の形状の湾曲が低減されていることがわかった。
酸素と、炭素および水素を含有する種のガスとの流量比は、0:100から1:1の間であることが好ましい。したがって、一部の実施形態では、蒸着ガスの中に酸素が存在しないため、蒸着の間に酸素が存在しない。酸素と、炭素および水素を含有する種のガスとの流量比は、0:100から1:2の間であることが、より好ましい。酸素と、炭素および水素を含有する種のガスとの流量比は、0:100から1:10の間であることが、最も好ましい。
炭素対水素の比が低い蒸着ガスでは、ポリマの形成が容易になる。例えば、CHF3を用いると1:1の比、CH22を用いると1:2の比、CH3Fを用いると1:3の比を得ることができる。
別の実施形態では、193nm以下用のフォトレジスト材料を用いてもよい。
周波数の高い方の電源によって供給される電力は、50から3,000ワットの間であることが好ましい。周波数が高い方の電源によって供給される電力は、100から2,000ワットの間であることが、より好ましい。周波数の高い方の電源によって供給される電力は、500から1,000ワットの間であることが、最も好ましい。
以上、いくつかの好ましい実施形態を参照しつつ本発明について説明したが、本発明の範囲内で、様々な代替物、置換物、および等価物が存在する。また、本発明の方法および装置を実施する他の態様が数多く存在することにも注意されたい。したがって、添付の特許請求の範囲は、本発明の真の趣旨および範囲内に含まれる代替物、置換物、変形物、および等価物の全てを網羅するものとして解釈される。
本発明の一実施形態で用いられる処理を示すフローチャート。 図1の処理に従って処理されたウエハの断面図。 図1の処理に従って処理されたウエハの断面図。 図1の処理に従って処理されたウエハの断面図。 図1の処理に従って処理されたウエハの断面図。 本発明の一実施形態で利用可能な処理チャンバを示す説明図。 制御部として利用可能なコンピュータシステムを示す説明図。 制御部として利用可能なコンピュータシステムを示す説明図。 ウエハの外縁付近のフォトレジストマスクを除去してエッチング層を露出させたウエハの上面図。

Claims (12)

  1. ウエハに半導体素子を形成する方法であって、
    ウエハの上にエッチング層を形成し、
    前記エッチング層の上にフォトレジストマスクを形成し、
    前記ウエハの外縁付近のみ前記フォトレジストマスクを除去して、前記ウエハの前記外縁付近の前記エッチング層を露出させ、
    炭素および水素を含有する種を備えた蒸着ガスを供給し、
    前記蒸着ガスからプラズマを形成し、
    前記ウエハの前記外縁付近の前記露出エッチング層の上にポリマ層を蒸着し、前記ポリマは前記蒸着ガス由来の前記プラズマから形成され、
    前記フォトレジストマスクと、前記ウエハの前記外縁付近の前記露出エッチング層に蒸着された前記ポリマとを消費しつつ、前記フォトレジストマスクを介して前記エッチング層をエッチングすることを備える、方法。
  2. 請求項1に記載の方法は、さらに、
    前記ウエハの前記外縁付近の前記フォトレジストマスクを除去した後に、前記ウエハをエッチングチャンバ内に配置することを備え、
    前記蒸着ガスの供給、前記蒸着ガスからのプラズマの形成、ポリマ層の蒸着、前記エッチング層のエッチングは、前記エッチングチャンバにおいて、その場で実行され、
    前記露出エッチング層に蒸着された前記ポリマ層は、前記ウエハにおけるエッチングの均一性を増大させる、方法。
  3. 請求項1ないし2のいずれかに記載の方法であって、前記蒸着ガスは、さらに、フッ素を含有する種を備え、前記ポリマ層は、ハイドロフルオロカーボンポリマである、方法。
  4. 請求項1ないし3のいずれかに記載の方法であって、前記ウエハの前記外縁付近のみにおける前記フォトレジストマスクの除去は、湿式剥離を用いて、前記ウエハの前記外縁付近のみ前記フォトレジストマスクを除去することを備える、方法。
  5. 請求項1ないし4のいずれかに記載の方法であって、前記ウエハの前記外縁付近のみにおける前記フォトレジストマスクの除去は、さらに、前記ウエハの前記外縁付近の2ないし3mmの前記フォトレジストマスクのみを除去することを備える、方法。
  6. 請求項1ないし5のいずれかに記載の方法であって、前記蒸着ガスにおける炭素対水素の比は、1:1から1:3の範囲である、方法。
  7. 請求項1ないし6のいずれかに記載の方法であって、前記蒸着ガスは、さらに、酸素を備える、方法。
  8. 請求項3ないし7のいずれかに記載の方法であって、前記炭素と水素とフッ素とを含有する種は、ハイドロフルオロカーボンである、方法。
  9. 請求項8に記載の方法であって、前記ハイドロフルオロカーボンは、CHF3、CH22、およびCH3Fの中の少なくとも1つである、方法。
  10. 請求項1ないし9のいずれかに記載の方法であって、前記エッチング層は、シリコンを備える、方法。
  11. 請求項1ないし10のいずれかに記載の方法であって、前記エッチング層は、酸化シリコン、SiC、およびSiCOHの中の少なくとも1つを備える、方法。
  12. 請求項1ないし11のいずれかに記載の方法であって、前記蒸着ガスは、さらに、Ar、N2、およびC48を備える、方法。
JP2007529970A 2004-09-03 2005-08-17 均一性を制御したエッチング Withdrawn JP2008512853A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/934,324 US7090782B1 (en) 2004-09-03 2004-09-03 Etch with uniformity control
PCT/US2005/029308 WO2006028673A1 (en) 2004-09-03 2005-08-17 Etch with uniformity control

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012199642A Division JP2013016844A (ja) 2004-09-03 2012-09-11 均一性を制御したエッチング

Publications (1)

Publication Number Publication Date
JP2008512853A true JP2008512853A (ja) 2008-04-24

Family

ID=35478640

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2007529970A Withdrawn JP2008512853A (ja) 2004-09-03 2005-08-17 均一性を制御したエッチング
JP2012199642A Withdrawn JP2013016844A (ja) 2004-09-03 2012-09-11 均一性を制御したエッチング

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2012199642A Withdrawn JP2013016844A (ja) 2004-09-03 2012-09-11 均一性を制御したエッチング

Country Status (6)

Country Link
US (1) US7090782B1 (ja)
JP (2) JP2008512853A (ja)
KR (1) KR101155843B1 (ja)
CN (1) CN100487874C (ja)
TW (1) TWI405265B (ja)
WO (1) WO2006028673A1 (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1978351A (zh) * 2005-12-02 2007-06-13 鸿富锦精密工业(深圳)有限公司 一种模仁保护膜的去除装置及方法
US8370836B2 (en) 2010-01-28 2013-02-05 Dell Products, Lp System and method to enable power related decisions in a virtualization environment
US8598040B2 (en) * 2011-09-06 2013-12-03 Lam Research Corporation ETCH process for 3D flash structures
JP5973763B2 (ja) * 2012-03-28 2016-08-23 東京エレクトロン株式会社 自己組織化可能なブロック・コポリマーを用いて周期パターン形成する方法及び装置
US20130267097A1 (en) * 2012-04-05 2013-10-10 Lam Research Corporation Method and apparatus for forming features with plasma pre-etch treatment on photoresist
CN103456623A (zh) * 2012-05-29 2013-12-18 上海宏力半导体制造有限公司 减少晶圆边缘聚合物沉积的刻蚀控制方法
CN103000513B (zh) * 2012-12-19 2015-10-28 复旦大学 一种多孔低介电常数材料SiCOH薄膜的刻蚀方法
US10386829B2 (en) * 2015-09-18 2019-08-20 Kla-Tencor Corporation Systems and methods for controlling an etch process
CN109273358A (zh) * 2018-08-31 2019-01-25 上海华力集成电路制造有限公司 晶圆的侧墙刻蚀方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11340207A (ja) * 1998-05-22 1999-12-10 Tokyo Electron Ltd エッチング方法
JP2003051443A (ja) * 2001-06-28 2003-02-21 Hynix Semiconductor Inc 半導体素子の微細パターン形成方法
WO2004034445A2 (en) * 2002-10-11 2004-04-22 Lam Research Corporation A method for plasma etching performance enhancement

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6455826A (en) * 1987-08-26 1989-03-02 Nec Corp Manufacture of semiconductor device
TW345681B (en) * 1996-12-13 1998-11-21 Taiwan Semiconductor Mfg Co Ltd Method for removing covering layer on the peripheral edge portion of wafer
JP3178379B2 (ja) 1997-07-29 2001-06-18 住友電装株式会社 電気接続箱
US5783482A (en) * 1997-09-12 1998-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method to prevent oxide peeling induced by sog etchback on the wafer edge
TW392228B (en) 1999-01-19 2000-06-01 United Microelectronics Corp Method for removing photoresist on wafer edge in manufacturing semiconductor devices
US6372634B1 (en) 1999-06-15 2002-04-16 Cypress Semiconductor Corp. Plasma etch chemistry and method of improving etch control
KR100343286B1 (ko) 1999-11-05 2002-07-15 윤종용 웨이퍼 가장자리의 결함 요인 처리 방법
KR20010093006A (ko) * 2000-03-28 2001-10-27 윤종용 웨이퍼 에지 처리 방법
KR100452317B1 (ko) 2001-07-11 2004-10-12 삼성전자주식회사 포토리소그래피 공정시스템 및 그 방법
US6746308B1 (en) 2001-07-11 2004-06-08 Advanced Micro Devices, Inc. Dynamic lot allocation based upon wafer state characteristics, and system for accomplishing same
CN100524642C (zh) * 2001-12-13 2009-08-05 应用材料股份有限公司 一种半导体器件
KR100442194B1 (ko) 2002-03-04 2004-07-30 주식회사 씨싸이언스 웨이퍼 건식 식각용 전극
US6936546B2 (en) * 2002-04-26 2005-08-30 Accretech Usa, Inc. Apparatus for shaping thin films in the near-edge regions of in-process semiconductor substrates
JP3974465B2 (ja) * 2002-07-10 2007-09-12 Necエレクトロニクス株式会社 ポリマー除去方法
US6878612B2 (en) 2002-09-16 2005-04-12 Oki Electric Industry Co., Ltd. Self-aligned contact process for semiconductor device
US7727892B2 (en) * 2002-09-25 2010-06-01 Intel Corporation Method and apparatus for forming metal-metal oxide etch stop/barrier for integrated circuit interconnects
KR20040055685A (ko) * 2002-12-20 2004-06-26 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 전자 디바이스 제조
US7247575B2 (en) * 2004-06-30 2007-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-step EBR process for photoresist removal

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11340207A (ja) * 1998-05-22 1999-12-10 Tokyo Electron Ltd エッチング方法
JP2003051443A (ja) * 2001-06-28 2003-02-21 Hynix Semiconductor Inc 半導体素子の微細パターン形成方法
WO2004034445A2 (en) * 2002-10-11 2004-04-22 Lam Research Corporation A method for plasma etching performance enhancement

Also Published As

Publication number Publication date
WO2006028673A1 (en) 2006-03-16
KR20070097408A (ko) 2007-10-04
CN100487874C (zh) 2009-05-13
US7090782B1 (en) 2006-08-15
TW200618115A (en) 2006-06-01
JP2013016844A (ja) 2013-01-24
CN101057320A (zh) 2007-10-17
TWI405265B (zh) 2013-08-11
KR101155843B1 (ko) 2012-06-20

Similar Documents

Publication Publication Date Title
JP5165560B2 (ja) エッチング層に形状を形成するための方法
KR101534883B1 (ko) 마스크 트리밍
KR101274382B1 (ko) 에칭 프로세스를 위한 안정화된 포토레지스트 구조
KR101353239B1 (ko) 피치 감소
JP5250418B2 (ja) ラインエッジ粗さを低減させた特徴のエッチング
JP2013016844A (ja) 均一性を制御したエッチング
JP5165306B2 (ja) 多孔質低k誘電体層内に特徴を形成するための装置
KR101516455B1 (ko) Arl 에칭을 이용한 마스크 트리밍
JP5437237B2 (ja) ディープシリコンエッチングにおけるマスクアンダカットの最小化
KR101433987B1 (ko) 에칭 동안 라인 말단 단축의 감소 방법
JP2008524851A (ja) エッチマスクの特徴部の限界寸法の低減
TWI467651B (zh) 活性硬遮罩電漿蝕刻時之現場光阻剝除
TWI528446B (zh) 利用惰性氣體電漿改善線寬粗度
US8986492B2 (en) Spacer formation for array double patterning
JP2008508743A (ja) エッチングされたウエハからフォトレジストを剥離するための方法
KR20120094856A (ko) 의사-하드마스크에 대한 위글링 제어
TW200539289A (en) Waferless automatic cleaning after barrier removal
JP2008507137A (ja) 低誘電体のエッチング

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080813

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110728

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110816

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120515

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120911

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120912

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20121004

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20121221

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20130731