CN100524642C - 一种半导体器件 - Google Patents

一种半导体器件 Download PDF

Info

Publication number
CN100524642C
CN100524642C CNB200710006221XA CN200710006221A CN100524642C CN 100524642 C CN100524642 C CN 100524642C CN B200710006221X A CNB200710006221X A CN B200710006221XA CN 200710006221 A CN200710006221 A CN 200710006221A CN 100524642 C CN100524642 C CN 100524642C
Authority
CN
China
Prior art keywords
oxide layer
etching
layer
doping oxide
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB200710006221XA
Other languages
English (en)
Other versions
CN1996559A (zh
Inventor
阿杰·M·乔希
贝·曼·阿格尼丝·额
詹姆斯·A·施廷纳特
乌萨马·达杜
贾森·里吉斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1996559A publication Critical patent/CN1996559A/zh
Application granted granted Critical
Publication of CN100524642C publication Critical patent/CN100524642C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Abstract

本发明提供了一种半导体器件,该半导体器件包含:一基板;第一和第二栅极结构位于该基板上,该第一和第二栅极结构由小于约0.25微米的缺口所分隔;一氮化硅层位于该栅极结构和该缺口之上;一掺杂氧化层位于该氮化硅层之上;及一未掺杂氧化层位于该掺杂氧化层之上。

Description

一种半导体器件
本案是申请号为02824978.X、申请日为2002年12月12日、名称为“具有对氮化物肩部高度敏感性的自对准接触蚀刻”的发明分案申请。
技术领域
本发明是关于等离子蚀刻,特别是利用氟化物来进行介电材质的等离子蚀刻。
背景技术
在微处理器和其它半导体组件的制造中,氧化物和氮化物为使用广泛的材料。由于经由离子注入或其它经常使用的注入方法可以很容易的将这些材料由介电质状态改变成半导体状态,因而氧化物将特别的有用。
在许多半导体制造程序中,需要在氮化层的附近将一或多层的掺杂或未掺杂的氧化层蚀刻出孔洞。其中一个范例为如图1中所描述的具有自对准接触孔洞(SAC)结构的晶片型式的制造。于此构造中,两个栅极结构10形成于硅基板2之上且由间隔12分隔开。此栅极结构和间隔的底部均匀的覆盖一层氮化硅层14,接着并覆盖了场氧化层18。
在制造工艺的某些阶段,场氧化层必需往下蚀刻到氮化层上,使得氮化层在间隔底面的部分24可以移除,并和形成于硅基板内部的n型或p型井16形成电性接触。在此制造工艺步骤中,非常重要的一点是位于栅极结构上的氮化层厚度不可减少太多,因为如此将使得整个组件电性短路的机会增加并因而严重影响了组件特性。
不幸地,栅极结构的肩部上的氮化层很容易在制造工艺步骤中变薄或“磨薄”,此乃由于其几何位置和在蚀刻工艺中曝露在蚀刻等离子体中的时间长度二种原因的影响。因此对于角落部分氮化层的蚀刻等离子体高度选择性就非常重要了。同时在蚀刻工艺中蚀刻等离子体对于光刻胶的选择性也很重要,如此方能得到正确的孔洞尺寸和几何外观。再者,蚀刻工艺不会将孔洞延伸到间隔12以下的n型或p型井16内也非常重要,因为如此将会对组件的特性有不良影响。因此,蚀刻工艺能够在掺杂氧化层上产生蚀刻中止的能力,及/或在栅极结构间所延伸的平坦氮化层部分具高度选择性也是非常重要的。
多种碳氟化合物已在现今的蚀刻状况加以探索,特别是包含图1中所描绘的SAC结构,部分则是由于碳氟化物所提供的高选择性。因此,在美国专利号6,174,451(Hung等人)中,图1中所描绘的基板蚀刻是经由两个工艺步骤完成。第一个步骤利用C4F6/氩气(Ar)在主要的蚀刻中移除场氧化层直到均匀的氮化硅层为止。第二个步骤则利用C4F6/氩气(Ar)/CH2F2来进行过度蚀刻,之所以如此称呼是因整个氧化层蚀刻时间设定要比氧化层的设计厚度所需的蚀刻时间来得高。过度蚀刻可补偿由于Hung等人的基板具有波浪状表面的事实,使得氧化层的厚度产生不同的变化。因此需要过度蚀刻来确保氧化层的穿透。接着在后续的金属掺杂步骤之前利用CH2F2/氧气(O2)/氩气(Ar)来蚀刻氮化层。主要的蚀刻可提供具有良好垂直轮廓的孔洞,而具有强烈聚合作用的CH2F2则使得氟聚合物沉积在角落的氮化物上,因而提供了薄化的某种保护作用。此参考文献主张在主要蚀刻中使用具有3或多个碳原子且具有F/C比至少为1但小于2的碳氟化合物。
虽然于例如美国专利号6,174,451(Hung等人)等所揭露的方法代表了传统上相当显著的进步且可用于广泛的状况,但这些方法是用于较大的特征尺寸。因此,用于Hung等人的SAC的沟渠开口约为0.35微米。然而,现今许多半导体组件常需要小于0.25微米的沟渠开口,有时甚至小到0.14微米或者更小。
不幸地,揭露于Hung等人的方法的功效将因特征尺寸的降低而降低。部分原因是因为缩小的特征尺寸利用了较薄的氮化层,因而需要等离子体对氮化物有更高的选择性,特别是在角落上的氮化层。因而,例如具有0.25微米间隔的组件其氮化层厚度约为500到700埃,比0.35微米间隔的相对组件要薄约100到200埃。不幸地,用于Hung等人的主要蚀刻中的化学作用(最显著的为C4F6/氩气(Ar))对于特征尺寸小于约0.25微米的组件其较薄的氮化层有选择性不足的问题,结果是在角落的氮化层产生了无法接受的薄化程度。再者,虽然理论上可以计算场氧化层主要蚀刻的时间以便到达角落的氮化层时终止蚀刻,但实际上此时间将因受到相当多工艺变量影响的事实而难以完成,故每次蚀刻都会有相当不同的变化。
再者,包含小特征尺寸的许多应用中,需要蚀刻位于掺杂硅的有源区上的氧化层,此有源区的掺杂是以离子注入法或其它方法形成。这些有源区通常的厚度要小于欲蚀刻孔洞的深度(氧化层厚度)。然而,例如C4F6/氩气(Ar)的化学作用对于掺杂和未掺杂氧化层为非选择性(也就是说,掺杂和未掺杂氧化层具有相似的蚀刻速率)。基于上面所述的时间问题,使用非选择性氧化层蚀刻来蚀刻图1中所示的基板,并且控制蚀刻时间使其蚀刻大部分或全部的氧化硅层而不蚀刻到均匀氮化层的平坦部分且进入底部p型或n型井的有源硅区中将非常困难。
某些氟利昂(Freon)134化学品的使用如C2H2F4/CHF3/氩气(Ar)也已在蚀刻工艺中加以开发研究。这些化学作用可促进保护性的氟聚合物层在蚀刻孔洞侧壁形成,因而也提供了角落的氮化层避免薄化的某些保护作用。然而,虽然这些化学作用具有所需的性质,但到今天为止其配方和方法并无法应用在特征尺寸约0.18微米以下的蚀刻,因其产生了多余的聚合物沉积而导致特征尺寸的闭塞及不完全蚀刻。
因而,于此技术中仍需要有对于光刻胶和氮化层(包括平坦氮化层和角落氮化层两者)具有高度选择性的蚀刻化学,其不致承担太多的聚合物沉积,且适合在小特征尺寸(例如,小于约0.18微米)的组件上使用。此些需求及其它的需求均可由下面所要描述的本发明来满足。
发明内容
于一方案中,本发明是有关于蚀刻一基板,如半导体或介电质基板的方法,并利用氧气(O2)和至少具有化学式CaFb的第一气体和具有化学式CxHyFz的第二气体的混合气体等离子体。这些气体的化学组成需符合下述条件中至少一个,更典型地至少两个,最典型地则为符合所有的条件:
a/b≥2/3;
x/z≥1/2;且
x/y≥1/3。
CxHyFz的分解可产生蚀刻孔洞侧壁上依附良好的独特聚合物,因而产生了对角落氮化物的高选择比。再者,由于混合气体中也包含了氧气(O2),此等离子体更用来蚀刻具有极小特征尺寸(例如,小于约0.25微米)的进一步结构而不会造成孔洞的阻塞。因此,本方法更适合用来蚀刻例如其栅极结构之间的间隔小于约0.25微米,小于约0.18微米,且甚至小于约0.14微米的SAC结构。
于另一方案中,本发明是有关于蚀刻一含有未掺杂氧化层和掺杂氧化层的基板的方法。此基板包括了例如在栅极结构之间的间隔小于约0.25微米的SAC结构,于栅极结构之上的氮化物覆盖层,及位于此覆盖层之上的未掺杂氧化层和掺杂氧化层,而掺杂氧化层位于未掺杂氧化层和氮化物覆盖层之间。接着未掺杂氧化层利用包括了化学式CaFb的第一气体的气体流所产生的等离子体加以蚀刻,直到抵达掺杂氧化层为止。掺杂氧化层的到达可用例如光谱仪分析工具来检测掺杂质的出现而决定,或由其它适合的方法。接着,掺杂氧化层利用包括了化学式CxHyFz的第二气体的气体流所产生的等离子体加以蚀刻。这些气体的化学组成需符合下述条件中至少一个,更典型地至少两个,最典型地则为符合所有的条件:
a/b≥2/3;
x/z≥1/2;且
x/y≥1/3。
如同上面所提到的,由于CxHyFz使得新的氟化聚合物沉积于孔洞的侧壁而保护了底部的氮化层不被蚀刻,此些气体比起CaFb要有较佳的角落氮化层选择比。另一方面,在主蚀刻中CaFb的使用可以比单独使用CxHyFz产生较佳的孔洞垂直轮廓的优点。再者,CaFb为非选择性的氧化层蚀刻,而某些CxHyFz的混合气体(例如C2H2F4和CHF3和氩气(Ar))则显示了在未掺杂氧化层上的蚀刻中止特性。一般来说,第一气体为C4F6且第二气体为C2H2F4
于另一方案中,本发明是有关于蚀刻一基板如半导体或介电质基板的方法,并利用C4F6和C2H2F4混合气体为主所产生的等离子体。此混合气体一般还包含了氧气(O2),也包含了氩气(Ar)或其它惰性气体以作为载气。
于另一方案中,本发明是有关于蚀刻一基板如半导体或介电质基板的方法,并包含了首先以C4F6为主所产生的等离子体来蚀刻此基板,接着以C2H2F4为主所产生的等离子体来蚀刻此基板的步骤。
再于另一方案中,本发明是关于蚀刻一基板的方法,并至少包含了步骤(a)放置一基板上含有第一镀层的结构于反应室中,该第一镀层则选自介电层和半导体层所组成的组中;(b)供应反应混合气体到反应室中,此混合气体包含了具有化学式CaFb的第一气体和具有化学式CxHyFz的第二气体,其中a/b≥2/3且x/z≥1/2;(c)供应足够的射频能量到反应室中以建立蚀刻等离子体和垂直于基板表面的结合电场;(d)供应磁场到反应室中,此磁场实质上垂直于电场且平行于基板的表面;及(e)让此等离子蚀刻至少第一镀层的一部分。
再于其它的方案中,本发明是关于蚀刻基板的方法,包含了步骤(a)提供一选自半导体和介电质基板所组成的组的基板;及(b)蚀刻此基板,其中是以磁性增强反应式离子蚀刻工艺,此工艺包括了加入氢基来源到混合气体中,其数量足以增加至少一个参数值,此参数则选自基板的反应混合气体的蚀刻速率和选择比所组成的组中。此混合气体包含了具有化学式CaFb的第一气体和具有化学式CxHyFz的第二气体,其中a/b≥2/3且x/z≥1/2。
再于另一方案中,本发明是关于一种蚀刻基板的设备,其至少包含一反应室加以调整并放置欲蚀刻的基板,且至少一贮存槽和此反应室互相连通。此至少一贮存槽可加以调整而供应混合气体到反应室中,该混合气体包含了化学式为CaFb的第一气体和化学式为CxHyFz的第二气体,其中a/b≥2/3且x/z≥1/2。此混合气体一般也包含了氧气。
于另一方案中,本发明是关于蚀刻基板的方法,至少包含了步骤(a)提供一基板,此基板是选自半导体和介电质基板所组成的组;(b)蚀刻此基板,是利用至少含有C4F6、氧气(O2)和氩气(Ar)的混合气体为主的等离子体,因而形成了修改后的基板;及(c)更进一步蚀刻此修改后的基板,是利用至少含有C4F6,氧气(O2)、氩气(Ar)和C2H2F4的混合气体为主的等离子体。
再于另一方案中,本发明是关于蚀刻基板的方法,至少包含了步骤(a)提供一基板,此基板包含了(i)第一镀层,(ii)包含掺杂氧化层如硼磷硅玻璃的第二镀层,(iii)包含抗反射材质的第四镀层,和(iv)第三镀层,位于第二和第四镀层之间,且包含了未掺杂氧化层如四乙基偏硅酸(tetraethylorthosilicate);(b)蚀刻此基板,并利用含有C4F6、氧气(O2)和氩气(Ar)的第一混合气体为主的等离子体,以形成延伸经过第四镀层的凹洞且至少部分穿过第三镀层,但并不延伸到第二镀层;及(c)更进一步蚀刻此基板,并利用含有C4F6、氧气(O2)、C2H2F4和氩气(Ar)的第二混合气体为主的等离子体,并且延伸凹洞进入第二镀层。
在其它的方案中,本发明是关于等离子蚀刻工艺中控制外观及/或湿清洁之间的平均晶片(Mean Wafer Between Wet Clean;MWBWC)效能。依照此方法,包含了CxHyFz/CaFb/氧气(O2)的混合气体是用于此蚀刻工艺中。CxHyFz/CaFb/氧气(O2)的比例加以适度运用以控制聚合程度,并因而控制外观和湿清洁之间的平均晶片(MWBWC)效能。
在其它的方案中,本发明是关于配备有SAC结构的基板,SAC结构至少包含第一和第二栅极结构位于一硅基板上。此栅极结构之间具有小于约0.25微米的间隔,一般为小于约0.18微米,且最典型为小于约0.14微米,并且覆盖了一层氮化硅层。一未掺杂氧化层则位于氮化硅层之上,且一掺杂硅氧化层位于未掺杂氧化层和氮化硅层之间。一般来说,掺杂氧化层的厚度足以覆盖此SAC结构。此结构更适于应用在包含C4F6和C2H2F4为主的混合气体(混合气体中更可包含氧气(O2)及/或氩气(Ar))的等离子蚀刻操作中,或在以包含C4F6的第一气体流和包含C2H2F4的第二气体流(此第一和第二气体流更可包含氧气(O2)及/或氩气(Ar))加以蚀刻的等离子蚀刻操作中,其中可利用光谱学方法通过检测蚀刻反应室环境中来自掺杂氧化层的掺杂质的浓度增加来决定未掺杂氧化层蚀刻的终点。如此一来,即便工艺参数有所变化仍可有效控制蚀刻方式,并避免氮化层的变薄现象。
本发明还提供一种半导体器件,该半导体器件包含:一基板;第一和第二栅极结构位于该基板上,该第一和第二栅极结构由小于约0.25微米的缺口所分隔;一连续的氮化硅层覆盖该第一和第二栅极结构的每个栅极结构的顶端和侧面,但不覆盖该缺口的底部;一掺杂氧化层位于该氮化硅层之上;一未掺杂氧化层位于该掺杂氧化层之上;及一接触孔洞,延伸经过该掺杂氧化层和该未掺杂氧化层,该接触孔洞延伸到该第一和第二栅极结构各自的一部分之上的该氮化硅层,并延伸到该缺口的底部的该基板处。
所述的半导体器件中,上述的掺杂氧化层包含硼磷硅玻璃。
所述的半导体器件中,上述的掺杂氧化层包含四乙基偏硅酸。
所述的半导体器件中,还包含了一抗反射层位于该未掺杂氧化层之上。
所述的半导体器件中,还包含了一光刻胶层位于该抗反射层之上。
所述的半导体器件中,上述的光刻胶层包含了第二缺口并和该第一缺口重迭,且其中该第二缺口的最小宽度要大于该第一缺口的最大宽度。
附图说明
图1为传统的SAC结构的示意图;
图2为用于本发明不同实施例的例举蚀刻反应室示意图;
图3为利用本发明的方法蚀刻SAC结构的示意图。
具体实施方式
在详细说明之前,需注意的是,本说明书和后附的权利要求书中,单数形态“a”、“an”、和“the”均包括了多个参考物,除非文章里特别指明。
在此所列的百分比(%)为气体体积百分比,且在此所列的气体组成均为体积比例。
在此所用的“选择比””是用来做为a)两或多种材料的蚀刻比率和b)当一种材料的蚀刻率和另外一种材料相差很大的蚀刻情况。
在此所使用的“氧化物”一般为二氧化硅和其它普通SiOx化学式的氧化硅,及其它相当接近的材料如硼磷硅玻璃(BPSG)和其它的氧化玻璃。
在此所使用的“氮化物”为氮化硅(Si3N4)和其化学计量变化物,后者一般包含了化学式SiNx,其中x介于1和1.5之间。
现在即参考附图较完整的描述本发明,其中并显示本发明较佳实施例。然而,本发明可在许多不同形式上加以体现,并不限于这里所描述的实施例。
本发明利用了含有特殊碳氟气体的气体流以产生适合蚀刻基板的等离子体。此欲蚀刻的基板一般包含了氧化物、氮化物及/或其它用于半导体组件制造的半导体或介电材料型式。
有许多种气体可用于本发明的气体流中。在此气体流中所使用的特别选择的气体则与欲蚀刻的特殊基板或材料、气体对一或多种欲蚀刻材料如氮化层或光刻胶层所需要的选择比、蚀刻工艺中特殊工艺点和其它种种类似的因素等有关。再者,气体流的组成可为因时间而变化的函数或者蚀刻操作的进展的函数。
然而,用于本发明的较佳气体则定义为一般化学式CaFb和CxHyFz。典型地,虽然在某些实施例中第一和第二气体分别在独立的工艺步骤中加以使用,本发明所利用的气体流则包含了具有化学式CaFb的第一气体和具有化学式CxHyFz的第二气体的混合。因此,例如第一气体可能应用在第一蚀刻步骤(例如主要的蚀刻中),且第二气体可能应用在第二蚀刻步骤(例如过度蚀刻中)。这些气体的化学组成将使得至少有一项,或者至少有二项,最好则是下列所有的条件均符合:
a/b≥2/3;
x/z≥1/2;且
x/y≥1/3。
于较佳实施例中,第一气体为C4F6且第二气体为C2H2F4(氟利昂(Freon)134)。然而,在某些情况中,也适合将氟利昂(Freon)134以CH3F(x/y=1/3),CH2F2(x/y=1/2),及/或三氟甲烷(CHF3,x/y=1)来替代。同时,在某些情况中也适合将C4F6以八氟环丁烷(C4F8)来替代。
用于本发明的气体流一般也包含了惰性载气。氩气为较佳的载气,部分是因为其价钱低廉且容易由许多商业来源取得。然而,其它的惰性气体如氮气,氦气或氙气等也可用于本发明的情况。
用于本发明的气体流一般也包含了氧气(O2)。氧气加到本发明的气体流中可提供数个优点。特别是许多气体,例如C2H2F4,并不能用于蚀刻栅极结构间的间隔小于0.18微米的SAC结构,因为在典型的蚀刻条件下会产生多余的聚合作用而阻塞了欲蚀刻的孔洞。相较之下,含有氧气(O2)和C4F6的气体流用来蚀刻此类结构则不会产生孔洞的阻塞。而的确C4F6/氧气(O2)已经成功的用于蚀刻小于约0.14微米的特征尺寸。在某些情况中,类似的结果也可以臭氧或某些部份添加氟素或全氟乙醚(perfluorinate ether)的气体取代氧气而得到。
于某些实施例中,气体流也可包含了一氧化碳(CO)。使用一氧化碳(CO)的优点是在某些例子其可用来增加等离子体的碳原子含量,因而可达到高程度的聚合作用。此作用在例如光刻胶层需要有极高的选择比的范例中变得非常重要。习知的其它添加物也可因不同的目的而加入气体流中。
由本发明的气体流所产生的等离子体包含了具所需的碳浓度的适量碳氟根CFn(n=1,2,3)。经由适度的工艺参数处理,例如CaFb/CxHyFz和CaFb/氧气(O2)气体比例,整个气体流量,添加的气体流量,射频功率,反应室压力,和B电场强度,可在被蚀刻的基板表面上产生适度的聚合作用。所形成的高碳原子浓度聚合物在大范围的介电层蚀刻应用中提供了绝佳的功效,并改善了角落和平坦氮化物的选择比,光刻胶层的选择比,底层选择比,和底部关键尺寸的均匀度。
再者,借由调整气体流中CxHyFz/CaFb/氧气(O2)的比例及其所产生的聚合作用程度,即可达到较佳的外观轮廓控制和湿清洁之间的平均晶片(MWBWC)功效。另外,由于等离子体含有较少的自由氟原子,因而使得蚀刻工艺对欲蚀刻的薄膜较不敏感。故在掺杂和未掺杂的介电层之间较不需要进行参数调整。
上面所定义的第一和第二气体的混合特别适用于本发明并可提供数个优点。因此,例如可发现以CxHyFz气体为主的等离子体对未掺杂的氧化层具选择能力。然而,足量的CaFb加入工艺混合气体中可使得产生的等离子蚀刻未掺杂氧化层到所需的深度而不需任何蚀刻中止步骤。相反地,当需要在未掺杂氧化层上进行蚀刻中止步骤时,CaFb在混合物中的比例也可用来做为工艺的节点。特别地,当未掺杂氧化层趋近于中止蚀刻时,CaFb在混合气体中的比例可加以调降(有需要时甚至到零)。光谱技术或其它适当的方法可用来检测掺杂或未掺杂氧化层的蚀刻程度,典型的方法为监视反应室的气氛而增加或减少掺杂物浓度。
混合气体也可依照本发明的需求而提供高的氮化物选择比,特别是当这些混合物包含氧气时。因此,例如C4F6/氧气(O2)/氩气(Ar)/C2H2F4的化学物可提供SAC应用中侧壁氮化物和平坦氮化物良好的保护作用。对比之下,C4F6/氧气(O2)/氩气(Ar)的化学物并未显现高的角落氮化物选择比,但其仍然具有良好的平坦氮化物选择比。
依照本发明所进行的蚀刻一般是于低压反应室中使用等离子体来蚀刻维持于其中的基板。适合于本发明的蚀刻组件并没有特别限制。更明确的说,本发明所使用的方法可利用许多已知的等离子体反应器加以实现。此类的反应器包括了,例如IPS蚀刻反应器,其可由Applied Materials公司购得并且描述于美国专利号6,238,588(Collins等人)和欧洲专利公告号EP-840,365-A2中,及描述于美国专利号6,705,081和6,174,451(Hung等人)中的反应器。
然而,本发明所使用的方法一般是利用磁场增强反应式离子蚀刻(MERIE)反应室中加入低或中密度等离子体而加以实现的。此蚀刻反应室和气体贮存槽连接以产生等离子体。此些贮存槽可能包含了例如氩气(Ar),氧气(O2),一氧化碳(CO),氨(NH3),CxHyFz和CaFb等气体的圆柱状钢瓶。
图2为适用于本发明的MERIE系统100的简化示意图。此系统100包括了工艺反应室101。反应室101包含了一组侧壁102,底层104和上盖106而定义出密闭空间。气体面板110则供应反应气体(蚀刻化学物)到反应室101所定义的密闭空间中。系统100更包括了射频电源122和匹配电路120以驱动基座组合108,使得基座组合108和反应室侧壁102及上盖106之间产生电场。一组线圈103则排列在反应室101的侧壁102周围以便控制等离子体124的磁场。
基座组合108包含了基座114位于反应室101中心的阴极112上并由环状118所围绕。基座上则有例如半导体晶片的工件116欲在反应室101中进行处理。等离子体反应室101利用电容耦合式射频功率以产生并维持低能量等离子体124。等离子体可以是低、中或高密度,但本发明中则较适合低到中密度的等离子体。射频功率是由射频电源122产生一或多个射频频率到匹配电路120加以耦合的。上盖106和侧壁102乃加以接地并作为射频功率的接地电位(阳极)。在图2所示的结构中,电源供应122经由匹配电路120提供射频功率来控制等离子体密度。
于半导体晶片制造工艺中,阴极112由铝金属等导体材料所制成。基座114一般则由聚合物如聚酰亚胺(polyimide)或陶瓷材料如氮化铝或氮化硼所制成。工件116(也就是半导体晶片)则由硅所制成。耦合到等离子体的电场通过工件和基座二个部分。由于阴极和工件由不同材料所制成,这些材料对于等离子体也有不同的效应。结果,在晶片边缘126上的等离子体参数有不同的变化并产生不同的制造工艺均匀性。为改善晶片边缘的制造工艺均匀性,环状118乃加以围绕并和部分的基座114重迭。环状118(也称作制造工艺配件)通常由石英所制成。
使用时,经由气体面板110可从一或多个气体源供应气体流。一般地,这些气体源为含有不同蚀刻化合物成分如氩气(Ar),氧气(O2),C4F6和C2H2F4的加压槽,并由一或多个气体进料口连接到气体面板上。气体源一般由系统控制器直接或间接的加以控制,而制造工艺配方则储存在系统控制器的磁性或半导体内存中,因此来自这些气体源的气体流可以在反应室氛围中独立的调节以控制或调整化合物的组成。真空抽气系统则连接到反应室以维持反应室的压力。
多种配件及对MERIE反应室和技术的改良发展对于本发明的实施有着正面的效益。例如,美国专利号6,232,236(Shan等人)描述了在MERIE反应室中的晶片表面上等离子体均匀性和离子能量的控制和原子团的均匀性等改良方法,以便提供更均匀且重复性的晶片蚀刻。Shan等人所描述的这些方法和其改善的MERIE反应室也可用于实施本发明。
光学放射光谱学(OES)可有效的在本发明等离子蚀刻中做为终点蚀刻检测监视程序。在图2中所描绘的反应室型式中,例如可以提供一光纤穿过反应室壁的孔洞,以帮助侧面观察晶片上的等离子体区域。一光学检测系统连接到光纤的另一端,其并可包括一或多个光学滤光器和处理电路以便调节到等离子体中一或多个成分的等离子体放射光谱。不管是未处理的检测讯号或触发讯号均供应到系统控制器中,系统控制器并在新讯号产生或旧讯号衰减等状况下利用此讯号来决定蚀刻工艺中的步骤是否完成。系统控制器并可借由此决定程序来调整工艺配方或终止此蚀刻步骤。
本发明的某些应用中,欲蚀刻的基板可设计并利用此优点来决定蚀刻终点。例如,具有小特征尺寸的进一步结构如SAC结构中,其栅极结构之间的间隔小于约0.25微米,角落的氮化物选择比就非常重要了。而部分原因是因此较小特征尺寸需要较小厚度且均匀的氮化层覆盖于栅极结构上的事实(一般的范围在500到700埃之间)。由于角落的氮化物一般较容易变薄,因而需要进一步增加等离子体的角落氮化物选择比以补偿此趋势。
于本发明中,借由沉积一未掺杂氧化层和一掺杂氧化层于SAC结构上,并将掺杂层位于未掺杂层和均匀氮化层之间即可解决上述问题。未掺杂氧化层接着在主要蚀刻程序中利用如C4F6的化学品加以蚀刻以提供良好的垂直轮廓。接着利用OES在形成掺杂氧化层的掺杂物(通常的材料为硼)的蚀刻反室环境中检测其出现时机,并标示主要蚀刻的终点。蚀刻化学品接着变成C2H2F4或其它材料以提高角落氮化物选择比。此改变化学品的方式可在终点到达时完全以C2H2F4代替C4F6,或者仅仅是在气体流中增加C2H2F4的浓度且同时减低C4F6的浓度来做到。经由此两步骤工艺的使用,当孔洞的深度接近氮化层时主要蚀刻较容易控制并停止,因而避免了氮化层的变薄。
未掺杂氧化层结合主要蚀刻剂C4F6的使用,其优点是C4F6提供了良好的垂直轮廓而不会阻塞孔洞。相反的,由于聚合作用的缘故,在某些应用中光使用C2H2F4化学品将使孔洞变细而终致孔洞顶端阻塞的结果。然而,熟知此项技术的人都可理解,当某些应用只需要较浅的孔洞(例如,小于约3000到4000埃)且因此阻塞的可能性降到最低而良好的垂直轮廓变得不那么重要时,整个氧化层即可加以掺杂,而在单一蚀刻步骤中也可利用C2H2F4来定义出孔洞。
本发明的方法可制造出数种型式的进阶结构。此类进阶结构的一范例为图3的截面所示的两个晶体管的自对准接触孔洞(SAC)结构。此SAC结构位于例如氧化硅或氮化硅的硅基板202之上。此SAC结构是由沉积栅极氧化层203,多晶硅层204(可为掺杂或未掺杂)和氧化层硬掩膜205,并以光刻蚀刻工艺在此些镀层上形成两个距离相近的栅极结构210和之间的间隔212。
接着利用化学气相沉积法在晶片上的栅极结构210的顶端和侧面及间隔212的底部215上沉积一层厚度约100到500埃的均匀氮化硅(Si3N4)层。氮化层的作用如同一电性绝缘层。掺杂离子利用栅极结构210作为掩膜进行离子注入而形成p型或n型井216,其作为两个晶体管的不同栅极210的共同源极。晶体管的漏极结构则未标示出来。
一氧化层沉积于先前所定义出的结构上。此氧化层一般具有约9000埃的厚度且可为单一场氧化层,或者如图3中所示的为一两部分的结构,其中第一部分5000埃厚度的镀层207为四氧乙基硅(TEOS)/PET cos/PSG(以硼磷硅玻璃(BPSG)/磷硅玻璃(PSG)填入栅极间的间隔中),而接下来的4000埃则为未掺杂氧化层208。
大约4000埃和约9000埃之间的光刻胶层220沉积于氧化层207、208之上并以光刻胶图案定义成掩膜层,接着后续的氧化层蚀刻步骤在氧化层207、208中蚀刻出接触孔洞222,并停在孔洞222之下的氮化层214的区域224上。后续蚀刻溅镀则用来移除间隔212的底部215上的氮化层区域224。氮化硅层通常作为后续填入接触孔洞222的金属如铝金属的电性绝缘层。于某些实施例中,可选择性的利用双折射抗反射镀层(BARC)223或其它型式的材料来消除驻波的不利影响。此材料一般的厚度小于约900埃,并沉积于氧化层和光刻胶层之间。
图3中所示的结构有几个可能的变化。因而在其它的具体实施例中,硬掩膜可用下列三个镀层顺序的一加以取代:
一氮化硅层;
一硅化钨层(WSix),一氮化硅层,和一氧化层硬掩膜(依顺序);或者
一硅化钨层(WSix)和一氮化硅层(依顺序)。
由本发明的气体混合所提供的选择比的重要性可由考虑SAC和其它进阶结构所提供的优点,及这些结构所造成的挑战而能够有所了解。由于氮化物的作用如同一绝缘层,SAC结构和工艺所提供的接触孔洞222直径一般约为0.14到约0.25微米,其具有比栅极结构210之间的间隔212还要宽的优点。此外,栅极结构210的接触孔洞222的光刻蚀刻程序并不需要特别精确。然而,为了达到此有利的结果,SAC氧化层蚀刻对于氮化物的选择比必需特别高。选择比数值的计算为氧化物对氮化物蚀刻率的比例。由于角落226为氮化物曝露在氧化物蚀刻最长的部分,在间隔212之上和旁边的氮化层214的角落226的选择比特别的重要。再者,其几何外观也使得蚀刻变得更快而产生角落226的变薄。
再者,当利用化学机械研磨(CMP)平坦化蜷曲晶片上的氧化层的使用增加时,同时也需要增加选择比。平坦化使得波浪状底部基材之上的氧化层表面变得平坦,因而让氧化层的厚度变得相当不同。结果使得蚀刻氧化层的时间必需比蚀刻设计厚度的时间来得高,例如100%,以确保氧化层可被蚀穿。此方法称为过度蚀刻,并且和其它制造工艺变异有关。然而,在氧化层较薄的区域,氮化层曝露在蚀刻环境的时间将会加长。
最后,选择比需要的程度反应在栅极结构210和填入接触孔洞222中金属之间电性短路的可能性。由于光刻胶层220通常要比氮化层214厚得多,光刻胶层选择比并不像氮化物选择比那么重要,但蚀刻对光刻胶层也需具一定的选择比。
现在将参考下列非受限制的范例来说明本发明:
实施例1
此实验说明了氟利昂(Freon)134在未掺杂氧化层上蚀刻中止的状况。
一晶片由晶片中心具9%PSG的表面层所构成,且置放于未掺杂氧化层基底上。三个分离且蚀刻到晶片内的孔洞是利用配备了eMAX反应室的MERIE反应器且利用C4F6/氟利昂(Freon)134/氧气(O2)/氩气(Ar)所组成的气体流。工艺参数则为下列所述:
反应室压力:40到80mTorr
产生等离子体的功率:1000到1800watts
阴极温度:15到35℃
磁场:0到50Gauss
氧气(O2)流率:15sccm
氟利昂(Freon)134:2-8sccm
氢气流率:500sccm
C4F6流率:20-30sccm
蚀刻时间持续约60到90秒。等离子体很容易就穿透了掺杂氧化表面层,但对下面的基底则显现出蚀刻中止的反应。
实施例2
此实施例说明了氟利昂(Freon)134相对于平坦的氮化层缺乏选择比的状况。
一晶片由下列镀层顺序所组成:
 
材质 厚度
DUV光刻胶层
抗反射层 700埃
TEOS 4000埃
硼磷硅玻璃层 4000埃
氮氧化硅衬层 180埃
多晶硅层
利用实施例1的方法和装置,将未掺杂氧化层8利用C4F6/氧气(O2)/氩气(Ar)化学物分别以流率25:15:500加以蚀刻,直到硼磷硅玻璃层暴露出来为止。
接着,化学物换成氟利昂(Freon)134/CHF3/氩气(Ar),分别以流率6:80:90接着蚀刻。等离子体穿过孔洞底部的平坦氮化层,并证明了氟利昂(Freon)134对平坦的氮化层缺乏选择比。
实施例3
此实施例说明了只由C4F6/氧气(O2)/氩气(Ar)化学物所产生的不良角落氮化层选择比。
重复实施例2的实验,但利用不同的化学物C4F6/氧气(O2)/氩气(Ar)分别以流率30/20/500蚀刻穿过TEOS层。此蚀刻在等离子体穿透BPSG层并和角落氮化层接触之后终止。接着,利用C4F6/氧气(O2)/氩气(Ar)/氟利昂(Freon)134A分别以流率27/15/500/9蚀刻穿过BPSG层。此等离子体对平坦的氮化层部分显现了蚀刻中止的特性,因而表示C4F6/氧气(O2)/氩气(Ar)/氟利昂(Freon)134A对于平坦氮化层的选择比。然而,在第一个蚀刻步骤时,角落氮化层即因为和等离子体接触而严重的腐蚀了,因而表示只有C4F6/氧气(O2)/氩气(Ar)的化学物对于角落氮化层有不佳的选择比。
实施例4
此实施例说明了氟利昂(Freon)134/C4F6/氧气(O2)/氩气(Ar)化学物所产生的良好角落氮化层和平坦氮化层的选择比。
重复实施例3的实验,但第一蚀刻步骤在等离子体和角落氮化层接触之前即加以终止。
于第二蚀刻步骤中利用C4F6/氧气(O2)/氩气(Ar)/氟利昂(Freon)134A分别以27/15/500/4的流率蚀刻穿透BPSG层。
此等离子体再度于平坦氮化层时显现蚀刻中止的特性。另外,角落氮化层的选择比也显著的改善了,因而证明了C4F6/氧气(O2)/氩气(Ar)/氟利昂(Freon)134A对于角落氮化层的选择比。低流率的氟利昂(Freon)134A在此也证明了即便在低浓度下氟利昂(Freon)134A仍为一有效的聚合物形成剂。
实施例5
此实施例说明了氟利昂(Freon)134/C4F6/氧气(O2)/氩气(Ar)化学物在未掺杂氧化层上的蚀刻中止特性。
重复实施例1的实验,但利用C4F6/氧气(O2)/氩气(Ar)/氟利昂(Freon)134做为工艺气体且流率分别为27/15/500/8。此等离子体在未掺杂氧化层上显现良好的蚀刻中止特性。一般地,蚀刻中止特性在氟利昂(Freon)134的流率比例为8或更大时产生蚀刻中止特性。由于氟利昂(Freon)134的流率比例如果太大,则可能产生过多的聚合作用,一般氟利昂(Freon)134使用的范围大约在8到12之间。
上述的实施例说明了借由改变制造工艺气体的组成以达到蚀刻掺杂和未掺杂氧化层,或在未掺杂氧化层上得到蚀刻中止的能力。这些实施例也说明了利用氟利昂(Freon)134和C4F6的混合物和单独利用其中任何一者的结果比较起来,角落氮化层的选择比也有改善的现象。
虽然本发明已利用数个实施范例加以描述,然则熟悉此项技术的人士仍可利用上述实施例作其它的不同变化。吾人应可明了这些变化仍为本发明的教示,但本发明仍只限制在后附的权利要求书中。
例如,在说明书中所揭露的所有特征(包括任何权利要求、摘要和附图等),及/或所揭露的所有方法及工艺的步骤,均可以任何组合方式加以组合,除非在至少某些特征及/或步骤中为互相排除的组合情况。
再者,在说明书中所揭露的每个特征(包括任何权利要求、摘要和附图等),均可以提供相同或类似目的的不同特征加以取代,除非说明书中有特别说明。因此,除非特别的说明,否则每个揭露的特征均为一系列相同或类似特征中的一个范例而已。

Claims (8)

1.一种半导体器件,该半导体器件包含:
一基板;
第一和第二栅极结构,位于该基板上,该第一和第二栅极结构由小于约0.25微米的缺口所分隔;
一连续的氮化硅层,覆盖该第一和第二栅极结构的每个栅极结构的顶端和侧面,但不覆盖该缺口的底部;
一掺杂氧化层,位于该氮化硅层之上;
一未掺杂氧化层,位于该掺杂氧化层之上;及
一接触孔洞,延伸经过该未掺杂氧化层和该掺杂氧化层,该接触孔洞延伸到该第一和第二栅极结构各自的一部分之上的该氮化硅层,并延伸到该缺口的底部的该基板处。
2.如权利要求1所述的半导体器件,其中上述的掺杂氧化层包含硼磷硅玻璃。
3.如权利要求1所述的半导体器件,其中上述的掺杂氧化层包含四乙基偏硅酸。
4.如权利要求1所述的半导体器件,其中还包含:一抗反射层,位于该未掺杂氧化层之上。
5.如权利要求4所述的半导体器件,其中还包含:一光刻胶层,位于该抗反射层之上。
6.如权利要求5所述的半导体器件,其中上述的光刻胶层包含:第二缺口,该第二缺口与该缺口重迭,且其中该第二缺口的最小宽度要大于该缺口的最大宽度。
7.如权利要求1所述的半导体器件,其中该未掺杂氧化层是利用化学品C4F6蚀刻的,其提供了一良好垂直轮廓。
8.如权利要求1所述的半导体器件,其中该未掺杂氧化层是利用光学放射光谱学蚀刻的,以检测该掺杂氧化层中掺杂物的出现。
CNB200710006221XA 2001-12-13 2002-12-12 一种半导体器件 Expired - Fee Related CN100524642C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US34113501P 2001-12-13 2001-12-13
US60/341,135 2001-12-13

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN02824978XA Division CN1605117B (zh) 2001-12-13 2002-12-12 具有对氮化物肩部高度敏感性的自对准接触蚀刻

Publications (2)

Publication Number Publication Date
CN1996559A CN1996559A (zh) 2007-07-11
CN100524642C true CN100524642C (zh) 2009-08-05

Family

ID=23336373

Family Applications (2)

Application Number Title Priority Date Filing Date
CN02824978XA Expired - Fee Related CN1605117B (zh) 2001-12-13 2002-12-12 具有对氮化物肩部高度敏感性的自对准接触蚀刻
CNB200710006221XA Expired - Fee Related CN100524642C (zh) 2001-12-13 2002-12-12 一种半导体器件

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN02824978XA Expired - Fee Related CN1605117B (zh) 2001-12-13 2002-12-12 具有对氮化物肩部高度敏感性的自对准接触蚀刻

Country Status (7)

Country Link
US (1) US20060051968A1 (zh)
JP (1) JP2006501634A (zh)
KR (1) KR20040066170A (zh)
CN (2) CN1605117B (zh)
AU (1) AU2002353145A1 (zh)
TW (2) TWI303851B (zh)
WO (1) WO2003052808A2 (zh)

Families Citing this family (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4057972B2 (ja) * 2003-07-25 2008-03-05 富士通株式会社 半導体装置の製造方法
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US7090782B1 (en) * 2004-09-03 2006-08-15 Lam Research Corporation Etch with uniformity control
US7723229B2 (en) * 2005-04-22 2010-05-25 Macronix International Co., Ltd. Process of forming a self-aligned contact in a semiconductor device
US7361586B2 (en) * 2005-07-01 2008-04-22 Spansion Llc Preamorphization to minimize void formation
CN100468695C (zh) * 2006-12-04 2009-03-11 中芯国际集成电路制造(上海)有限公司 改善多晶硅缺陷的方法
JP2010093158A (ja) * 2008-10-10 2010-04-22 Toshiba Corp 半導体装置の製造方法
US7994002B2 (en) 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US8986561B2 (en) * 2008-12-26 2015-03-24 Tokyo Electron Limited Substrate processing method and storage medium
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9437449B2 (en) * 2012-12-31 2016-09-06 Texas Instruments Incorporated Uniform, damage free nitride etch
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9252051B1 (en) 2014-11-13 2016-02-02 International Business Machines Corporation Method for top oxide rounding with protection of patterned features
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
KR102276992B1 (ko) 2015-02-10 2021-07-14 삼성전자주식회사 반도체 장치의 제조방법
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10773282B2 (en) * 2016-03-31 2020-09-15 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10607852B2 (en) * 2017-09-13 2020-03-31 Tokyo Electron Limited Selective nitride etching method for self-aligned multiple patterning
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6495470B2 (en) * 1994-11-18 2002-12-17 Intel Corporation Contact and via fabrication technologies
DE69737237T2 (de) * 1996-10-30 2007-05-24 Japan As Represented By Director-General, Agency Of Industrial Science And Technology Verfahren zur trockenätzung
US6174451B1 (en) * 1998-03-27 2001-01-16 Applied Materials, Inc. Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
US6602434B1 (en) * 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6277758B1 (en) * 1998-07-23 2001-08-21 Micron Technology, Inc. Method of etching doped silicon dioxide with selectivity to undoped silicon dioxide with a high density plasma etcher
TW449872B (en) * 1998-11-12 2001-08-11 Hyundai Electronics Ind Method for forming contacts of semiconductor devices
KR100327346B1 (ko) * 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
US6232236B1 (en) * 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
KR100474546B1 (ko) * 1999-12-24 2005-03-08 주식회사 하이닉스반도체 반도체소자의 제조방법
US6432318B1 (en) * 2000-02-17 2002-08-13 Applied Materials, Inc. Dielectric etch process reducing striations and maintaining critical dimensions
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6693042B1 (en) * 2000-12-28 2004-02-17 Cypress Semiconductor Corp. Method for etching a dielectric layer formed upon a barrier layer
US6962879B2 (en) * 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride

Also Published As

Publication number Publication date
TWI303851B (en) 2008-12-01
KR20040066170A (ko) 2004-07-23
TW200823998A (en) 2008-06-01
CN1996559A (zh) 2007-07-11
CN1605117A (zh) 2005-04-06
WO2003052808A3 (en) 2004-04-15
US20060051968A1 (en) 2006-03-09
CN1605117B (zh) 2010-05-12
TW200305947A (en) 2003-11-01
AU2002353145A1 (en) 2003-06-30
JP2006501634A (ja) 2006-01-12
TWI301644B (en) 2008-10-01
WO2003052808A2 (en) 2003-06-26

Similar Documents

Publication Publication Date Title
CN100524642C (zh) 一种半导体器件
US6602434B1 (en) Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6074959A (en) Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6387287B1 (en) Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US7541270B2 (en) Methods for forming openings in doped silicon dioxide
US6124212A (en) High density plasma (HDP) etch method for suppressing micro-loading effects when etching polysilicon layers
US6380096B2 (en) In-situ integrated oxide etch process particularly useful for copper dual damascene
US4713141A (en) Anisotropic plasma etching of tungsten
US6380095B1 (en) Silicon trench etch using silicon-containing precursors to reduce or avoid mask erosion
EP3038142A1 (en) Selective nitride etch
US5256245A (en) Use of a clean up step to form more vertical profiles of polycrystalline silicon sidewalls during the manufacture of a semiconductor device
CN100411118C (zh) 蚀刻用的高压无晶片自动清洗
US6849193B2 (en) Highly selective process for etching oxide over nitride using hexafluorobutadiene
US5928967A (en) Selective oxide-to-nitride etch process using C4 F8 /CO/Ar
US20020045354A1 (en) Method of heating a semiconductor substrate
US7141505B2 (en) Method for bilayer resist plasma etch
US6217786B1 (en) Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
US5167762A (en) Anisotropic etch method
US6955964B2 (en) Formation of a double gate structure
EP0820093A1 (en) Etching organic antireflective coating from a substrate
US6372634B1 (en) Plasma etch chemistry and method of improving etch control
KR20020041429A (ko) 게이트 에칭 공정 중에 유전체 반반사 코팅을 원위치에서동시에 제거하는 방법
JPH11186229A (ja) ドライエッチング方法及び半導体装置の製造方法
EP0932190A1 (en) Method of plasma etching the tungsten silicide layer in the gate conductor stack formation
US20070037100A1 (en) High aspect ratio mask open without hardmask

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090805

Termination date: 20111212