TW200305947A - Self-aligned contact etch with high sensitivity to nitride shoulder - Google Patents

Self-aligned contact etch with high sensitivity to nitride shoulder Download PDF

Info

Publication number
TW200305947A
TW200305947A TW091136022A TW91136022A TW200305947A TW 200305947 A TW200305947 A TW 200305947A TW 091136022 A TW091136022 A TW 091136022A TW 91136022 A TW91136022 A TW 91136022A TW 200305947 A TW200305947 A TW 200305947A
Authority
TW
Taiwan
Prior art keywords
item
patent application
scope
gas
substrate
Prior art date
Application number
TW091136022A
Other languages
Chinese (zh)
Other versions
TWI301644B (en
Inventor
Ajey M Joshi
James A Stinnett
Pui Man Agnes Ng
Usama Dadu
Jason M Regis
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200305947A publication Critical patent/TW200305947A/en
Application granted granted Critical
Publication of TWI301644B publication Critical patent/TWI301644B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A method and apparatus are provided for etching semiconductor and dielectric substrates through the use of plasmas based on mixtures of a first gas having the formula CaFb, and a second gas having the formula CxHyFz, where a/b ≥ 2/3, and wherein x/z ≥ 1/2. The mixtures may be used in low or medium density plasmas sustained in a magnetically enhanced reactive ion chamber to provide a process that exhibits excellent comer CD control. The percentages of the first and second gas may be varied during etching to provide a plasma that etches undoped oxide films or to provide an etch stop on such films.

Description

200305947 玖、發明說明 【發明所屬之技術領域】 特別是利用氟化物來進行介 本發明係關於電漿蝕刻 電材質之電漿蝕刻。 【先前技術】 在微處理器和其它半導體元件的制,生士 仵的製造中,氧化物和 化物為使用廣泛的材料。由於經由齙早蚀姑七#200305947 (1) Description of the invention [Technical field to which the invention belongs] Particularly, the use of fluoride for dielectricization The present invention relates to plasma etching of plasma materials. [Previous Technology] In the manufacture of microprocessors and other semiconductor components, and in the manufacture of biogas, oxides and compounds are widely used materials. Thanks to 龅 早 蚀 姑 七 #

田離子佈植或其它經常令 用的佈植方法可以很容易的將這此鉍袓a人兩 二材枓由介電質狀態改每 成半導體狀態,因而氧化物將特別的有用。 ; 在許多半導體製造程序中,需Ia 硌要在氮化層的附近將_ 或多層之摻雜或未摻雜的氧化層蝕刻出孔洞。其中一個聋 例為如第1圖中所描述的具有自我對準接觸孔洞(sac)名 構之晶圓型式的製造。於此構造中,兩個閘極結構^ 成於石夕基板2之上且由間隔12分隔開。此閘極結構和rTian ion implantation or other commonly used implantation methods can easily change the bismuth (a), (b), and (b) materials from the dielectric state to the semiconductor state, so the oxide will be particularly useful. In many semiconductor manufacturing processes, Ia is required to etch holes or multiple doped or undoped oxide layers near the nitride layer. One example of deafness is the fabrication of a wafer type with a self-aligned contact hole (sac) structure as described in Figure 1. In this structure, two gate structures are formed on the Shixi substrate 2 and separated by a space 12. This gate structure and r

隔之底部均勻的覆蓋一層氮化矽層14,接著並覆蓋了夫 氧化層1 8。 在製程的某些階段,場氧化層必需往下蝕刻到氮化層 上,使得氮化層在間隔底面的部分24可以移除,並和形 成於石夕基板内部的η型或P型井16形成電性接觸。在此 製程步驟中,非常重要的一點是位於閘極結構上的氮化層 厚度不可減少太多,因為如此將使得整個元件電性短路的 機會增加並因而嚴重影響了元件特性。 不幸地,閘極結構之肩部上的氮化層很容易在製程步 3 200305947 驟中變薄或,,磨薄,,,队货丄从*撤 此乃由於其4何位置和在蝕刻製程中 曝露在蝕刻電漿中的^ 的時間長度一種原因的影響。因此對於 角落部分氮化層的蝕 、 观剔電漿n度選擇性就非常重要了。同 時在蝕刻製程中蝕列恭μ , t J電漿對於光阻的選擇性也很重要,如 此方能付到正確的^丨 孔洞尺寸和幾何外觀。再者,蝕刻製程 不會將孔洞延伸到$ 常重要’因為如此將 蝕刻製程能夠在摻雜 或在閘極結構間所< 是非常重要的。The bottom of the barrier is uniformly covered with a silicon nitride layer 14, followed by a silicon oxide layer 18. In some stages of the process, the field oxide layer must be etched down onto the nitride layer, so that the portion 24 of the nitride layer on the bottom of the spacer can be removed and combined with the n-type or P-type well 16 formed inside the Shixi substrate Make electrical contact. In this process step, it is very important that the thickness of the nitride layer on the gate structure cannot be reduced too much, because this will increase the chance of electrical shorting of the entire device and seriously affect the device characteristics. Unfortunately, the nitrided layer on the shoulder of the gate structure can be easily thinned or thinned during step 3 200305947. This is due to its position and the etching process. The effect of the length of time exposed in the etch plasma is a factor. Therefore, it is very important to select the n-degree selectivity of the plasma for the etching of the nitride layer in the corners. At the same time, during the etching process, the selectivity of the tJ plasma to the photoresist is very important, so that the correct hole size and geometric appearance can be paid. Furthermore, it is important that the etching process does not extend the holes to $ because it is very important that the etching process can be doped or between gate structures.

隔12以下的η型或ρ型井16内也非 會對元件的特性有不良影響。因此, 氧化層上產生银刻中止的能力,及/ 伸的平坦氮化層部分具高度選擇性也 是 多種碳氟化合# 包含第1圖中所插 已在現今的蝕刻狀況加以探索,特 繪的SAC結構,部分則是由於碳 別 氟 化化物所提供的 6,174,45 1 (HUng 等 經由兩個製程步驟 馬選擇性。因此,在美國專利號碼 人)中,第1圖中所描繪的基板蝕刻係 完成。第一個步驟利用C4F6/氬氣(Ar) 在主要的蝕刻中移除場氧化層直到均勻的氮化矽層為止 第二個步驟則利用 C4F6/氬氣(Ar)/CH2F2來進行過度蝕The n-type or p-type well 16 with an interval of 12 or less does not adversely affect the characteristics of the element. Therefore, the ability of silver oxide to stop on the oxide layer and the high selectivity of the flat nitride layer is also a variety of fluorocarbons. # Included in Figure 1 has been explored in the current etching situation, specially drawn The SAC structure is partly due to the 6,174,45 1 (HUng et al. Selectivity through two process steps. Therefore, in the U.S. Patent No.), as depicted in Figure 1 The substrate etching system is completed. The first step uses C4F6 / argon (Ar) to remove the field oxide layer in the main etch until a uniform silicon nitride layer. The second step uses C4F6 / argon (Ar) / CH2F2 to over-etch.

刻’之所以如此稱呼係因整個氧化層蝕刻時間設定要比氧 化層之設計厚度所需的蝕刻時間來得高。過度蝕刻可補償 由於Hung等人之基板具有波浪狀表面的事實,使得氧化 層之厚度產生不同的變化。因此需要過度蝕刻來確保氧化 層的穿透。接著在後續的金屬摻雜步驟之前利用CH2F2/ 氧氣(〇2)/氬氣(Ar)來蝕刻氮化層。主要的蝕刻可提供具有 良好垂直輪廓之孔洞,而具有強烈聚合作用之CH2F2則使 4 200305947 得氣聚合物沈積在角落之氮化物上,因而提供了薄化之某 種保護作用。此參考文獻主張在主要蝕刻中使用具有3或 多個碳原子且具有F/C比至少為!但小於2之碳氟化: 物。 〇 ^ ,丄’ 4寻人)等所 揭露的方法代表了傳統上相當顯著的進步且可用於廣 =兄’但這…係用於較大之特徵尺寸。因此 、 =之SAC之溝渠開口約為〇.35微米。然而,現今呼多 切體元件常需要小於G.25微米的溝渠開σ 2 小到0.1 4微米或者更小β π f甚至 不幸地,揭露於Hung等人的方 古之功效將因牿 寸的降低而降低。部分原因係因為縮 、政尺 較薄的氮化因而需要電漿對氮化 和用了 4* 有更兩的選摆祕 特別是在角落上的氮化層。目而,例如 楚擇14, 隔之元件其氮化層厚度約為500到7〇〇埃,ΐ·2〇5微米間 間隔之相對元件要薄約1〇〇到200埃。、^ 〇·35微米The reason why the inscription is so called is because the etching time of the entire oxide layer is set to be higher than the etching time required for the design thickness of the oxide layer. Excessive etching can compensate for the fact that the thickness of the oxide layer varies due to the fact that the substrate of Hung et al. Has a wavy surface. Excessive etching is therefore required to ensure penetration of the oxide layer. Then, the nitrided layer is etched using CH2F2 / oxygen (02) / argon (Ar) before the subsequent metal doping step. The main etch provides holes with a good vertical profile, while the strong polymerization of CH2F2 allows 4 200305947 gas-forming polymers to deposit on the corner nitrides, thus providing some protection of thinning. This reference claims to use 3 or more carbon atoms and have an F / C ratio of at least in the main etch! But less than 2 fluorocarbons. 〇 ^, 丄 ’4 find people) and other methods represent traditionally quite significant progress and can be used for a wide range of brothers, but this is for larger feature sizes. Therefore, the trench opening of SAC is about 0.35 microns. However, today's Huduo elements often require trenches smaller than G.25 microns to open σ 2 as small as 0.1 4 microns or smaller β π f. Even unfortunately, the efficacy of Fang Gu disclosed in Hung et al. Decrease and decrease. Part of the reason is that due to the shrinkage and thinner nitrides, plasma plasma nitriding is required and using 4 * has more choices, especially the nitride layer on the corner. For example, for example, in the case of Chu Zhe 14, the thickness of the nitride layer of the isolated element is about 500 to 700 angstroms, and the relative element with a spacing of 205 microns is about 100 to 200 angstroms thinner. , ^ 〇.35 microns

等人之主要蝕刻中的化學作用(最顯著的為 1 = HunS 對於特徵尺寸小於約〇·25微米之元 4 6虱氣(Ar)) 1干其較薄的氮介 選擇性不足的問題’結果是在角落乳化層有 术層產t 了 受的薄化程度。再者,雖然理論上可以 …、法接 蝕刻的時間以便到達角落之氮化層時終:场氧化層主要 此時間將因受到相當多製程變數影燮 一實際上 ,, 曰j爭實而難以6 Λ、 故每次蝕刻都會有相當不同的變化。 疋成, 再者,包含小特徵尺寸的許多應 而要蝕刻位 5 200305947 於換雜石夕之主動區上的氧化層,此主動區 佈植法或其它方法形成 ’係以離子 --王動區通常的厚户 蝕刻孔洞的深度(氧化層厚度)。 又;欲 耳昂反)然而,例如CF/与女, 之化學作用對於摻雜和未 軋Ar) 不诊雜氧化層為非選擇性The chemical action in the main etching of et al. (Most notably 1 = HunS for features with a size less than about 0.25 micrometers 4 6 lice (Ar)) 1 dry thinner nitrogen insufficient selectivity problem ' The result is a thinning of the emulsion layer at the corners. Furthermore, although theoretically it is possible to ... time the etching time so as to reach the corner of the nitrided layer: the field oxide layer will mainly be affected by considerable process variables at this time. In fact, it is difficult to say 6 Λ, so each etching will have quite different changes. In addition, many, including small feature sizes, need to be etched. 5 200305947 The oxide layer on the active area of the replacement stone, this active area implantation method or other methods to form 'systems based on ions--Wang Dong The thickness of the etched hole (thickness of the oxide layer) usually thick in the area. Yet; desires Ang counter) However, for example, the chemical action of CF / and female is non-selective for doped and unrolled Ar).

說,摻雜和未掺雜氧化層具有相似的餘刻速率)。基於: 面所述的時間問題,使用非選擇性氧化層蝕刻來蝕刻第】 圖中所示的基板,並且控制姓刻時間使其姓刻大部分或全 部的氧化矽層而不蝕刻到均句氮化層的平坦部分且進入底 部P型或η型井之主動矽區中將非常困難。 &That said, the doped and undoped oxide layers have similar epitaxial rates). Based on the above-mentioned problem of time, the substrate shown in the figure is etched using non-selective oxide layer etching, and the lasting time is controlled so that most or all of the silicon oxide layer is etched without etching to the uniform sentence. It will be very difficult to nitride the flat portion of the layer and enter the active silicon region of the bottom P-type or n-type well. &

某些氟利昂(Fre〇n)134化學品的使用如C2H2IVchi^ 氬氣(Αγ)也已在触刻製程中加以開發研究。這些化學作用 可促進保護性之氟聚合物層在蝕刻孔洞側壁形成,因而也 提供了角落之氮化層避免薄化的某些保護作用。然而,雖 然這些化學作用具有所需的性質,但到今天為止其配方和 方法並無法應用在特徵尺寸約0 · 1 8微米以下的餘刻,因 其產生了多餘的聚合物沈積而導致特徵尺寸的閉塞及不完 全银刻。 因而’於此技術中仍需要有對於光阻和氮化層(包括 平坦氮化層和角落氮化層兩者)具有高度選擇性的餘刻化 學,其不致承擔太多的聚合物沈積,且適合在小特徵尺寸 (例如’小於約 〇 · 1 8微米)之元件上使用。此些需求及复 它的需求均可由下面所要描述的本發明來滿足。 【發明内容】 6 200305947 於一態樣中,本發明係有關於蝕刻一基板,如半導體 或介電質基板的方法,並利用氧氣(〇2)和至少具有化學式 CaFb之第一氣體和具有化學式CxHyFz之第二氣體之混合 氣體電漿。這些氣體之化學組成需符合下述條件中至少一 個,更典型地至少兩個,最典型地則為符合所有的條件: a/b> 2/3 ; x/y2 1 /2 ;且The use of certain Freon 134 chemicals, such as C2H2IVchi, argon (Αγ), has also been developed and studied in the touch-etching process. These chemistries promote the formation of a protective fluoropolymer layer on the side walls of the etched holes, thus also providing some protection of the corner nitride layer from thinning. However, although these chemical effects have the required properties, their formulations and methods have not been applied to the remaining moments with a feature size of about 0. 18 microns or less because of the excess polymer deposition that results in feature sizes. Occlusion and incomplete silver engraving. Therefore, in this technology, there is still a need for a post-etch chemistry that is highly selective for photoresist and nitride layers (both flat nitride layers and corner nitride layers), which does not take too much polymer deposition, and Suitable for use on components with small feature sizes (eg, 'less than about 0.1 microns). These needs and others can be met by the present invention described below. [Summary of the Invention] 6 200305947 In one aspect, the present invention relates to a method for etching a substrate such as a semiconductor or dielectric substrate, and uses oxygen (〇2) and a first gas having at least a chemical formula CaFb and a chemical formula CxHyFz is a second gas plasma. The chemical composition of these gases must meet at least one of the following conditions, more typically at least two, and most typically all conditions: a / b >2/3; x / y2 1/2; and

x/y> 1/3 〇x / y > 1/3 〇

CxHyFz之分解可產生蝕刻孔洞側壁上依附良好的獨特 聚合物,因而產生了對角落氮化物之高選擇比。再者,由 於混合氣體中也包含了氧氣(〇2),此電漿更用來蝕刻具有 極小特徵尺寸(例如,小於約 0 · 2 5微米)的進一步結構而 不會造成孔洞的阻塞。因此,本方法更適合用來蝕刻例如 其閘極結構之間的間隔小於約0.25微米,小於約0.1 8微 米,且甚至小於約〇· 14微米的SAC結構。The decomposition of CxHyFz can result in a unique polymer with good adhesion on the side walls of the etched holes, resulting in a high selectivity to corner nitrides. Furthermore, since the mixed gas also contains oxygen (0 2), this plasma is used to etch further structures with very small feature sizes (for example, less than about 0. 25 microns) without causing blockage of holes. Therefore, the method is more suitable for etching SAC structures having, for example, a gap between the gate structures of less than about 0.25 microns, less than about 0.1 8 microns, and even less than about 0.14 microns.

於另一態樣中,本發明係有關於蝕刻一含有未摻雜氧 化層和摻雜氧化層之基板的方法。此基板包括了例如在閘 極結構之間的間隔小於約0.25微米之SAC結構,於閘極 結構之上的氮化物覆蓋層,及位於此覆蓋層之上的未摻雜 氧化層和摻雜氧化層,而摻雜氧化層位於未摻雜氧化層和 氮化物覆蓋層之間。接著未摻雜氧化層利用包括了化學式 CaFb之第一氣體的氣體流所產生的電漿加以蝕刻,直到 抵達摻雜氧化層為止。摻雜氧化層之到達可用例如光譜儀 分析工具來偵測摻質的出現而決定,或由其它適合的方 7 200305947 法。接著,摻雜氧化層利用包括了化學式CxHyFz之第二 氣體的氣體流所產生的電漿加以蝕刻。這些氣體之化學組 成需符合下述條件中至少一個,更典型地至少兩個,最典 型地則為符合所有的條件: a/b> 2/3 ; x/y2 1/2 ;且 x/y2 1/3 〇In another aspect, the present invention relates to a method for etching a substrate including an undoped oxide layer and a doped oxide layer. The substrate includes, for example, a SAC structure with a gap between gate structures of less than about 0.25 micrometers, a nitride cover layer over the gate structure, and an undoped oxide layer and a doped oxide layer over the cover layer. Layer, and the doped oxide layer is located between the undoped oxide layer and the nitride capping layer. The undoped oxide layer is then etched using a plasma generated by the gas flow of the first gas including the chemical formula CaFb until it reaches the doped oxide layer. The arrival of the doped oxide layer can be determined by, for example, spectrometer analysis tools to detect the presence of dopants, or by other suitable methods. Next, the doped oxide layer is etched using a plasma generated by a gas flow including a second gas of the chemical formula CxHyFz. The chemical composition of these gases must meet at least one of the following conditions, more typically at least two, and most typically all conditions: a / b >2/3; x / y2 1/2; and x / y2 1/3 〇

如同上面所提到的,由於CxHyFz使得新的氟化聚合 物沈積於孔洞的側壁而保護了底部之氮化層不被蝕刻,此 些氣體比起CaFb要有較佳的角落氮化層選擇比。另一方 面,在主蝕刻中CaFb之使用可以比單獨使用 CxHyFz產生 較佳的孔洞垂直輪廓之優點。再者,CaFb為非選擇性的 氧化層蝕刻,而某些 CxHyFz之混合氣體(例如 C2H2F4和 CHF3和氬氣(Ar))則顯示了在未摻雜氧化層上的蝕刻中止 特性。一般來說,第一氣體為C4F6且第二氣體為C2H2F4。As mentioned above, because CxHyFz allows new fluorinated polymers to be deposited on the sidewalls of the holes and protects the bottom nitride layer from being etched, these gases have a better corner nitride selection ratio than CaFb . On the other hand, the use of CaFb in the main etch can produce a better vertical hole profile than CxHyFz alone. Furthermore, CaFb is a non-selective oxide layer etch, and some CxHyFz gas mixtures (such as C2H2F4 and CHF3 and argon (Ar)) show etch stop characteristics on undoped oxide layers. Generally, the first gas is C4F6 and the second gas is C2H2F4.

於另一態樣中,本發明係有關於蝕刻一基板如半導體 或介電質基板的方法,並利用C4F6和C2H2F4混合氣體為 主所產生的電漿。此混合氣體一般更包含了氧氣(02),也 包含了氬氣(A〇或其它惰性氣體以作為載氣。 於另一態樣中,本發明係有關於蝕刻一基板如半導體 或介電質基板的方法,並包含了首先以 C4F6為主所產生 的電漿來蝕刻此基板,接著以C2H2F4為主所產生的電漿 來蝕刻此基板的步驟。 再於另一態樣中,本發明係關於蝕刻一基板的方法, 8 200305947 並至少句人 s 了步驟(a)放置一基板上含有第一 於反應室巾 第鍍層的結構 的群集中· ,u、 干导體層所組成 ,(b)供應反應混合氣體到反應室中, 體包含了 θ Τ 此混合氣 f具有化學式CaFb之第一氣體和罝 CxHyh之笛X 不”有化學式 第二氣體,其中a/b2 2/3且x/z> ] ·, 足夠的射瓶处θ Χ/Ζ> 1/2,⑷供應 頰此篁到反應室中以建立蝕刻電漿和垂 表面之纯人 1 土且於基板 、〜曰電場;(d)供應磁場到反應室中,此磁 …' 電场且平行於基板之表面;&(e)讓此電漿餘刻 至夕第一鍍層之一部分。 ❿ 再於其它的態樣中,本發明係關於蝕刻基板的方法, 包含了步驟(a)提供一選自半導體和介電質基板所組成之 群集的基板,及(b)蝕刻此基板,其中係以磁性增強反應 式離子蝕刻製程,此製程包括了加入氫基來源到混合氣體 中,其數量足以增加至少一個參數值,此參數則選自基板 之反應混合氣體的蝕刻速率和選擇比所組成之群集中。此 混合氣體包含了具有化學式CaFb之第一氣體和具有化學 式CxHyFz之第二氣體,其中a/b2 2/3且x/zs 1/2。 再於另一態樣中,本發明係關於一種蝕刻基板之設 備’其至J/包含一反應室加以調整並放置欲餘刻之基板, 且至少一貯存槽和此反應室互相連通。此至少一貯存槽可 加以調整而供應混合氣體到反應室中,該混合氣體包含了 化學式為CaFb之第一氣體和化學式為CxHyFz之第二氣體, 其中a/b22/3且x/d/2。此混合氣體一般也包含了氧氣。 於另一態樣中,本發明係關於蝕刻基板之方法,至少 9 200305947 包含了步驟(a)提供一基板,此基板係選自半導體和介電 質基板所組成的群集;(b)蝕刻此基板’係利用至少含有 qF6,氧氣(〇2)和氬氣(Ar)之混合氣體為主的電漿,因而 形成了修改後的基板;及(c)更進一步钱刻此修改後的基 板,係利用至少含有C4F6,氧氣(〇2),氬氣(Ar)和c μ 2Γ12^ 4 之混合氣體為主的電漿。 再於另一態樣中,本發明係關於蝕刻基板之方法,至 少包含了步驟(a)提供一基板,此基板包含了(i)第一鍍層, (Π)包含摻雜氧化層如硼磷矽玻璃之第二鍍層,(iii)包含 抗反射材質之第四鍍層,和(iv)第三鍍層,位於第二和第 四鍍層之間,且包含了未摻雜氧化層如四乙基偏石夕酸 (tetraethylorthosilicate); (b)钱刻此基板,並利用含有 C4F6,氧氣(〇2)和氬氣(Ar)之第一混合氣體為主之電漿, 以形成延伸經過第四鍍層之凹洞且至少部分穿過第三鍍 層,但並不延伸到第二鍵層;及(c)更進一步餘刻此基板, 並利用含有C4F6,氧氣(〇2),C2H2F4和氬氣(Ar)之第二混 合氣體為主之電漿,並且延伸凹洞進入第二鍍層。 更於其它的態樣中,本發明係關於電漿蝕刻製程中控 制外觀及/或濕清潔之間的平均晶圓(MWBWC)效能。依照 此方法,包含了 CxHyFz/CaFb /氧氣(〇2)之混合氣體係用於 此蝕刻製程中。CXHyFz/Ca!V氧氣(02)之比例加以適度運 用以控制聚合程度,並因而控制外觀和濕清潔之間的平均 晶圓(MWBWC)效能。 更於其它的態樣中’本發明係關於配備有SAC結構 200305947 之基板,SAC結構至少包含第一和第二閘極結構位於一 矽基板上。此閘極結構之間具有小於約〇 · 2 5微米之間隔, 一般為小於約〇 · 1 8微米,且最典型為小於約0 · 1 4微米, 並且覆蓋了 一層氮化矽層。一未摻雜氧化層則位於氮化矽 層之上,且一摻雜矽氧化層位於未摻雜氧化層和氮化矽層 之間。一般來說,摻雜氧化層之厚度足以覆蓋此SAC結 構。此結構更適於應用在包含C4F6和C2H2F4為主之混合 氣體(混合氣體中更可包含氧氣(〇2)及/或氬氣(Ar))的電漿 蝕刻操作中,或在以包含C4F6之第一氣體流和包含C2H2F4 之第二氣體流(此第一和第二氣體流更可包含氧氣(〇2)及/ 或氬氣(Ar))加以蝕刻的電漿蝕刻操作中,其中可利用光 譜學方法藉由偵測蝕刻反應室環境中來自摻雜氧化層之摻 質的濃度增加來決定未摻雜氧化層蝕刻之終點。如此一 來,即便製程參數有所變化仍可有效控制蝕刻方式,並避 免氮化層的變薄現象。 【實施方式】 在詳細說明之前,吾人需注意的是,本說明書和後附 之申請專利範圍中,單數形態”a”,”an”,和’’the”均包括 了複數個參考物,除非文章裏特別指明。 在此所列的百分比(%)為氣體體積百分比,且在此所 列的氣體組成均為體積比例。 在此所用的”選擇比”係用來做為a)兩或多種材料之蝕 刻比率和 b)當一種材料之银刻率和另外一種材料相差很 200305947 大的蚀刻情況 在此所使 Si〇x化學式的 玻璃(BPSG)和 在此所使 變化物,後者-之間。 現在即參 發明較佳實施 體現,並不限 本發明利 蝕刻基板之電 化物及/或其它 型式。 有許多種 中所使用之特 料、氣體對一 的選擇比、餘 專有關。再者 者蝕刻操作之 然而,用 CaFb 和 CxHyI 二氣體分別在 之氣體流則包 用的”氧化物”一般為二氧化矽和其它普通 氧化石夕,及其它相當接近的材料如硼鱗石夕 其它的氧化玻璃。 用的’’氮化物,,為氮化矽(S^N4)和其化學計息 -般包含了化學式SiNx,其中X介於1和 A .5 考附圖較完整的描述本發明,其中並顯示本 例。然而,本發明可在許多不同形式j \丄刀口以 於這裏所描述的實施例。 用了含有特殊碳氟氣體之氣體流以產生 漿。此欲蝕刻之基板一般包含了氧化物、 、氣 【用於半導體元件製造之半導體或介電持料 氣體可用於本發明之氣體流中。在此氣 別選擇的氣體則與欲蝕刻之特殊基板 或多種欲蝕刻材料如氮化層或光阻層所 刻製程中特殊製程點和其它種種類似的 ’氣體流之組成可為因時間而變化的函 進展的函數。 於本發明之較佳氣體則定義為一般化 7Z。典型地,雖然在某些實施例中第一 獨立的製程步驟中加以使用,本發明所 含了具有化學式CaFb之第一氣體和具 或材 需要 因素 數或 學式 和第 利用 有化 12 200305947In another aspect, the present invention relates to a method for etching a substrate such as a semiconductor or a dielectric substrate, and a plasma generated mainly by using a mixed gas of C4F6 and C2H2F4. The mixed gas generally includes oxygen (02), and also argon (A0 or other inert gas as a carrier gas. In another aspect, the present invention relates to etching a substrate such as a semiconductor or a dielectric. The method of the substrate includes the steps of first etching the substrate using a plasma generated mainly by C4F6, and then etching the substrate using a plasma generated mainly by C2H2F4. In another aspect, the present invention is Regarding the method of etching a substrate, 8 200305947 and at least one person performed step (a) placing a substrate on a substrate containing a structure having a first plating layer on the reaction chamber, consisting of u, a dry conductor layer, (b) The reaction mixture gas is supplied to the reaction chamber. The body contains θ T. This mixture gas f has a first gas of the chemical formula CaFb and 罝 CxHyh's flute X does not have a second gas of the chemical formula, where a / b2 2/3 and x / z >], Enough shot bottle θ χ / Z > 1/2, ⑷ supply the cheek 篁 into the reaction chamber to establish the pure human etched plasma and vertical surface 1 soil and the substrate, ~ said electric field; (d ) Supply a magnetic field to the reaction chamber. This magnetic ... 'electric field is parallel to the base The surface of the board; & (e) Allow this plasma to remain part of the first plating layer. ❿ In other aspects, the present invention relates to a method for etching a substrate, including step (a) to provide an option A cluster substrate composed of a semiconductor and a dielectric substrate, and (b) etching the substrate, wherein a magnetically enhanced reactive ion etching process is used, which includes adding a hydrogen-based source to the mixed gas, the amount of which is sufficient to increase At least one parameter value, this parameter is selected from a cluster consisting of an etching rate and a selection ratio of a reaction mixture gas of the substrate. The mixture gas includes a first gas having a chemical formula CaFb and a second gas having a chemical formula CxHyFz, where a / b2 2/3 and x / zs 1/2. In yet another aspect, the present invention relates to a device for etching a substrate, which includes a reaction chamber to adjust and place a substrate to be etched, and At least one storage tank and the reaction chamber communicate with each other. The at least one storage tank can be adjusted to supply a mixed gas into the reaction chamber. The mixed gas includes a first gas having a chemical formula CaFb and a chemical formula CxHyF. The second gas of z, wherein a / b22 / 3 and x / d / 2. This mixed gas also generally contains oxygen. In another aspect, the present invention relates to a method for etching a substrate, at least 9 200305947 including steps (A) a substrate is provided, the substrate is selected from the group consisting of a semiconductor and a dielectric substrate; (b) the substrate is etched using a mixed gas containing at least qF6, oxygen (02) and argon (Ar) The main substrate is the modified plasma, and (c) the modified substrate is further engraved, using at least C4F6, oxygen (〇2), argon (Ar), and c μ 2Γ12 ^ 4 mixed gas-based plasma. In yet another aspect, the present invention relates to a method for etching a substrate, including at least step (a) providing a substrate, the substrate including (i) a first plating layer, and (Π) including a doped oxide layer such as boron phosphorus The second plating layer of silica glass, (iii) a fourth plating layer including an anti-reflective material, and (iv) a third plating layer, located between the second and fourth plating layers, and including an undoped oxide layer such as tetraethylimide Tetraethylorthosilicate; (b) The substrate is engraved with a plasma containing a first mixed gas containing C4F6, oxygen (02), and argon (Ar) to form a layer extending through the fourth plating layer. The cavity penetrates at least partially through the third plating layer, but does not extend to the second bonding layer; and (c) the substrate is further etched, and uses C4F6, oxygen (〇2), C2H2F4, and argon (Ar) The second mixed gas is the main plasma and extends the recess into the second plating layer. In still other aspects, the present invention relates to controlling the average wafer (MWBWC) performance between appearance and / or wet cleaning in a plasma etching process. According to this method, a mixed gas system containing CxHyFz / CaFb / oxygen (02) is used in this etching process. The ratio of CXHyFz / Ca! V oxygen (02) is moderately used to control the degree of polymerization, and thus the average wafer (MWBWC) performance between appearance and wet cleaning. In another aspect, the invention relates to a substrate equipped with a SAC structure 200305947. The SAC structure includes at least first and second gate structures on a silicon substrate. The gate structures have a gap of less than about 0.25 micrometers, generally less than about 0.18 micrometers, and most typically less than about 0.14 micrometers, and are covered with a silicon nitride layer. An undoped oxide layer is located on the silicon nitride layer, and a doped silicon oxide layer is located between the undoped oxide layer and the silicon nitride layer. Generally, the thickness of the doped oxide layer is sufficient to cover the SAC structure. This structure is more suitable for plasma etching operations containing a mixed gas containing C4F6 and C2H2F4 (the mixed gas may further include oxygen (02) and / or argon (Ar)), or in a plasma etching operation containing C4F6. The first gas stream and the second gas stream containing C2H2F4 (the first and second gas streams may further include oxygen (02) and / or argon (Ar)) are etched in a plasma etching operation. The spectroscopic method determines the end point of the undoped oxide layer by detecting the increase in the concentration of dopants from the doped oxide layer in the environment of the etching reaction chamber. In this way, even if the process parameters are changed, the etching method can be effectively controlled, and the thinning of the nitride layer can be avoided. [Embodiment] Before explaining in detail, I should note that in the scope of this specification and the attached patent application, the singular forms "a", "an", and "the" all include multiple references, unless It is specifically stated in the article. The percentage (%) listed here is the gas volume percentage, and the gas composition listed here is a volume ratio. The "selection ratio" used herein is used as a) two or more Etching ratio of materials and b) When the silver etching rate of one material is very different from that of another material 200305947, the etching situation here is made by the glass of the SiOx chemical formula (BPSG) and the variation made here, the latter-between The present invention is referred to the preferred embodiment of the present invention, and is not limited to the use of the present invention to etch substrates and / or other types. There are many kinds of special materials, gas to one selection ratios, and more. However, the "oxide" used in the gas flow of CaFb and CxHyI, respectively, is generally silicon dioxide and other ordinary oxides, and other fairly close materials such as Lithium oxide and other oxidized glass. The "nitride" used is silicon nitride (S ^ N4) and its chemical interest-generally contains the chemical formula SiNx, where X is between 1 and A .5 The present invention is fully described, and this example is shown. However, the present invention can be used in many different forms such as the embodiments described herein. A gas stream containing a particular fluorocarbon gas is used to produce a slurry. The substrate to be etched generally contains oxides, gases, [Semiconductor or dielectric holding gas used in the manufacture of semiconductor components can be used in the gas flow of the present invention. The gas selected here is different from the special substrate or multiple substrates to be etched. The composition of the special process points and other similar 'gas streams' in the process of the material to be etched, such as a nitride layer or a photoresist layer, can be a function of the progress of the function as a function of time. The preferred gas in the present invention is defined as Generalize 7Z. Typically, although it is used in the first independent process step in some embodiments, the present invention contains a first gas and a material with a chemical formula CaFb, which requires a factor or a scientific formula and a first Utilization Youhua 12 200305947

學式 CxHyFz之第二氣體之混合。因此,例如第一氣體可 能應用在第一蝕刻步驟(例如主要的蝕刻中),且第二氣體 可能應用在第二蝕刻步驟(例如過度蝕刻中)。這些氣體之 化學組成將使得至少有一項,或者至少有二項,最好則是 下列所有的條件均符合: a/b> 2/3 ; x/y> 1/2 ;且 x/y> 1/3 〇 於較佳實施例中,第一氣體為 C4F6且第二氣體為 C2H2F4(氟利昂(Freon)134)。然而,在某些情況中,也適 合將氟 利 昂(Freon)134 以 CH3F(x/y = l/3), CH2F2(x/y=l/2),及 /或三氟甲烷(CHF3,x/y=l)來替代。 同時,在某些情況中也適合將C4F6以八氟環丁烷(C4F8)來 替代。Mix the second gas of formula CxHyFz. Therefore, for example, the first gas may be applied in the first etching step (for example, the main etching), and the second gas may be applied in the second etching step (for example, the over-etching). The chemical composition of these gases will allow at least one, or at least two, and preferably all of the following conditions to be met: a / b >2/3; x / y >1/2; and x / y > 1 / 3 In a preferred embodiment, the first gas is C4F6 and the second gas is C2H2F4 (Freon 134). However, in some cases, it is also appropriate to use Freon 134 with CH3F (x / y = l / 3), CH2F2 (x / y = l / 2), and / or trifluoromethane (CHF3, x / y = l) instead. At the same time, it is also suitable to replace C4F6 with octafluorocyclobutane (C4F8) in some cases.

用於本發明之氣體流一般也包含了惰性載氣。氬氣為 較佳的載氣,部分是因為其價錢低廉且容易由許多商業來 源取得。然而,其它的惰性氣體如氮氣,氦氣或氙氣等也 可用於本發明的情況。 用於本發明之氣體流一般也包含了氧氣(02)。氧氣加 到本發明之氣體流中可提供數個優點。特別是許多氣體, 例如C2H2F4,並不能用於蝕刻閘極結構間的間隔小於〇. 1 8 微米之SAC結構,因為在典型的蝕刻條件下會產生多餘 的聚合作用而阻塞了欲蝕刻之孔洞。相較之下,含有氧氣 (02)和C4F6之氣體流用來蝕刻此類結構則不會產生孔洞 13 200305947 的阻塞。而的確C4F6/氧氣(〇2)已經成功的用於蝕刻小於 約〇 · 1 4微米之特徵尺寸。在某些情況中,類似的結果也 可以臭氧或某些部份添加氟素或全氟乙鱗(perflu〇rinate ether)的氣體取代氧氣而得到。 於某些實施例中,氣體流也可包含了一氧化碳(co)。 使用一氧化碳(CO)的優點是在某些例子其可用來增加電 漿之碳原子含量,因而可達到高程度的聚合作用。此作用 在例如光阻層需要有極高之選擇比的範例中變得非常重 要。習知的其它添加物也可因不同的目的而加入氣體流 中。 由本發明之氣體流所產生之電漿包含了具所需之碳濃 度的適量碳氟根CFn(n=l,2,3)。經由適度的製程參數處 理’例如CaFb/CxHyFz和CaFb/氧氣(02)氣體比例,整個氣 體流量,添加之氣體流量,射頻功率,反應室壓力,和B 電場強度,可在被蝕刻之基板表面上產生適度的聚合作 用。所形成之高碳原子濃度聚合物在大範圍之介電層蝕刻 應用中提供了絕佳的功效,並改善了角落和平坦氮化物的 擇比’光阻層之選擇比,底層選擇比,和底部關鍵尺寸 的岣勻度。 再者,藉由調整氣體流中CxHyFz/CaFb/氧氣(〇2)之比 例及其所產生的聚合作用程度,即可達到較佳的外觀輪廓 控制和濕清潔之間的平均晶圓(MWBWC)功效。另外,由 於電漿含有較少的自由氟原子,因而使得蚀刻製程對欲钱 刻之薄膜較不敏感。故在摻雜和未換雜之介電層之間較不 14 200305947 需要進行參數調整。 上面所定義之第一和第二氣體之混合特別適用於本發 明並可提供數個優點。因此,例如可發現以CxHyFz氣體 為主之電漿對未掺雜之氧化層具選擇能力。然而,足量之 CaFb加入製程混合氣體中可使得產生之電漿蝕刻未摻雜 氧化層到所需的深度而不需任何蝕刻中止步驟。相反地, 當需要在未摻雜氧化層上進行蝕刻中止步驟時,CaFb在 混合物中的比例也可用來做為製程的節點。特別地,當未 鲁 摻雜氧化層趨近於中止蝕刻時,caFb在混合氣體中的比 例可加以調降(有需要時甚至到零)。光譜技術或其它適當 的方法可用來偵測摻雜或未摻雜氧化層的蝕刻程度,典型 的方法為監視反應室的氣氛而增加或減少摻雜物濃度。 混合氣體也可依照本發明的需求而提供高的氮化物選 擇比’特別是當這些混合物包含氧氣時。因此,例如C4f6/ 氧氣(〇2)/氛氣(Ar)/C2H2F4之化學物可提供SAC應用中侧 壁氮化物和平坦氮化物良好的保護作用。對比之下,C4F6/ 氧氣(〇2)/氬氣(Ar)之化學物並未顯現高的角落氮化物選擇 比,但其仍然具有良好的平坦氮化物選擇比。 依照本發明所進行的蝕刻一般係於低壓反應室中使用 電聚來姓刻維持於其中的基板。適合於本發明之蝕刻元件 並λ有特別限制。更明確的說,本發明所使用的方法可利 用5午多已知的電漿反應器加以實現。此類的反應器包括 了 】如IPS餘刻反應器,其可由Applied Materials公 司講付並且描述於美國專利號碼6,23 8,5 88(Collins等人) 15 200305947 和歐洲專利公告號EP-840,365-A2中,及描述於美國專利 號碼6,705,08 1和6,174,45 1(Hung等人)中的反應器。 然而,本發明所使用的方法一般係利用磁場增強反應 式離子蝕刻(MERIE)反應室中加入低或中密度電漿而加以 實現的。此蝕刻反應室和氣體貯存槽連接以產生電漿。此 些貯存槽可能包含了例如氬氣(Ar),氧氣(02),一氧化碳 (CO),氨(NH3),CxHyFz和CaFb等氣體的圓柱狀鋼瓶。The gas stream used in the present invention typically also contains an inert carrier gas. Argon is the preferred carrier gas, in part because it is inexpensive and readily available from many commercial sources. However, other inert gases such as nitrogen, helium or xenon can also be used in the case of the present invention. The gas stream used in the present invention generally also contains oxygen (02). The addition of oxygen to the gas stream of the present invention provides several advantages. In particular, many gases, such as C2H2F4, cannot be used to etch SAC structures with a gap between the gate structures less than 0.1 micron, because excess polymerization will occur under typical etching conditions to block the holes to be etched. In contrast, a gas stream containing oxygen (02) and C4F6 is used to etch such structures without blocking holes 13 200305947. Indeed, C4F6 / oxygen (02) has been successfully used to etch feature sizes of less than about 0.1 microns. In some cases, similar results can also be obtained by replacing the oxygen with ozone or some gases with fluorine or perfluorate ether. In some embodiments, the gas stream may also include carbon monoxide (co). The advantage of using carbon monoxide (CO) is that in some cases it can be used to increase the carbon atom content of the plasma, thus achieving a high degree of polymerization. This effect becomes very important in, for example, the case where the photoresist layer requires an extremely high selection ratio. Other conventional additives can also be added to the gas stream for different purposes. The plasma generated by the gas stream of the present invention contains an appropriate amount of fluorocarbon CFn (n = 1, 2, 3) having a desired carbon concentration. Processed through appropriate process parameters, such as CaFb / CxHyFz and CaFb / oxygen (02) gas ratios, total gas flow, added gas flow, RF power, reaction chamber pressure, and B electric field strength, can be on the surface of the substrate being etched Produces moderate polymerization. The formed high carbon atom concentration polymer provides excellent efficacy in a wide range of dielectric layer etching applications, and improves the corner and flat nitride selection ratio, the photoresist layer selection ratio, the bottom layer selection ratio, and Crimp uniformity at the bottom critical dimension. Furthermore, by adjusting the ratio of CxHyFz / CaFb / oxygen (〇2) in the gas flow and the degree of polymerization produced by it, a better average wafer (MWBWC) between contour control and wet cleaning can be achieved efficacy. In addition, because the plasma contains fewer free fluorine atoms, the etching process is less sensitive to thin films that need to be etched. Therefore, there is less difference between the doped and non-doped dielectric layers. 14 200305947 Parameter adjustment is required. The mixing of the first and second gases as defined above is particularly applicable to the present invention and may provide several advantages. Therefore, for example, it can be found that a plasma dominated by CxHyFz gas has an ability to select an undoped oxide layer. However, adding a sufficient amount of CaFb to the process mixture gas can cause the resulting plasma to etch the undoped oxide layer to the required depth without any etching stop step. Conversely, when an etching stop step is required on the undoped oxide layer, the proportion of CaFb in the mixture can also be used as a node in the process. In particular, when the non-doped oxide layer is approaching to stop the etching, the proportion of caFb in the mixed gas can be reduced (even to zero if necessary). Spectroscopy or other suitable methods can be used to detect the degree of etching of the doped or undoped oxide layer. The typical method is to increase or decrease the dopant concentration by monitoring the atmosphere of the reaction chamber. The mixed gas can also provide a high nitride selection ratio ' according to the requirements of the present invention, especially when these mixtures contain oxygen. Therefore, chemicals such as C4f6 / O2 / Ar / C2H2F4 can provide good protection for sidewall nitrides and flat nitrides in SAC applications. In contrast, the C4F6 / oxygen (02) / argon (Ar) chemical does not show a high corner nitride selection ratio, but it still has a good flat nitride selection ratio. The etching performed in accordance with the present invention is generally performed in a low-pressure reaction chamber using electropolymerization to maintain a substrate engraved therein. The etching element suitable for the present invention is not particularly limited. More specifically, the method used in the present invention can be carried out using a plasma reactor known for more than 5 days. Reactors of this type include, for example, IPS epitaxial reactors, which are available from Applied Materials and are described in US Patent No. 6,23 8,5 88 (Collins et al.) 15 200305947 and European Patent Publication No. EP-840,365 -A2, and described in U.S. Patent Nos. 6,705,08 1 and 6,174,45 1 (Hung et al.). However, the method used in the present invention is generally implemented by using a magnetic field enhanced reactive ion etching (MERIE) reaction chamber by adding a low or medium density plasma. This etching reaction chamber is connected to a gas storage tank to generate a plasma. These storage tanks may contain cylindrical steel cylinders such as argon (Ar), oxygen (02), carbon monoxide (CO), ammonia (NH3), CxHyFz, and CaFb.

第2圖為適用於本發明之MERIE系統100的簡化示 意圖。此系統100包括了製程反應室101。反應室101包 含了一組側壁102,底層104和上蓋106而定義出密閉空 間。氣體面板11 〇則供應反應氣體(蝕刻化學物)到反應室 1 〇 1所定義的密閉空間中。系統1 〇〇更包括了射頻電源供 應1 2 2和匹配電路1 2 0以驅動基座組合1 0 8,使得基座組 合108和反應室側壁102及上蓋106之間產生電場。一組 線圈1 03則排列在反應室1 01之側壁1 02周圍以便控制電 漿124的磁場。Figure 2 is a simplified illustration of a MERIE system 100 suitable for use in the present invention. The system 100 includes a process reaction chamber 101. The reaction chamber 101 includes a set of side walls 102, a bottom layer 104 and an upper cover 106 to define a closed space. The gas panel 11 〇 supplies the reaction gas (etching chemicals) to the confined space defined by the reaction chamber 101. The system 100 further includes a radio frequency power supply 12 and a matching circuit 120 to drive the base combination 108, so that an electric field is generated between the base combination 108 and the side wall 102 and the upper cover 106 of the reaction chamber. A set of coils 103 is arranged around the side wall 102 of the reaction chamber 101 to control the magnetic field of the plasma 124.

基座組合108包含了基座114位於反應室101中心的 陰極11 2上並由環狀1 1 8所圍繞。基座上則有例如半導體 晶圓之工件116欲在反應室101中進行處理。電漿反應室 1 0 1利用電容耦合式射頻功率以產生並維持低能量電漿 1 24。電漿可以是低、中或高密度,但本發明中則較適合 低到中密度的電漿。射頻功率係由射頻電源供應1 22產生 一或多個射頻頻率到匹配電路1 20加以耦合的。上蓋1 06 和側壁 1 02乃加以接地並作為射頻功率的接地電位(陽 16 200305947 極)。在第2圖所示的結構中,電源供應丨22經由匹配電 路120提供射頻功率來控制電漿密度。 於半導體晶圓製程中,陰極112由鋁金屬等導體材料 斤裝成基座114 一般則由聚合物如聚酿亞胺(poiyimide) 或陶瓷材料如氮化鋁或氮化硼所製成。工件11 6(也就是 半導體晶圓)則由矽所製成。耦合到電漿的電場通過工件 和基座二個部分。由於陰極和工件由不同材料所製成,這 些材料對於電漿也有不同的效應。結果,在晶圓邊緣1 % 上的電漿參數有不同的變化並產生不同的製程均勻性。為 改善晶圓邊緣的製程均勻性,環狀118乃加以圍繞並和部 分的基座114重疊。環狀118(也稱作製程配件)通常由石 英所製成。 使用時’經由氣體面板丨丨〇可從一或多個氣體源供應 氣體流° 一般地,這些氣體源為含有不同蝕刻化合物成分 如氬氣(Ar),氧氣(〇2),和C2h2f4之加壓槽,並由一 或多個氣體進料口連接到氣體面板上。氣體源一般由系統 控制器直接或間接的加以控制,而製程配方則儲存在系統 控制器的磁性或半導體記憶體中,因此來自這些氣體源的 氣體流可以在反應室氛圍中獨立的調節以控制或調整化合 物之組成。真空抽氣系統則連接到反應室以維持反應室的 壓力。 多種配件及對MERIE反應室和技術的改良發展對於 本發明的實施有著正面的效益。例如,美國專利號碼 6,232,236(Shan等人)描述了在MERIE反應室中之晶圓表 17 200305947 面 良 人 實 做 型 助 纖 以 未 統 號 由 優 構 米 此 結 落 角 層 上電聚均句性和離 方法 罝之控制和原子團之均勻性等改 ' ’以便提供更均勺日击® 斛^旯句q且重覆性的晶圓蝕刻。Shan等 @述·的這此方法《盆 偷士 一万法#其改善的MERIE反應室也可用於 施本發明。 κ 放射光谱學(〇ES)可有效的在本發明電漿蝕刻中 ^ ·, Μ刻偵測監視程序。纟第2圖中所描繪的反應室 〗如了以k供一光纖穿過反應室壁的孔洞,以幫 側面输鼓a間 、 ’、曰曰圓上之電漿區域。一光學偵測系統連接到光 ' 端’其並可包括一或多個光學濾光器和處理電路 二調’P到電漿中一或多個成分的電漿放射光譜。不管是 地理的偵測訊號或觸發訊號均供應到系統控制器中,系 控制器並在新訊號產生或舊訊號衰減等狀況下利用此訊 來、疋餘刻製程中的步驟是否完成。系統控制器並可藉 此決定程序來調整製程配方或終止此蝕刻步驟。 本發明之某些應用中,欲蝕刻之基板可設計並利用此 、占來决疋餘刻終點。例如,具有小特徵尺寸的進一步結 如SAC結構中,其閘極結構之間的間隔小於約〇·25微 ’角落的氮化物選擇比就非常重要了。而部分原因係因 較小特徵尺寸需要較小厚度且均勻的氮化層覆蓋於閘極 構上的事實(一般的範圍在500到700埃之間)。由於角 之氮化物一般較容易變薄,因而需要進一步增加電漿之 落氮化物選擇比以補償此趨勢。 於本發明中,藉由沈積一未摻雜氧化層和一摻雜氧化 於SAC結構上,並將摻雜層位於未摻雜層和均勻氮化 18 200305947 層之間即可解決上述問題。未摻雜氧化層接著在主要蝕刻 程序中利用如Gj?6之化學品加以蝕刻以提供良好的垂直 邪 接耆利用OES在形成摻雜氧化層之摻雜物(通常的 材料為蝴)的蝕刻反室環境中偵測其出現時機,並標示主 要餘刻的終點。蝕刻化學品接著變成C2H2F4或其它材料 以提高角落氮化物選擇比。此改變化學品的方式可在終點 到達時完全以c2H2F4代替C4F6,或者僅僅是在氣體流中 增加C2H2F4之濃度且同時減低c4F6之濃度來做到。經由 此兩步驟製程之使用,當孔洞的深度接近氮化層時主要蝕 刻較容易控制並停止,因而避免了氮化層的變薄。 未摻雜氧化層結合主要蝕刻劑C4F6的使用,其優點 疋hF6提供了良好的垂直輪廓而不會阻塞孔洞。相反的, 由於聚合作用的緣故,在某些應用中光使用C2H2F4化學 品將使孔洞變細而終致孔洞頂端阻塞的結果。然而,熟知 此項技術的人都可理解,當某些應用只需要較淺的孔洞(例 如,小於約3 0 0 0到4 0 0 0埃)且因此阻塞的可能性降到最 低而良好的垂直輪廓變得不那麼重要時,整個氧化層即可 加以摻雜,而在單一蝕刻步驟中也可利用c2h2f4來定義 出孔洞。 本發明之方法可製造出數種型式之進階結構。此類進 階結構之一範例為第3圖之截面所示的兩個電晶體之自我 對準接觸孔洞(SAC)結構。此SAC結構位於例如氧化矽或 氮化矽之矽基板202之上。此SAC結構係由沈積閘極氧 化層203,複晶矽層204(可為摻雜或未摻雜)和氧化層硬 200305947 罩幕205’並以微影蝕刻製程在此些鍍層上形成兩個距離 相近的閘極結構2 1 0和之間的間隔2 1 2。 接著利用化學氣相沈積法在晶圓上的閘極結構2丨〇之 頂端和側面及間隔2 1 2之底部2丨5上沈積一層厚度約1 〇〇 到500埃的均勻氮化矽(si^4)層。氮化層的作用如同一 電性絕緣層。摻雜離子利用閘極結構2丨〇作為罩幕進行離 子植入而形成p型或η型井216,其作為兩個電晶體之不 同閘極2 1 0的共同源極。電晶體之汲極結構則未標示出 來0 一氧化層沈積於先前所定義出的結構上。此氧化層一 般具有約9000埃的厚度且可為單一場氧化層,或者如第 3圖中所示的為一兩部分的結構,其中第一部分5〇〇〇埃 厚度之鍍層207為四氧乙基矽(TE0S)/Pet cos/PSG(以硼 磷矽玻璃(BPSG)/磷矽玻璃(pSG)填入閘極間的間隔中), 而接下來的4000埃則為未摻雜氧化層208。 大約4000埃和約9000埃之間的光阻層220沈積於氧 化層208之上並以光阻圖案定義成罩幕層,接著後續的氧 化層#刻步驟在氧化層2 0 8中餘刻出接觸孔洞2 2 2,並停 在孔洞222之下的氮化層214之區域224上。後續蝕刻濺 鍍則用來移除間隔212之底部215上的氮化層區域224。 氮化矽層通常作為後續填入接觸孔洞222之金屬如鋁金屬 的電性絕緣層。於某些實施例中,可選擇性的利用雙折射 抗反射鑛層(BARC)223或其它型式的材料來消除駐波的 不利影響。此材料一般的厚度小於約9 0 0埃,並沈積於氧 20 200305947 化層和光阻層之間。 第3圖中所示的社播 刃〜構有幾個可能的變化 而在其它 因 以 的,、體實施例中,硬 加 取代: 硬罩幕可用下列三個鍍層順序之〆加 (1) 一氮化矽層; (2) -發化鶴層(WsiJ,_氮切層,和〆氧化廣硬 罩幕(依順序);或者 ()石夕化鎢層(WSiJ和-氮化矽層(依順序)。 慮 本發明之氣體混合所提供的選擇比之重要性玎由考 点SAC和其它進階結構所提供的優點,及這些結構所造 的挑戰而能夠有所瞭解。由於翁於私 μ ^ ^ ^ 由於氮化物的作用如同一絕緣 玲,SAC結構和製程所提供的接觸孔洞2叨直徑一般約 ,〇·ΐ4到約〇·25微米,其具有比閘極結構21()之間的間 隔2 1 2還要寬的優點。此外,閘極結構2丨〇 的姒旦〇 心按獨孔洞222 的微衫蝕刻程序並不需要特別精確。然而, j雙到此 利的結果,SAC氧化層蝕刻對於氮化物的選擇比必 有 別高。選擇比數值之計算為氧化物對氮化物蝕刻 靖特 一Τ’ % | 例。由於角落226為氮化物曝露在氧化物蝕刻最 b 八 長的部 分,在間隔212之上和旁邊的氮化層214之角落& 1 6的選 擇比特別的重要。再者,其幾何外觀也使得蝕刻變〜 &更快 而產生角落226的變薄。 再者,當利用化學機械研磨(CMP)平坦化蜷曲曰 曰曰圓上 之氧化層的使用增加時,同時也需要增加選擇比。乘 卞i旦化 使得波浪狀底部基材之上的氧化層表面變得平坦,窗 而讓 21 200305947 氧化層之厚度變得相當不同。結果使得蝕刻氧化層的時間 必需比蚀刻設計厚度的時間來得高,例如1 〇 〇 %,以確保 氧化層可被餘穿。此方法稱為過度蝕刻,並且和其它製程 變異有關。然而,在氧化層較薄之區域,氮化層曝露在蝕 刻環境的時間將會加長。 最後’選擇比需要的程度反應在閘極結構2 ][ 0和填入 接觸孔洞222中金屬之間電性短路的可能性。由於光阻層 220通常要比氮化層214厚得多,光阻層選擇比並不像氮 化物選擇比那麼重要,但蝕刻對光阻層也需具一定的選擇 比0 現在將參考下列非受限制的範例來說明本發明: 實施例1 此實驗說明了氟利昂(Freon) 134在未摻雜氧化層上蝕 刻中止的狀況。The pedestal assembly 108 includes a pedestal 114 located on the cathode 11 2 in the center of the reaction chamber 101 and surrounded by a ring 1 1 8. On the pedestal, a workpiece 116, such as a semiconductor wafer, is to be processed in the reaction chamber 101. The plasma reaction chamber 1 0 1 uses capacitively coupled RF power to generate and maintain a low energy plasma 1 24. The plasma may be low, medium or high density, but low to medium density plasmas are more suitable in the present invention. The RF power is generated by the RF power supply 1 22, and one or more RF frequencies are coupled to the matching circuit 120. The upper cover 1 06 and the side wall 102 are grounded and used as the ground potential of the RF power (male 16 200305947 pole). In the structure shown in FIG. 2, the power supply 22 provides radio frequency power through the matching circuit 120 to control the plasma density. In the semiconductor wafer manufacturing process, the cathode 112 is made of a conductive material such as aluminum metal into a base 114, and is generally made of a polymer such as poiyimide or a ceramic material such as aluminum nitride or boron nitride. The workpiece 116 (ie, the semiconductor wafer) is made of silicon. The electric field coupled to the plasma passes through the workpiece and the base. Since the cathode and the workpiece are made of different materials, these materials also have different effects on the plasma. As a result, the plasma parameters at 1% of the wafer edge have different variations and produce different process uniformities. To improve the uniformity of the wafer edge process, the ring 118 is surrounded and overlaps a portion of the susceptor 114. Ring 118 (also known as process accessory) is usually made of stone. When used 'via a gas panel, gas streams can be supplied from one or more gas sources. Generally, these gas sources are those containing different etching compound components such as argon (Ar), oxygen (〇2), and C2h2f4 plus The pressure tank is connected to the gas panel by one or more gas inlets. The gas source is generally controlled directly or indirectly by the system controller, and the process recipe is stored in the magnetic or semiconductor memory of the system controller, so the gas flow from these gas sources can be independently adjusted in the reaction chamber atmosphere to control Or adjust the composition of the compound. A vacuum evacuation system is connected to the reaction chamber to maintain the pressure in the reaction chamber. A variety of accessories and improvements to the MERIE reaction chamber and technology have positive benefits for the implementation of this invention. For example, U.S. Patent No. 6,232,236 (Shan et al.) Describes the wafer table in the MERIE reaction chamber. In order to provide a more uniform wafer etch, the control of the atomization and the uniformity of the atomic groups and the uniformity of the atomic groups can be improved. The method described by Shan et al. @ 述 · "Boss Stealer One Thousand Methods" and its improved MERIE reaction chamber can also be used to implement the present invention. κ radiation spectroscopy (〇ES) can effectively detect the monitoring process in the plasma etching of the present invention.的 The reaction chamber depicted in Figure 2 is such that a fiber is passed through a hole in the wall of the reaction chamber with k to help the side to feed the plasma area between the drums a and y. An optical detection system is connected to the light 'end' and may include one or more optical filters and processing circuits to adjust the plasma emission spectrum of one or more components in the plasma to one or more components. Regardless of whether the geographic detection signal or trigger signal is supplied to the system controller, the controller will use this signal to generate the new signal or the old signal is attenuated. Will the steps in the process be completed? The system controller can use this decision procedure to adjust the process recipe or terminate this etching step. In some applications of the present invention, the substrate to be etched can be designed and used to determine the end point of the remaining moment. For example, further features with small feature sizes, such as in a SAC structure, where the spacing between the gate structures is less than about 0.25 micron, the nitride selection ratio is very important. This is partly due to the fact that smaller feature sizes require smaller thicknesses and uniform nitride layers to cover the gate structure (typically in the range of 500 to 700 angstroms). Since corner nitrides are generally thinner, it is necessary to further increase the plasma's falling nitride selection ratio to compensate for this trend. In the present invention, the above problems can be solved by depositing an undoped oxide layer and a doped oxide on the SAC structure, and positioning the doped layer between the undoped layer and the uniformly nitrided layer. The undoped oxide layer is then etched using a chemical such as Gj? 6 in the main etch process to provide a good vertical junction. OES is used to etch the dopant (usually a butterfly) of the doped oxide layer. Detect its appearance in an anti-chamber environment and mark the end of the main moment. Etching chemicals then become C2H2F4 or other materials to increase corner nitride selectivity. This way of changing chemicals can be done by completely replacing C4F6 with c2H2F4 when the end point is reached, or simply increasing the concentration of C2H2F4 in the gas stream while reducing the concentration of c4F6. Through the use of this two-step process, when the depth of the hole is close to the nitride layer, the main etching is easier to control and stop, thus avoiding the thinning of the nitride layer. The advantage of undoped oxide combined with the use of the main etchant C4F6, 疋 hF6 provides a good vertical profile without blocking holes. On the contrary, due to polymerization, the use of C2H2F4 chemicals alone in some applications will make the holes thinner and eventually result in blockage of the hole tips. However, those skilled in the art will understand that when certain applications require only shallow holes (for example, less than about 3 0 0 to 4 0 0 Angstroms) and therefore the possibility of blocking is minimized and good When the vertical profile becomes less important, the entire oxide layer can be doped, and c2h2f4 can be used to define the holes in a single etch step. The method of the present invention can produce several types of advanced structures. An example of such an advanced structure is the self-aligned contact hole (SAC) structure of two transistors shown in the cross section in FIG. This SAC structure is located on a silicon substrate 202 such as silicon oxide or silicon nitride. The SAC structure consists of a sunk gate oxide layer 203, a polycrystalline silicon layer 204 (which may be doped or undoped), and an oxide layer hard 200305947. A mask 205 'is formed on these plating layers by a lithographic etching process. The distance between the gate structures 2 1 0 and the distance 2 1 2 is close. A chemical vapor deposition method is then used to deposit a layer of uniform silicon nitride (si) with a thickness of about 1000 to 500 angstroms on the top and sides of the gate structure 2 丨 on the wafer and the bottom 2 丨 5 of the space 2 12. ^ 4) layer. The nitride layer functions as an electrically insulating layer. The doped ions use the gate structure 20 as a mask to perform ion implantation to form a p-type or n-type well 216, which serves as a common source of different gates 2 10 of two transistors. The drain structure of the transistor is not shown. An oxide layer is deposited on the previously defined structure. This oxide layer generally has a thickness of about 9000 angstroms and can be a single field oxide layer, or a two-part structure as shown in FIG. 3, where the first part of the 5000-angstrom-thick coating 207 is tetraoxyethylene TE0S / Pet cos / PSG (fill the gap between the gates with borophosphosilicate glass (BPSG) / phosphosilicate glass (pSG)), and the next 4000 angstroms is an undoped oxide layer 208 . A photoresist layer 220 between about 4000 angstroms and about 9,000 angstroms is deposited on the oxide layer 208 and is defined as a mask layer with a photoresist pattern. Then, the subsequent oxide layer #etching step is etched in the oxide layer 208. It contacts the hole 2 2 2 and stops on the region 224 of the nitride layer 214 under the hole 222. Subsequent etching sputtering is used to remove the nitrided region 224 on the bottom 215 of the spacer 212. The silicon nitride layer is usually used as an electrical insulating layer of a metal such as aluminum metal that is subsequently filled into the contact hole 222. In some embodiments, the birefringent antireflective mineral layer (BARC) 223 or other types of materials can be selectively used to eliminate the adverse effects of standing waves. This material is typically less than about 900 angstroms thick and is deposited between the oxygenated layer and the photoresist layer. There are several possible changes in the structure of the sowing blade shown in Fig. 3. In other embodiments, hard replacement is used: The hard cover can be added in the following three coating sequences (1) A silicon nitride layer; (2) a chemical-crane layer (WsiJ, a nitrogen-cut layer, and a hafnium oxide hard mask (in order); or () a silicon carbide layer (WSiJ and a silicon nitride layer) (In order). Consider the importance of the selectivity ratio provided by the gas mixing of the present invention. It can be understood by considering the advantages provided by the SAC and other advanced structures, and the challenges created by these structures. μ ^ ^ ^ As the nitride acts like the same insulation, the diameter of the contact hole 2 provided by the SAC structure and the process is generally about 0.4 mm to about 0.25 μm, which has a ratio between the gate structure 21 () The interval 2 1 2 is also wider. In addition, the micro-shirt etching process of the single hole 222 of the gate structure 2 丨 〇 does not need to be particularly accurate. However, the result of this double benefit, SAC Oxide etching must have a higher selection ratio for nitrides. The value of the selection ratio is calculated as oxide to nitrogen For example, because corner 226 is the nitride exposed to the eighth longest part of the oxide etch, the corner of the nitride layer 214 above and beside the gap 212 is & 1 6 It is particularly important. Moreover, its geometric appearance also makes the etching faster and & makes the corners 226 thinner. Furthermore, when chemical mechanical polishing (CMP) is used to flatten the curvature of the oxide layer on the circle When the use is increased, the selection ratio also needs to be increased. Multiplying the dendrite makes the surface of the oxide layer on the wavy bottom substrate flat, and the window makes the thickness of the oxide layer of 2003200305947 quite different. As a result, the etching oxidation The time of the layer must be higher than the time to etch the design thickness, such as 100%, to ensure that the oxide layer can be penetrated. This method is called over-etching and is related to other process variations. However, the thinner the oxide layer is Area, the time for which the nitride layer is exposed to the etching environment will be longer. Finally, the 'selection is more than required to reflect the gate structure 2] [0 and the possibility of electrical short circuit between the metal filled in the contact hole 222 Since the photoresist layer 220 is usually much thicker than the nitride layer 214, the photoresist layer selection ratio is not as important as the nitride selection ratio, but etching also requires a certain selection ratio for the photoresist layer. The invention is illustrated with reference to the following non-limiting examples: Example 1 This experiment illustrates the situation where Freon 134 stops etching on an undoped oxide layer.

一晶圓由晶圓中心具9%PSG之表面層所構成,且置 放於未摻雜氧化層基底上。三個分離且蝕刻到晶圓内的孔 洞係利用配備了 eMAX反應室之MERIE反應器且利用 C4F6/氟利昂(Fre〇n)n4/氧氣(〇2)/氬氣(Ar)所組成之氣體 流。製程參數則為下列所述: 反應室壓力: 40到80mTorrA wafer consists of a surface layer with 9% PSG in the center of the wafer and is placed on an undoped oxide substrate. The three holes that are separated and etched into the wafer are using a MERIE reactor equipped with an eMAX reaction chamber and using a gas flow consisting of C4F6 / Freon n4 / oxygen (〇2) / argon (Ar) . The process parameters are as follows: Reaction chamber pressure: 40 to 80mTorr

產生電漿之功率: 1000到1800watts 陰極溫度: 1 5到3 5 °C 磁場: 0到5 0 G a u s s 22 200305947 氧氣(〇 2)流率: 1 5 s c c m 氟利昂(Freon)134: 2-8seem 氫氣流率: 500sccm C4F6 流率: 20-30sccm 蝕刻時間持續約60到90秒。電漿很容易就穿透了摻 雜氧化表面層,但對下面之基底則顯現出蝕刻中止之反 應0Plasma power: 1000 to 1800 watts Cathode temperature: 1 5 to 3 5 ° C Magnetic field: 0 to 50 Gauss 22 200305947 Oxygen (〇2) flow rate: 1 5 sccm Freon 134: 2-8seem Hydrogen Flow rate: 500sccm C4F6 Flow rate: 20-30sccm Etching time lasts about 60 to 90 seconds. Plasma easily penetrates the doped oxide surface layer, but shows an etch stop reaction to the underlying substrate.

實施例2 此實施例說明了氟利昂(Freon) 134相對於平坦的氮化 層缺乏選擇比之狀況。 一晶圓由下列鍍層順序所組成: 材質 厚度 DUV光阻層 抗反射層 700埃 TEOS 4000 埃 硼磷矽玻璃層 4000 埃 氮氧化矽襯層 180埃 複晶矽層Example 2 This example illustrates the lack of selectivity of Freon 134 relative to a flat nitride layer. A wafer is composed of the following coating sequence: Material Thickness DUV Photoresist layer Anti-reflection layer 700 Angstrom TEOS 4000 Angstrom Borophosphosilicate glass layer 4000 Angstrom Silicon nitride oxide layer 180 Angstrom Polycrystalline silicon layer

利用實施例1之方法和裝置,將未摻雜氧化層8利用 C4F6/氧氣(02)/氬氣(Ar)化學物分別以流率 25 : 1 5 : 500 加以蝕刻,直到硼磷矽玻璃層暴露出來為止。 接著,化學物換成氟利昂(Freon)134/CHF3/氬氣(Ar), 分別以流率6 ·· 8 0 ·· 9 0接著蝕刻。電漿穿過孔洞底部之平 23 200305947 坦氮化層,並證明了氟利昂(Freon) 134對平坦的氮化層缺 乏選擇比。 實施例3 此實施例說明了只由C4F6/氧氣(02)/氬氣(Ar)化學物 所產生的不良角落氮化層選擇比。Using the method and apparatus of Embodiment 1, the undoped oxide layer 8 is etched with a C4F6 / oxygen (02) / argon (Ar) chemical at a flow rate of 25: 1 5: 500, respectively, until the borophosphosilicate glass layer is etched. Until exposed. Next, the chemical was changed to Freon 134 / CHF3 / argon (Ar), and etching was performed at a flow rate of 6 ·· 8 0 ·· 9 0 respectively. The plasma penetrates the flat nitride layer at the bottom of the hole. It is proved that Freon 134 lacks the selectivity ratio to the flat nitride layer. Example 3 This example illustrates the poor corner nitride layer selection ratio caused by C4F6 / oxygen (02) / argon (Ar) chemicals only.

重覆實施例2之實驗,但利用不同的化學物C4F6/氧 氣(〇2)/氬氣(Ar)分別以流率30/20/500蝕刻穿過TEOS層。 此蝕刻在電漿穿透BPSG層並和角落氮化層接觸之後終 止。接著,利用C4F6/氧氣(〇2)/氬氣(Ar)/氟利昂(Freon)134A 分別以流率27/1 5/500/9蝕刻穿過BPSG層。此電漿對平 坦之氮化層部分顯現了蝕刻中止之特性,因而表示 c4F6/ 氧氣(〇2)/氬氣(Ar) /氟利昂(Freon)l 34A對於平坦氮化層之 選擇比。然而,在第一個蝕刻步驟時,角落氮化層即因為 和電漿接觸而嚴重的腐蝕了,因而表示只有C4F6/氧氣(02)/ 氬氣(Ar)之化學物對於角落氮化層有不佳的選擇比。The experiment of Example 2 was repeated, but using different chemicals C4F6 / oxygen (02) / argon (Ar) etched through the TEOS layer at a flow rate of 30/20/500, respectively. This etching ends after the plasma penetrates the BPSG layer and contacts the corner nitride layer. Next, C4F6 / oxygen (02) / argon (Ar) / Freon 134A was etched through the BPSG layer at a flow rate of 27/1 5/500/9, respectively. This plasma shows the characteristic of etching stoppage to the flat nitride layer, so it shows the selection ratio of c4F6 / oxygen (02) / argon (Ar) / Freonl 34A to the flat nitride layer. However, in the first etching step, the corner nitride layer was severely corroded because it was in contact with the plasma. Therefore, it is indicated that only the C4F6 / oxygen (02) / argon (Ar) chemical has a role in the corner nitride layer. Poor choice ratio.

實施例4 此實施例說明了氟利昂(Fre〇n)134/C4F6/氧氣(〇2)/氬 氣(Ar)化學物所產生的良好角落氮化層和平坦氮化層之選 擇比。 重覆實施例3之實驗,但第一蝕刻步驟在電漿和角落 氮化層接觸之前即加以終止。 於第二姓刻步驟中利用C4F6/氧氣(02)/氬氣(Ar)/氟利 24 200305947 昂(Freon) 134A分別以27/1 5/500/4之流率蝕刻穿透BPSG 層。Example 4 This example illustrates the selection ratio of a good corner nitride layer and a flat nitride layer produced by Freon 134 / C4F6 / oxygen (02) / argon (Ar) chemistry. The experiment of Example 3 was repeated, but the first etching step was terminated before the plasma contacted with the corner nitride layer. In the second step, C4F6 / oxygen (02) / argon (Ar) / Freton 24 200305947 Freon 134A was used to etch through the BPSG layer at a flow rate of 27/1 5/500/4, respectively.

此電漿再度於平坦氮化層時顯現蝕刻中止之特性。另 外,角落氮化層之選擇比也顯著的改善了 ,因而證明了 C4F6/氧氣(02)/氬氣(Ar)/氟利昂(Freon)134A對於角落氮 化層之選擇比。低流率的氟利昂(Freon) 134A在此也證明 了即便在低濃度下氟利昂(Freon)134A仍為一有效的聚合 物形成劑。 實施例5 此實施例說明了氟利昂(Fireon)134/C4F6/氧氣(〇2)/氬 氣(A r)化學物在未摻雜氧化層上之飯刻中止特性。 重覆實施例1之實驗,但利用C4F6/氧氣(〇2)/氬氣(Ar)/ 氟利昂(Freon) 134做為製程氣體且流率分別為 2 7/1 5/500/8。此電漿在未摻雜氧化層上顯現良好的蝕刻 中止特性。一般地,蝕刻中止特性在氟利昂(Fre〇n)134之 流率比例為8或更大時產生蝕刻中止特性。由於氟利昂 (Fre〇n)l 34之流率比例如果太大,則可能產生過多的聚合 作用,一般氟利昂(Freon) 134使用的範圍大約在8到12 之間。 上述之實施例說明了藉由改變製程氣體之組成以達到 蝕刻摻雜和未摻雜氧化層,或在未摻雜氧化層上得到蝕刻 中止的犯力。些實施例也說明了利用氟利昂(Fk134 和qF6之此σ物和單獨利用其中任何一者之結果比較起 25 200305947 來,角落氮化層之選擇比也有改善的現象。 雖然本發明已利用數個實施範例加以描述,然則熟悉 此項技術的人士仍可利用上述實施例作其它的不同變化。 吾人應可明暸這些變化仍為本發明之教示,但本發明仍只 限制在後附的申請專利範圍中。This plasma once again exhibits the characteristic of etch halt when the nitride layer is flat. In addition, the selection ratio of the corner nitride layer has also been significantly improved, thus proving the selection ratio of C4F6 / oxygen (02) / argon (Ar) / Freon 134A for the corner nitride layer. The low flow rate of Freon 134A also proves that Freon 134A is an effective polymer former even at low concentrations. Example 5 This example illustrates the characteristics of the engraved stop of Freon 134 / C4F6 / oxygen (02) / argon (Ar) chemicals on an undoped oxide layer. The experiment of Example 1 was repeated, but C4F6 / oxygen (02) / argon (Ar) / Freon 134 was used as the process gas and the flow rates were 2 7/1 5/500/8. This plasma shows good etch stop characteristics on undoped oxide layers. Generally, the etch stop characteristics are produced when the flow rate ratio of Freon 134 is 8 or more. If the flow rate ratio of Freon 134 is too large, excessive polymerization may occur. Freon 134 is generally used in the range of 8 to 12. The above-mentioned embodiments have explained that by changing the composition of the process gas, the doped and undoped oxide layers can be etched, or the force of the etching stoppage on the undoped oxide layer can be obtained. These examples also illustrate the use of Freon (the sigma species of Fk134 and qF6 and the use of either of them alone) compared to 25 200305947. The selectivity ratio of the corner nitride layer has also improved. Although the present invention has used several The implementation examples are described, but those skilled in the art can still use the above embodiments to make other different changes. I should be aware that these changes are still teachings of the present invention, but the present invention is still limited to the scope of the attached patent application. in.

例如,在說明書中所揭露的所有特徵(包括任何後附 的申請專利範圍,摘要和圖示等),及/或所揭露的所有方 法及製程之步驟,均可以任何組合方式加以組合,除非在 至少某些特徵及/或步驟中為互相排除的組合情況。 再者,在說明書中所揭露的每個特徵(包括任何後附 的申請專利範圍,摘要和圖示等),均可以提供相同或類 似目的之不同特徵加以取代,除非說明書中有特別說明。 因此,除非特別的說明,否則每個揭露的特徵均為一系列 相同或類似特徵中的一個範例而已。 【圖式簡單說明】For example, all the features disclosed in the specification (including any attached patent application scope, abstract and illustrations, etc.), and / or all disclosed methods and process steps can be combined in any combination, except in the At least some of the features and / or steps are mutually exclusive combinations. In addition, each feature disclosed in the specification (including any attached patent application scope, abstract and illustrations, etc.) can be replaced by providing different features for the same or similar purpose, unless specifically stated in the specification. Therefore, unless specifically stated, each feature disclosed is an example of a series of identical or similar features. [Schematic description]

第1圖為傳統之SAC結構的示意圖; 第 2圖為用於本發明不同實施例之例舉蝕刻反應室示意 圖; 第3圖為利用本發明之方法蝕刻SAC結構之示意圖。 【元件代表符號簡單說明】 2 矽基板 10 閘極結構 12 間隔 14 氮化矽層 26 200305947 16 ] η型/ρ型井 18 場氧化層 100 MERIE系統 101 反應室 102 側壁 103 線圈 104 底層 106 上蓋 108 基座組合 110 氣體面板 112 陰極 114 基座 116 工件 118 環狀 120 匹配電路 122 射頻電源供應 124 電漿 126 晶圓邊緣 202 $夕基板 203 閘極氧化層 204 複晶矽層 205 氧化層硬罩幕 207 鍍層 208 未摻雜氧化層 210 閘極結構 212 間隔 214 氮化層 215 底部 216 p型/η型井 220 光阻層 222 接觸孔洞 223 抗反射鍍層 224 氮化層區域 226 角落Fig. 1 is a schematic diagram of a conventional SAC structure; Fig. 2 is a schematic diagram of an exemplary etching reaction chamber used in different embodiments of the present invention; and Fig. 3 is a schematic diagram of an SAC structure etched by the method of the present invention. [Simple description of the element representative symbols] 2 Silicon substrate 10 Gate structure 12 Space 14 Silicon nitride layer 26 200305947 16] η / ρ well 18 Field oxide layer 100 MERIE system 101 Reaction chamber 102 Side wall 103 Coil 104 Bottom layer 106 Cover 108 Base combination 110 Gas panel 112 Cathode 114 Base 116 Workpiece 118 Ring 120 Matching circuit 122 RF power supply 124 Plasma 126 Wafer edge 202 $ evening substrate 203 Gate oxide layer 204 Polycrystalline silicon layer 205 Oxidation hard cover 207 Plating layer 208 undoped oxide layer 210 gate structure 212 spacer 214 nitride layer 215 bottom 216 p / n-well 220 photoresist layer 222 contact hole 223 antireflection coating 224 nitride layer area 226 corner

2727

Claims (1)

200305947 拾、申請專利範圍: 1. 一種蝕刻基板之方法,該方法至少包含下列步驟: 提供至少包含一氧化層之一基板;及 蝕刻該氧化層,其中係以氧氣和至少第一和第二氣體 混合為基底之電漿; 其中該第一氣體之化學式為 CaFb,其中該第二氣體 之化學式為CxHyFz,其中a/b22/3,其中x/zSl/2,且其 中a,b,X,y和z均大於0。 鲁 2. 如申請專利範圍第1項所述之方法,其中x/y21/3。 3 ·如申請專利範圍第1項所述之方法,其中上述之混合氣 體更包含了氬氣。 4.如申請專利範圍第1項所述之方法,其中a為4。200305947 Patent application scope: 1. A method for etching a substrate, the method comprising at least the following steps: providing a substrate including at least an oxide layer; and etching the oxide layer, wherein oxygen and at least first and second gases are used Plasma mixed as a substrate; wherein the chemical formula of the first gas is CaFb, wherein the chemical formula of the second gas is CxHyFz, where a / b22 / 3, where x / zSl / 2, and where a, b, X, y And z are both greater than 0. Lu 2. The method as described in item 1 of the scope of patent application, where x / y21 / 3. 3. The method according to item 1 of the scope of patent application, wherein the above mixed gas further includes argon. 4. The method according to item 1 of the scope of patent application, wherein a is 4. 5 ·如申請專利範圍第1項所述之方法,其中X之範圍在1 到3之間。 6.如申請專利範圍第1項所述之方法,其中上述之電漿具 有小於約lx 10n/cm3之密度。 7 ·如申請專利範圍第1項所述之方法,其中上述之電漿具 有範圍在約lx l〇9/cm3到約lx 10n/cm3之密度。 28 200305947 8.如申請專利範圍第1項所述之方法,其中上述之基板更 包含了一光阻層,且其中該電漿對該光阻層之選擇比至 少為6 : 1。 9 ·如申請專利範圍第1項所述之方法,其中上述之基板更 包含了一光阻層,且其中該電漿對該光阻層之選擇比至 少為8 : 1。5 The method as described in item 1 of the patent application range, wherein the range of X is between 1 and 3. 6. The method according to item 1 of the scope of patent application, wherein the above-mentioned plasma has a density of less than about lx 10n / cm3. 7. The method according to item 1 of the scope of patent application, wherein the above-mentioned plasma has a density ranging from about 1x109 / cm3 to about 1x10n / cm3. 28 200305947 8. The method according to item 1 of the scope of patent application, wherein the above substrate further includes a photoresist layer, and wherein the selection ratio of the plasma to the photoresist layer is at least 6: 1. 9 · The method according to item 1 of the scope of patent application, wherein the above substrate further comprises a photoresist layer, and wherein the selection ratio of the plasma to the photoresist layer is at least 8: 1. 10.如申請專利範圍第1項所述之方法,其中上述之基板 更包含了一氮化層,且其中該電漿對該氮化層之選擇比 至少為2 0 : 1。 1 1 .如申請專利範圍第1項所述之方法,其中上述之基板 蝕刻將使得該基板形成一孔洞。10. The method according to item 1 of the scope of patent application, wherein the substrate further includes a nitride layer, and wherein the plasma to the nitride layer has a selectivity of at least 20: 1. 1 1. The method according to item 1 of the scope of patent application, wherein the substrate etching described above will cause a hole to be formed on the substrate. 1 2.如申請專利範圍第1 1項所述之方法,其中上述混合氣 體於該蝕刻條件下之使用使得氟聚合物在至少該孔洞之 一表面上沈積。 1 3 ·如申請專利範圍第1 1項所述之方法,其中上述之孔洞 在至少一個方向的寬度不小於0.2 5微米。 1 4 ·如申請專利範圍第1 1項所述之方法,其中上述之孔洞 在至少一個方向的寬度不小於0.1 8微米。 29 200305947 1 5 .如申請專利範圍第1 1項所述之方法,其中上述之孔洞 在至少一個方向的寬度不小於0 · 1 4微米。 16. 如申請專利範圍第1項所述之方法,其中上述之第二 氣體的化學式為C2H2F4。 17. 如申請專利範圍第1項所述之方法,其中上述之第二 氣體為四氟乙烷。 鲁 18. 如申請專利範圍第17項所述之方法,其中上述之第二 氣體為1,1,1,2-四氟乙烷。 1 9.如申請專利範圍第1項所述之方法,其中上述之第一 氣體為C4F6。 20.如申請專利範圍第19項所述之方法,其中上述之第一 氣體為 F. C 2 F C - cIF F C 其中上述之第 2 1 ·如申請專利範圍第1 9項所述之方法 氣體為 F I f2c =c =c —CF3。 30 200305947 22.如申請專利範圍第1項所述之方法,其中上述之混合 氣體包含C4F6,C2H2F4,氧氣(02)和氬氣(Ar)。 2 3 .如申請專利範圍第1項所述之方法,其中上述之混合 氣體包含C4F6,CH3F,氧氣(02)和氬氣(Α〇。 24.如申請專利範圍第1項所述之方法,其中上述之混合 氣體包含C4F6,CH2F2,氧氣(02)和氬氣(Ar)。 · 2 5 .如申請專利範圍第1項所述之方法,其中上述之混合 氣體更包含了一氧化碳(CO)。 26.如申請專利範圍第21項所述之方法,其中上述之蝕刻 係在一反應室中進行,且其中氧氣(02)對 C2H2F4流到 該反應室中的流率比在約2到8之範圍内。12. The method as described in item 11 of the scope of patent application, wherein the use of the above mixed gas under the etching condition causes a fluoropolymer to be deposited on at least one surface of the hole. 1 3 · The method as described in item 11 of the scope of patent application, wherein the width of the holes in at least one direction is not less than 0.2 5 microns. 1 4 · The method as described in item 11 of the scope of patent application, wherein the width of the holes in at least one direction is not less than 0.1 8 microns. 29 200305947 1 5. The method according to item 11 of the scope of patent application, wherein the width of the holes in at least one direction is not less than 0.1 14 microns. 16. The method according to item 1 of the scope of patent application, wherein the chemical formula of the second gas is C2H2F4. 17. The method according to item 1 of the scope of patent application, wherein the second gas is tetrafluoroethane. Lu 18. The method according to item 17 of the scope of patent application, wherein the second gas is 1,1,1,2-tetrafluoroethane. 1 9. The method according to item 1 of the scope of patent application, wherein the first gas is C4F6. 20. The method according to item 19 in the scope of patent application, wherein the first gas described above is F. C 2 FC-cIF FC where the above 2 1 · The method gas according to item 19 in the scope of patent application is FI f2c = c = c —CF3. 30 200305947 22. The method according to item 1 of the scope of patent application, wherein the above mixed gas comprises C4F6, C2H2F4, oxygen (02) and argon (Ar). 2 3. The method according to item 1 of the scope of patent application, wherein the above mixed gas comprises C4F6, CH3F, oxygen (02) and argon (Α〇. 24. The method according to item 1 of the scope of patent application, The above-mentioned mixed gas includes C4F6, CH2F2, oxygen (02), and argon (Ar). 25. The method according to item 1 of the scope of patent application, wherein the above-mentioned mixed gas further includes carbon monoxide (CO). 26. The method according to item 21 of the scope of patent application, wherein the above-mentioned etching is performed in a reaction chamber, and wherein the flow rate ratio of oxygen (02) to C2H2F4 flowing into the reaction chamber is about 2 to 8. Within range. 27.如申請專利範圍第25項所述之方法,其中氧氣(02)對 C2H2F4流到該反應室中的流率比在約4到6之範圍内。 28·如申請專利範圍第21項所述之方法,其中上述之蝕刻 係在一反應室中進行,且其中氧氣(02)對 C4F6流到該 反應室中的流率比在約0.5到1 · 0之範圍内。 2 9 ·如申請專利範圍第1項所述之方法,其中上述之混合 31 200305947 氣體在該蝕刻製程時由第一混合氣體變成第二混合氣 體,且其中該第二混合氣體中該第二氣體對該第一氣體 之莫耳比例要比該第一混合氣體來得高。 30. 如申請專利範圍第29項所述之方法,其中上述之基板 包含了一層摻雜氧化層沈積於一層未摻雜氧化層之上, 且其中該第一和該第二混合氣體蝕刻該摻雜氧化層,而 其中該第二混合氣體蝕刻該未摻雜氧化層之速率要比該第 一混合氣體蝕刻該摻雜氧化層之速率來得低。 31. 如申請專利範圍第1項所述之方法,其中上述之基板 係在一磁性增強之反應式離子蝕刻器中加以蝕刻。 3 2.如申請專利範圍第31項所述之方法,其中上述之蝕刻 器具有一陰極,且其中該陰極之溫度位於約 〇到 40°C 之範圍内。 3 3 ·如申請專利範圍第1項所述之方法,其中上述之基板 在壓力範圍約40到80mTorr之間加以蝕刻。 34.如申請專利範圍第1項所述之方法,其中上述之基板 在磁場強度小於約5 0 G a u s s時加以钱刻。 3 5 ·如申請專利範圍第1項所述之方法,其中上述之基板 200305947 39.如申請專利範圍第36項所述之方法,其中上述之第一 鍍層為矽層。 4 0.如申請專利範圍第36項所述之方法,其中上述之反應 室配備有陰極,且其中該基板位於該陰極上。27. The method of claim 25, wherein the flow rate ratio of oxygen (02) to C2H2F4 into the reaction chamber is in the range of about 4 to 6. 28. The method according to item 21 of the scope of patent application, wherein the above-mentioned etching is performed in a reaction chamber, and wherein the flow rate ratio of oxygen (02) to C4F6 flowing into the reaction chamber is about 0.5 to 1 · Within 0. 2 9 · The method according to item 1 of the scope of patent application, wherein the above-mentioned mixed 31 200305947 gas changes from a first mixed gas to a second mixed gas during the etching process, and wherein the second gas in the second mixed gas The mole ratio to the first gas is higher than the first mixed gas. 30. The method as described in item 29 of the patent application, wherein the substrate includes a doped oxide layer deposited on an undoped oxide layer, and wherein the first and the second mixed gas etch the doped oxide layer. A hetero-oxide layer, and the second mixed gas etches the undoped oxide layer at a lower rate than the first mixed gas etches the doped oxide layer. 31. The method according to item 1 of the scope of the patent application, wherein the substrate is etched in a magnetically enhanced reactive ion etcher. 3 2. The method according to item 31 of the scope of patent application, wherein the above-mentioned etcher has a cathode, and wherein the temperature of the cathode is in a range of about 0 to 40 ° C. 3 3 · The method according to item 1 of the scope of patent application, wherein the above substrate is etched at a pressure range of about 40 to 80 mTorr. 34. The method according to item 1 of the scope of patent application, wherein the substrate is engraved when the magnetic field strength is less than about 50 G a u s s. 3 5 · The method according to item 1 of the scope of patent application, wherein the above-mentioned substrate 200305947 39. The method according to item 36 of the scope of patent application, wherein the first plating layer is a silicon layer. 40. The method as described in claim 36, wherein the reaction chamber is equipped with a cathode, and wherein the substrate is located on the cathode. 41.如申請專利範圍第40項所述之方法,其中更包含了建 立該陰極約-40 °C和約2(TC之間的溫度之步驟,以便讓 該反應混合氣體蝕刻至少一部分之該第一鍍層。 4 2.如申請專利範圍第40項所述之方法,其中更包含了建 立該陰極約0 °C和約2 0 °C之間的溫度之步驟,以便讓該 反應混合氣體蝕刻至少一部分之該第一鍍層。 43 .如申請專利範圍第3 6項所述之方法,其中上述之磁場 為直流磁場。41. The method according to item 40 of the scope of patent application, further comprising the step of establishing a temperature between about -40 ° C and about 2 ° C of the cathode, so that the reaction mixture gas etches at least a part of the first A coating. 4 2. The method according to item 40 of the scope of patent application, further comprising the step of establishing a temperature between about 0 ° C and about 20 ° C of the cathode, so that the reactive mixed gas is etched at least A part of the first plating layer. 43. The method according to item 36 of the scope of patent application, wherein the magnetic field is a DC magnetic field. 44 ·如申請專利範圍第3 6項所述之方法,其中上述之磁場 可分別控制方向和磁場強度。 4 5 · —種蝕刻基板之方法,該方法至少包含下列步驟: 提供一基板,其中該基板選自半導體和介電質基板所 組成之群集;及 蝕刻該基板,其中係經由磁場增強反應式離子蝕刻製 34 200305947 程,該製程包括在混合氣體中加入氫基,其數量足以增 加該反應混合氣體對該基板至少一個參數值,該參數則 選自蝕刻速率和選擇比所組成之群集; 其中該混合氣體包含了化學式為CaFb之第一氣體和化 學式為CxHyFz之第二氣體,且其中a/b>2/3且x/z2l/2, 且其中a,b,X,y和z均大於0。 4 6 . —種蝕刻基板之設備,該設備至少包含: φ 一反應室加以調整並放置欲蝕刻之基板;及 至少一貯存槽和該反應室互相連通,該至少一貯存槽 加以調整而供應一混合氣體到該反應室中,該混合氣體 包含了化學式為CaFb之第一氣體和化學式為CxHyFz之第 二氣體,其中a/b>2/3且x/z2l/2,且其中a,b,X,y和z 均大於0。44. The method according to item 36 of the scope of patent application, wherein the magnetic field mentioned above can control the direction and the magnetic field strength separately. 4 5 · A method for etching a substrate, the method includes at least the following steps: providing a substrate, wherein the substrate is selected from the group consisting of a semiconductor and a dielectric substrate; and etching the substrate, wherein a reactive ion is enhanced by a magnetic field Etching process 34 200305947, the process includes adding hydrogen groups to the mixed gas, the amount of which is sufficient to increase the reaction mixed gas to the substrate at least one parameter value, the parameter is selected from the cluster consisting of the etching rate and the selection ratio; wherein the The mixed gas contains a first gas having a chemical formula CaFb and a second gas having a chemical formula CxHyFz, and wherein a / b > 2/3 and x / z 2l / 2, and wherein a, b, X, y, and z are all greater than 0 . 4 6. A device for etching a substrate, the device includes at least: φ a reaction chamber to adjust and place the substrate to be etched; and at least one storage tank and the reaction chamber communicate with each other, the at least one storage tank is adjusted to supply one A mixed gas is introduced into the reaction chamber, and the mixed gas includes a first gas having a chemical formula CaFb and a second gas having a chemical formula CxHyFz, where a / b > 2/3 and x / z 2l / 2, and wherein a, b, X, y, and z are all greater than zero. 47.如申請專利範圍第46項所述之設備,其中上述之混合 氣體更包含了氧氣。 48.如申請專利範圍第46項所述之設備,其中上述之第二 氣體之化學式為C2H2F4。 49·如申請專利範圍第46項所述之設備,其中上述之第二 氣體為四氟乙烷。 35 200305947 50.如申請專利範圍第46項所述之設備,其中上述之第二 氣體為1,1,1,2-四氟乙烷。 5 1 ·如申請專利範圍第46項所述之設備,其中上述之第一 氣體為C4F6。 52·如申請專利範圍第46項所述之設備,其中上述之第 氣體為 F C 2 F cIF F C 53·如申請專利範圍第46項所述之設備,其中上述之第一 氣體為 F I f2c =c ==c —CF3。 54·如申請專利範圍第46項所述之設備,其中上述之混合 氣體包含C4F6,C2H2F4,氧氣(02)和氬氣(Ar)。 5 5 ·如申請專利範圍第4 6項所述之設備,其中上述之混合 氣體包含C4F6,CH3F,氧氣(02)和氬氣(Ar)。 5 6 ·如申請專利範圍第4 6項所述之設備,其中上述之混合 氣體包含C4F6,CH2F2,氧氣(02)和氬氣(Ar)。 36 200305947 5 7.如申請專利範圍第46項所述之設備,其中上述之混合 氣體更包含了一氧化碳(CO)。 58.如申請專利範圍第54項所述之設備,其中上述之氧氣 (02)對C2H2F4流入該反應室中的流率比位於約2到8之範 圍間。47. The device according to item 46 of the scope of patent application, wherein said mixed gas further comprises oxygen. 48. The device according to item 46 of the scope of patent application, wherein the chemical formula of the second gas is C2H2F4. 49. The device according to item 46 of the scope of patent application, wherein the second gas is tetrafluoroethane. 35 200305947 50. The device according to item 46 of the scope of patent application, wherein the second gas is 1,1,1,2-tetrafluoroethane. 5 1 · The device according to item 46 of the scope of patent application, wherein the first gas is C4F6. 52. The device according to item 46 of the patent application, wherein the above-mentioned first gas is FC 2 F cIF FC 53. The device according to item 46 of the patent application, wherein the first gas is FI f2c = c == c —CF3. 54. The device according to item 46 of the scope of patent application, wherein the above mixed gas contains C4F6, C2H2F4, oxygen (02) and argon (Ar). 5 5 · The device according to item 46 of the scope of patent application, wherein the above mixed gas contains C4F6, CH3F, oxygen (02) and argon (Ar). 56. The device according to item 46 of the scope of patent application, wherein the above mixed gas includes C4F6, CH2F2, oxygen (02) and argon (Ar). 36 200305947 5 7. The device according to item 46 of the scope of patent application, wherein the above mixed gas further contains carbon monoxide (CO). 58. The apparatus according to item 54 of the scope of patent application, wherein the flow rate ratio of the oxygen (02) to C2H2F4 flowing into the reaction chamber is in a range of about 2 to 8. 5 9.如申請專利範圍第54項所述之設備,其中上述之氧氣 (02)對C2H2F4流入該反應室中的流率比位於約4到6之範 圍間。 60.如申請專利範圍第54項所述之設備,其中上述之氧氣 (02)對C4F6流入該反應室中的流率比位於約0.5到1.0之 範圍間。5 9. The device according to item 54 of the scope of patent application, wherein the flow rate ratio of the above-mentioned oxygen (02) to C2H2F4 flowing into the reaction chamber is in the range of about 4 to 6. 60. The device according to item 54 of the scope of patent application, wherein the flow rate ratio of the oxygen (02) to C4F6 flowing into the reaction chamber is in a range of about 0.5 to 1.0. 6 1 .如申請專利範圍第46項所述之設備,其中上述之混合 氣體在該蝕刻製程時由第一混合氣體變化到第二混合氣 體,且其中該第二氣體對該第一氣體之莫耳比在該第二 混合氣體要比該第一混合氣體來得高。 62·如申請專利範圍第46項所述之設備,其中上述之至少 一貯存槽包含第一、第二、第三和第四貯存槽,其中該 第一貯存槽含有C4F6,其中該第二貯存槽含有C2H2F4, 其中該第三貯存槽含有氧氣(02),且其中該第四貯存槽 37 200305947 含有氬氣(A〇。 63.如申請專利範圍第62項所述之設備,其中每個上述之 第一,第二,第三和第四貯存槽配備有一控制閥以便控 制該貯存槽之氣體流率。61. The device according to item 46 of the scope of patent application, wherein the above-mentioned mixed gas is changed from the first mixed gas to the second mixed gas during the etching process, and wherein the second gas has no influence on the first gas. The ear ratio is higher in the second mixed gas than in the first mixed gas. 62. The device according to item 46 of the scope of patent application, wherein the at least one storage tank includes first, second, third, and fourth storage tanks, wherein the first storage tank contains C4F6, and the second storage tank The tank contains C2H2F4, wherein the third storage tank contains oxygen (02), and wherein the fourth storage tank 37 200305947 contains argon (A. 63. The device according to item 62 of the scope of patent application, wherein each of the above The first, second, third and fourth storage tanks are equipped with a control valve to control the gas flow rate of the storage tanks. 64.如申請專利範圍第46項所述之設備,其中更包含了分 析該反應室内之大氣組成的裝置。 6 5 ·如申請專利範圍第64項所述之設備,其中上述之至少 一貯存槽包含至少第一和第二貯存槽,且其中該設備可 因應該反應室内之大氣組成而調整來自該第一和第二貯 存槽之氣體流量。64. The device according to item 46 of the scope of patent application, further comprising a device for analyzing the atmospheric composition in the reaction chamber. 6 5 · The device according to item 64 of the scope of the patent application, wherein the at least one storage tank includes at least first and second storage tanks, and wherein the device can be adjusted from the first according to the atmospheric composition of the reaction room. And the gas flow of the second storage tank. 6 6 ·如申請專利範圍第64項所述之設備,其中上述之第一 貯存槽含有C4F6,其中該第二貯存槽含C2H2F4,其中來 自該第一貯存槽之氣體流率和來自該第二貯存槽之氣體 流率之比例為r,其中在該反應室中之硼元素的濃度為 b,且其中的常數在b<n時m,n>0,r<m且在b2n時r>n。 6 7 · —種蝕刻基板之方法,該方法至少包含下列步驟: 提供一基板,該基板選自半導體和介電質基板所組成 之群集; 蝕刻該基板,其中係利用包含了 C4F6,氧氣(02)和氬 38 200305947 氣(Ar)之混合氣體為主的電漿,因而形成了一修正過的 基板;及 更進一步蝕刻該修改過的基板,其中係利用了包含 C4F6,氧氣(02),氬氣(Ar)和C2H2F4之混合氣體為主的 電漿。 68.—種蝕刻基板之方法,該方法至少包含下列步驟:6 6 · The device according to item 64 of the scope of patent application, wherein the first storage tank contains C4F6, and the second storage tank contains C2H2F4, wherein the gas flow rate from the first storage tank and the flow rate from the second storage tank The ratio of the gas flow rate of the storage tank is r, where the concentration of the boron element in the reaction chamber is b, and the constants are m at b < n, n > 0, r < m, and r > n at b2n. . 6 7 · A method for etching a substrate, the method includes at least the following steps: a substrate is provided, the substrate is selected from the group consisting of a semiconductor and a dielectric substrate; and the substrate is etched using C4F6, oxygen (02 ) And argon 38 200305947 gas (Ar) mixed plasma, so a modified substrate is formed; and the modified substrate is further etched, which uses C4F6, oxygen (02), argon Gas (Ar) and C2H2F4 mixed gas-based plasma. 68. A method for etching a substrate, the method includes at least the following steps: 提供一基板,該基板包含了(a)含有摻雜氧化層之第 一鍍層,及(b)含有未摻雜氧化層之第二鍍層; 蝕刻該基板,其中係利用包含了 C4F6,氧氣(02)和氬 氣(Ar)之第一混合氣體為主的電漿,並形成下凹區而部 分延伸穿過該第二鍍層但未穿透到達該第一鍍層,因而 形成一修正過的基板;及 蝕刻該修正過的基板,其中係利用了包含C4F6,氧 氣(〇2),氬氣(A〇和C2H2F4之第二混合氣體為主的電漿 以便延伸該下凹區到達該第一鍍層。A substrate is provided. The substrate includes (a) a first plating layer containing a doped oxide layer, and (b) a second plating layer containing an undoped oxide layer. The substrate is etched by using C4F6, oxygen (02 ) And a first mixed gas of argon (Ar) as the main plasma, forming a recessed area and partially extending through the second plating layer but not penetrating to the first plating layer, thereby forming a modified substrate; And etching the modified substrate, which uses a plasma based on a second mixed gas containing C4F6, oxygen (02), argon (A0 and C2H2F4) to extend the recessed area to the first plating layer. 69·如申請專利範圍第68項所述之方法,其中上述之第一 鍍層包含硼磷矽玻璃。 70·如申請專利範圍第68項所述之方法,其中上述之第二 鑛層包含四乙基偏石夕酸(tetraethyl ortho silicate)。 71·如申請專利範圍第68項所述之方法,其中上述之第一 39 200305947 和第二混合氣體是不同的。 72.如申請專利範圍第6 8項所述之方法,其中上述之基板 係以該第一混合氣體加以蝕刻以形成僅僅部分延伸到該 第二鍍層的下凹區。69. The method of claim 68, wherein the first plating layer includes borophosphosilicate glass. 70. The method according to item 68 of the scope of patent application, wherein the second ore layer comprises tetraethyl ortho silicate. 71. The method according to item 68 of the scope of patent application, wherein the first 39 200305947 and the second mixed gas are different. 72. The method as described in claim 68, wherein the substrate is etched with the first mixed gas to form a recessed area extending only partially to the second plating layer. 73.如申請專利範圍第68項所述之方法,其中上述之基板 更提供了包含光阻層之第三鍍層。 74.如申請專利範圍第68項所述之方法,其中上述之第二 鍍層係鄰接於該第一鍍層。 7 5 . —種物件,該物件至少包含: 一基板; 第一和第二閘極結構位於該基板上,該第一和第二閘 極結構由小於約0.2 5微米之缺口所分隔;73. The method as described in claim 68, wherein the substrate further includes a third plating layer including a photoresist layer. 74. The method as described in claim 68, wherein the second plating layer is adjacent to the first plating layer. 7 5. An object comprising at least: a substrate; first and second gate structures are located on the substrate, the first and second gate structures are separated by a notch less than about 0.2 5 microns; 一氮化矽層位於該閘極結構和該缺口之上; 一摻雜氧化層位於該氮化矽層之上;及 一未摻雜氧化層位於該摻雜氧化層之上。 76.如申請專利範圍第75項所述之物件,其中上述之摻雜 氧化層包含硼磷矽玻璃。 77·如申請專利範圍第75項所述之物件,其中上述之摻雜 40 200305947 氧化層包含四乙基偏石夕酸(tetraethylorthosilicate)。 78.如申請專利範圍第75項所述之物件,其中更包含了一 抗反射層位於該未摻雜氧化層之上。 79. 如申請專利範圍第78項所述之物件,其中更包含了一 光阻層位於該抗反射層之上。A silicon nitride layer is located above the gate structure and the gap; a doped oxide layer is located above the silicon nitride layer; and an undoped oxide layer is located above the doped oxide layer. 76. The article of claim 75, wherein said doped oxide layer comprises borophosphosilicate glass. 77. The article as described in item 75 of the scope of patent application, wherein the above-mentioned doped 40 200305947 oxide layer contains tetraethylorthosilicate. 78. The article of claim 75, further comprising an anti-reflection layer on the undoped oxide layer. 79. The article of claim 78, further comprising a photoresist layer on the antireflection layer. 80. 如申請專利範圍第78項所述之物件,其中上述之光阻 層包令了第二缺口並和該第一缺口重疊,且其中該第二 缺口之最小寬度要大於該第一缺口之最大寬度。80. The article as described in item 78 of the scope of patent application, wherein the photoresist layer covers the second gap and overlaps the first gap, and wherein the minimum width of the second gap is larger than that of the first gap The maximum width. 4141
TW091136022A 2001-12-13 2002-12-12 Self-aligned contact etch with high sensitivity to nitride shoulder TWI301644B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US34113501P 2001-12-13 2001-12-13

Publications (2)

Publication Number Publication Date
TW200305947A true TW200305947A (en) 2003-11-01
TWI301644B TWI301644B (en) 2008-10-01

Family

ID=23336373

Family Applications (2)

Application Number Title Priority Date Filing Date
TW091136022A TWI301644B (en) 2001-12-13 2002-12-12 Self-aligned contact etch with high sensitivity to nitride shoulder
TW097103577A TWI303851B (en) 2001-12-13 2002-12-12 Self-aligned contact etch with high sensitivity to nitride shoulder

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW097103577A TWI303851B (en) 2001-12-13 2002-12-12 Self-aligned contact etch with high sensitivity to nitride shoulder

Country Status (7)

Country Link
US (1) US20060051968A1 (en)
JP (1) JP2006501634A (en)
KR (1) KR20040066170A (en)
CN (2) CN1605117B (en)
AU (1) AU2002353145A1 (en)
TW (2) TWI301644B (en)
WO (1) WO2003052808A2 (en)

Families Citing this family (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4057972B2 (en) * 2003-07-25 2008-03-05 富士通株式会社 Manufacturing method of semiconductor device
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7090782B1 (en) * 2004-09-03 2006-08-15 Lam Research Corporation Etch with uniformity control
US7723229B2 (en) * 2005-04-22 2010-05-25 Macronix International Co., Ltd. Process of forming a self-aligned contact in a semiconductor device
US7361586B2 (en) * 2005-07-01 2008-04-22 Spansion Llc Preamorphization to minimize void formation
CN100468695C (en) * 2006-12-04 2009-03-11 中芯国际集成电路制造(上海)有限公司 Method for improving defect of polysilicon
JP2010093158A (en) * 2008-10-10 2010-04-22 Toshiba Corp Method of fabricating semiconductor device
US7994002B2 (en) 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US8986561B2 (en) * 2008-12-26 2015-03-24 Tokyo Electron Limited Substrate processing method and storage medium
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9437449B2 (en) 2012-12-31 2016-09-06 Texas Instruments Incorporated Uniform, damage free nitride etch
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9252051B1 (en) 2014-11-13 2016-02-02 International Business Machines Corporation Method for top oxide rounding with protection of patterned features
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
KR102276992B1 (en) 2015-02-10 2021-07-14 삼성전자주식회사 Method of manufacturing semiconductor devices
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20170287791A1 (en) 2016-03-31 2017-10-05 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10607852B2 (en) * 2017-09-13 2020-03-31 Tokyo Electron Limited Selective nitride etching method for self-aligned multiple patterning
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6495470B2 (en) * 1994-11-18 2002-12-17 Intel Corporation Contact and via fabrication technologies
KR100490968B1 (en) * 1996-10-30 2005-05-24 고교기쥬쯔잉초가다이효스루니혼고쿠 Dry etching method
US6602434B1 (en) * 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6174451B1 (en) * 1998-03-27 2001-01-16 Applied Materials, Inc. Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6277758B1 (en) * 1998-07-23 2001-08-21 Micron Technology, Inc. Method of etching doped silicon dioxide with selectivity to undoped silicon dioxide with a high density plasma etcher
TW449872B (en) * 1998-11-12 2001-08-11 Hyundai Electronics Ind Method for forming contacts of semiconductor devices
KR100327346B1 (en) * 1999-07-20 2002-03-06 윤종용 Plasma etching method using selective polymer deposition and method for forming contact hole using the plasma etching method
US6232236B1 (en) * 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
KR100474546B1 (en) * 1999-12-24 2005-03-08 주식회사 하이닉스반도체 Fabricating method for semiconductor device
US6432318B1 (en) * 2000-02-17 2002-08-13 Applied Materials, Inc. Dielectric etch process reducing striations and maintaining critical dimensions
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6693042B1 (en) * 2000-12-28 2004-02-17 Cypress Semiconductor Corp. Method for etching a dielectric layer formed upon a barrier layer
US6962879B2 (en) * 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride

Also Published As

Publication number Publication date
TW200823998A (en) 2008-06-01
CN100524642C (en) 2009-08-05
TWI303851B (en) 2008-12-01
US20060051968A1 (en) 2006-03-09
WO2003052808A2 (en) 2003-06-26
KR20040066170A (en) 2004-07-23
CN1605117A (en) 2005-04-06
JP2006501634A (en) 2006-01-12
TWI301644B (en) 2008-10-01
CN1605117B (en) 2010-05-12
WO2003052808A3 (en) 2004-04-15
CN1996559A (en) 2007-07-11
AU2002353145A1 (en) 2003-06-30

Similar Documents

Publication Publication Date Title
TW200305947A (en) Self-aligned contact etch with high sensitivity to nitride shoulder
US6613691B1 (en) Highly selective oxide etch process using hexafluorobutadiene
US6387287B1 (en) Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6602434B1 (en) Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US7087998B2 (en) Control of air gap position in a dielectric layer
US5286344A (en) Process for selectively etching a layer of silicon dioxide on an underlying stop layer of silicon nitride
US7202172B2 (en) Microelectronic device having disposable spacer
US5843226A (en) Etch process for single crystal silicon
US6756293B2 (en) Combined gate cap or digit line and spacer deposition using HDP
US6756313B2 (en) Method of etching silicon nitride spacers with high selectivity relative to oxide in a high density plasma chamber
US7329610B2 (en) Method of high selectivity SAC etching
US6849193B2 (en) Highly selective process for etching oxide over nitride using hexafluorobutadiene
US7273566B2 (en) Gas compositions
WO1999016110A2 (en) Plasma process for selectively etching oxide using fluoropropane or fluoropropylene
US5965035A (en) Self aligned contact etch using difluoromethane and trifluoromethane
US6955964B2 (en) Formation of a double gate structure
US6372634B1 (en) Plasma etch chemistry and method of improving etch control
TW473829B (en) An improved method of depositing a conformal h-rich Si3N4 layer onto a patterned structure

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees