JP5250418B2 - ラインエッジ粗さを低減させた特徴のエッチング - Google Patents

ラインエッジ粗さを低減させた特徴のエッチング Download PDF

Info

Publication number
JP5250418B2
JP5250418B2 JP2008526963A JP2008526963A JP5250418B2 JP 5250418 B2 JP5250418 B2 JP 5250418B2 JP 2008526963 A JP2008526963 A JP 2008526963A JP 2008526963 A JP2008526963 A JP 2008526963A JP 5250418 B2 JP5250418 B2 JP 5250418B2
Authority
JP
Japan
Prior art keywords
layer
photoresist
sidewall
etching
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008526963A
Other languages
English (en)
Other versions
JP2009505421A5 (ja
JP2009505421A (ja
Inventor
サジャディ・エス.・エム.・レザ
ハドソン・エリック・エー.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2009505421A publication Critical patent/JP2009505421A/ja
Publication of JP2009505421A5 publication Critical patent/JP2009505421A5/ja
Application granted granted Critical
Publication of JP5250418B2 publication Critical patent/JP5250418B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

本発明は、半導体デバイスの形成に関するものである。
半導体ウエハ処理中は、周知のパターン形成プロセスおよびエッチングプロセスを使用し、ウエハ内に半導体デバイスの特徴が定められる。これらのプロセスでは、フォトレジスト(PR)材料が、ウエハ上に堆積され、次いで、レチクルによるフィルタリングを経た光に暴露される。レチクルは、一般に、レチクルを通した光の伝搬を遮る代表的な幾何的特徴のパターンを形成されたガラス板である。
レチクルを通過した光は、フォトレジスト材料の表面に接触する。光は、現像剤によってフォトレジスト材料の一部が除去可能になるように、フォトレジスト材料の化学組成を変化させる。ポジ型フォトレジスト材料の場合は、露光された領域が除去され、ネガ型フォトレジスト材料の場合は、露光されなかった領域が除去される。その後、ウエハは、フォトレジスト材料による保護から外れた場所から下位の層を除去するために、エッチングされることにより、ウエハ内に所望の特徴を定める。
このようなプロセスでの一問題は、幅の小さい微小なフォトレジスト特徴は、処理中に形状を変化させやすいことである。この変形は、被エッチング膜に転写され、意図した形状、寸法、または粗さから外れたエッチング特徴を生じさせる。エッチングに誘発されるこれらのフォトレジスト変形は、ラインエッジ粗さ、表面粗さ、およびラインうねりなどのグループに分けることができる。ラインエッジ粗さ(Line Edge Roughness,LER)は、パターンがフォトレジストから下位の膜へと転写されるゆえに、パターン形成されたラインのエッジがより不規則になることを意味する。
本発明の内容を実現するために、かつ本発明の目的にしたがって、ラインエッジ粗さを低減させて層内に特徴を形成するための方法が提供される。層の上に、フォトレジスト層が形成される。フォトレジスト層は、フォトレジスト側壁をともなうフォトレジスト特徴を形成するために、パターン形成される。複数のサイクルを実施することによって、フォトレジスト特徴の側壁の上に、厚さ100nm未満の側壁層が形成される。各サイクルは、単分子層から20nmまでの厚さを有する層をフォトレジスト層上に堆積させることを含む。フォトレジスト特徴を通して、層内に特徴がエッチングされる。フォトレジスト層および側壁層は、剥ぎ取られる。
本発明の別の一態様では、エッジ粗さを低減させてエッチング層内に特徴を形成するための方法が提供される。フォトレジスト側壁をともなうフォトレジスト特徴を形成するために、エッチング層の上に、パターン形成されたフォトレジスト層が形成される。フォトレジスト特徴の側壁の上に、厚さ100nm未満の側壁層が形成され、これは、複数のサイクルを実施することを含む。各サイクルは、単分子層から20nmまでの厚さを有する層をフォトレジスト層上に堆積させることと、側壁層を残しつつ、フォトレジスト特徴の底部の上に形成された堆積層の部分を除去するために堆積層をエッチバックすることと、を含む。フォトレジスト特徴を通して、エッチング層内に特徴がエッチングされる。フォトレジスト層および側壁層は、剥ぎ取られる。ここで、フォトレジスト層上に層を堆積させること、エッチバックすること、特徴をエッチングすること、および剥ぎ取ることは、単一のプラズマチャンバ内で、in−situでなされる。
本発明のこれらの特徴およびその他の特徴が、本発明の詳細な説明において、添付の図面と関連させて、以下で、より詳細に説明される。
添付の図面では、限定ではなく例示を目的として本発明が図示されている。図中、類似の参照符号は、類似の要素を示すものとする。
添付の図面に示されるようないくつかの好ましい実施形態に基づいて、本発明が詳細に説明される。以下の説明では、本発明の完全な理解を可能にするために、多くの詳細が特定されている。しかしながら、当業者ならば明らかなように、本発明は、これらの一部または全部の詳細を特定しなくても実施可能である。また、本発明が不必要に不明瞭になるのを避けるため、周知のプロセス工程および/構造の詳細な説明は省略される。
ラインエッジ粗さは、不均一な堆積、ラインに対して急角度で入るイオンによるイオンスパッタリング、フォトレジストまたはマスクの可動性の欠如、フォトレジストと、マスクと、エッチング副産物(ポリマー)との間における応力不整合、およびフォトレジストまたはマスクの化学的変質によって引き起こされると考えられる。ラインエッジ粗さは、様々な形態で現れるが、同じ要因は、フォトレジストもしくはマスクのねじれまたはうねりを引き起こす可能性もある。うねりまたはねじれは、フォトレジストの粗化だけでなく、ラインの幅と同程度の長さスケールをともなう上から見たライン形状の変化も意味する。うねりは、具体的には、フォトレジストの粗化に起因する狭いライン構造の変形を意味する。ラインエッジ粗さは、フォトレジストのファセッティングによってフォトレジストの頂部に極狭構造が形成される密なコンタクトまたは密なセルのエッチングにおいて見ることができる。様々なリソグラフィ技術で様々な構成のレジストおよびマスクが使用されているにもかかわらず、うねりは、遠紫外線DUVフォトレジスト、193nmフォトレジスト、ひいては非晶質炭素など更に堅いマスクでも観測されてきた。
うねりを引き起こすメカニズムの詳細は、よく理解されていないが、先に挙げられた要因が、原因として考えられる。しかしながら、フォトレジストラインの頂部に過剰にポリマーが堆積されるとうねりが誘発されうることがわかっている。これは、フォトレジストを引っ張ってその形状を崩す傾向がある堆積膜内の応力によって引き起こされると考えられる。この問題は、とりわけ193nmタイプのフォトレジストが持つエッチング処理中にやわらかくなる傾向によって、更に悪化する恐れがある。このメカニズムは、うねりの全ての事例を説明するものではない。場合によっては、フォトレジストが明らかに堆積ではなくエッチングされている場合でも、やはりフォトレジストがうねることがある。うねりは、エッチング中におけるフォトレジストの組成変化に関連する可能性がある。これは、193nmフォトレジストの場合により深刻である。
理解を促すため、図1は、本発明の一実施形態で使用することができるプロセスの、ハイレベルなフローチャートである。パターン形成されたフォトレジストマスクが提供される(ステップ104)。図2Aは、スタック200を形成する、基板204の上の被エッチング層208と、特徴214をともなうパターン形成されたフォトレジストマスク212と、被エッチング層208の上のその下のARL210との概略断面図である。フォトレジストマスクは、可能な限り小さい特徴の最も広い幅316であるフォトレジスト特徴微小寸法(CD)を有する。現在のところ、248nmフォトレジストに従来のプロセスを使用した場合の、そのフォトレジストの代表的CDは、230〜250nmである。パターン形成されたフォトレジストマスクを提供するためには、先ず、被エッチング層の上に、フォトレジスト層を形成することができる。次いで、フォトレジスト層は、フォトレジスト側壁をともなうフォトレジスト特徴を形成するために、パターン形成される。
フォトレジスト特徴の側壁の上に、側壁層が形成される(ステップ108)。図2Bは、特徴214の側壁の上に側壁層220を形成された、パターン形成されたフォトレジストマスク212の概略断面図である。側壁層は、実質的に垂直でかつコンフォーマルな側壁を形成することが好ましい。実質的に垂直な側壁の一例は、底部から頂部にかけて、特徴の底部との間に88〜90度の角度を形成する側壁である。コンフォーマルな側壁は、特徴の頂部から底部にかけて、実質的に同じ厚さの堆積層を有する。コンフォーマルでない側壁は、ファセッティング構造またはパン塊状構造を形成し、これは、側壁を実質的に垂直でなくする。テーパ側壁(ファセッティング構造に起因する)またはパン塊状側壁は、堆積層のCDを増大させ、質の悪いエッチングマスクを提供する。
エッチング層のすぐ上に残留するあらゆる堆積層およびエッチング層の上のその他のあらゆる中間層をエッチングするために、ブレイクスルーエッチングを使用することができる(ステップ112)。
次いで、形成された側壁層220を通して、被エッチング層208内に特徴がエッチングされる(ステップ116)。図2Cは、被エッチング層208内にエッチングされた特徴232を示している。
次いで、フォトレジストおよび側壁層を剥ぎ取ることができる(ステップ120)。これは、単一のステップとして、または個々の堆積層除去ステップおよびフォトレジスト剥ぎ取りステップを含む2つの別々のステップとしてなすことができる。剥ぎ取りプロセスには、アッシングを使用することができる。図2Dは、堆積層およびフォトレジストマスクを除去された後のスタック200を示している。追加の形成ステップが実施可能である(ステップ124)。例えば、次いで、特徴内にコンタクト240が形成可能である。デュアルダマシン構造を提供するため、コンタクトの形成に先立って、トレンチがエッチング可能である。コンタクトの形成後は、更に追加のプロセスが実施可能である。
図3は、フォトレジスト特徴の側壁の上に側壁層を形成する一実施形態の、より詳細なフローチャートである(ステップ108)。このステップは、少なくとも2回繰り返される循環ステップである。フォトレジスト層の上に、コンフォーマル層が堆積される(ステップ304)。コンフォーマル層は、次いで、フォトレジスト特徴の底部にあるあらゆる堆積物を除去して側壁層を形成するために、エッチバックされる(ステップ308)。
実施例
1つの実施例では、基板204が、被エッチング層208と、ARC層210と、パターン形成されたフォトレジストマスク212とともに、エッチングチャンバ内に配される。
図4は、側壁層形成、エッチング、および剥ぎ取りに使用することができる処理チャンバ400の概略図である。プラズマ処理チャンバ400は、閉じ込めリング402と、上部電極404と、下部電極408と、ガス源410と、排出ポンプ420とを含む。ガス源410は、堆積ガス源412と、エッチバックガス源416とを含む。ガス源410は、エッチングガス源418などの追加のガス源を含むことができる。プラズマ処理チャンバ400内において、基板204は、下部電極408の上に配置される。下部電極408は、基板204を保持するための、適切な基板チャックメカニズム(例えば、静電的クランプ、機械的クランプ等など)を搭載している。リアクタトップ428は、下部電極408の真向かいに設けられた上部電極404を搭載している。上部電極404と、下部電極408と、閉じ込めリング402とが、閉じ込めプラズマ体積を定める。ガスが、ガス源410によって閉じ込めプラズマ体積に供給され、排出ポンプ420によって閉じ込めリング402および排出口を通って閉じ込めプラズマ体積から排出される。上部電極404には、第1のRF源444が電気的に接続される。下部電極408には、第2のRF源448が電気的に接続される。チャンバ壁452が、閉じ込めリング402と、上部電極404と、下部電極408とを取り囲む。第1のRF源444および第2のRF源448は、ともに、27MHz電源と2MHz電源とを含むことができる。電極へのRF電源の接続としては、異なる組み合わせが可能である。本発明の好ましい一実施形態で使用することができる、カリフォルニア州フリーモント所在のラムリサーチ(LAM Reseach Corporation,登録商標)による二重周波数容量性(Dual Frequency Capacitive,DFC)システムの場合、下部電極に接続される第2のRF電源448は、27MHz電源および2MHz電源の両方で構成され、上部電極は、接地される。RF源444,448、排出ポンプ420、およびガス源410には、可制御式にコントローラ435が接続される。DFCシステムは、被エッチング層208がシリコン酸化物または有機ケイ酸塩ガラスなどの誘電層である場合に使用されると考えられる。
図5Aおよび図5Bは、本発明の実施形態で使用されるコントローラ435を実現するのに適したコンピュータシステム1300を図示している。図5Aは、コンピュータシステムとして考えられる1つの物理的形態を示している。もちろん、コンピュータシステムは、集積回路、プリント回路基板、および小型携帯端末から、巨大スーパーコンピュータに到るまで、多くの物理的形態をとることができる。コンピュータシステム1300は、モニタ1302、ディスプレイ1304、筐体1306、ディスクドライブ1308、キーボード1310、およびマウス1312を含む。ディスク1314は、コンピュータシステム1300との間でデータをやりとりするために使用されるコンピュータ可読媒体である。
図5Bは、コンピュータシステム1300のブロック図の一例である。システムバス1320には、種々様々なサブシステムが取り付けられる。プロセッサ1322(中央演算処理装置、すなわちCPUとも称される)は、メモリ1324を含む記憶装置に接続される。メモリ1324は、ランダムアクセスメモリ(RAM)および読み出し専用メモリ(ROM)を含む。当該分野で知られているように、ROMは、CPUに対してデータおよび命令を単方向的に伝送する働きをし、RAMは、一般に、データおよび命令を双方向的に伝送するために使用される。これらのメモリは、いずれのタイプも、後述される任意の適切なコンピュータ可読媒体を含むことができる。CPU1322には、固定ディスク1326も双方向的に接続され、これは、追加のデータ記憶容量を提供し、やはり、後述される任意のコンピュータ可読媒体を含むことができる。固定ディスク1326は、プログラムやデータなどを格納するために使用されてよく、一般に、一次記憶より低速な二次記憶媒体(ハードディスクなど)である。なお、固定ディスク1326内に保持される情報は、もし適切であれば、メモリ1324内の仮想メモリとして標準的な形で組み入れ可能であることがわかる。取り外し可能ディスク1314は、後述される任意のコンピュータ可読媒体の形態をとることができる。
CPU1322は、ディスプレイ1304、キーボード1310、マウス1312、およびスピーカ1330などの様々な入出力装置にも接続される。概して、入出力装置は、ビデオディスプレイ、トラックボール、マウス、キーボード、マイクロフォン、タッチセンサ式ディスプレイ、トランスデューサカード読み取り装置、磁気テープもしくは紙テープ読み取り装置、タブレット、スタイラス、音声もしくは手書き文字認識装置、バイオメトリック読み取り装置、またはその他のコンピュータのうちの任意であることが可能である。CPU1322は、ネットワークインターフェース1340を使用して、別のコンピュータまたは通信ネットワークに随意に接続することができる。このようなネットワークインターフェースがあれば、CPUは、上述された方法のステップを実施する過程において、ネットワークから情報を受信する、またはネットワークに情報を出力することができると考えられる。更に、本発明の方法の実施形態は、CPU1322上のみで実行されてもよいし、あるいは処理の一部を共有するリモートCPUと連携してインターネットなどのネットワークを通じて実行されてもよい。
また、本発明の実施形態は、更に、コンピュータによって実行される様々な動作を実施するためのコンピュータコードを記録されたコンピュータ可読媒体をともなった、コンピュータストレージ製品に関する。媒体およびコンピュータコードは、本発明の目的のために特別に設計および構成されたものであってもよいし、あるいはコンピュータソフトウェアの分野の当業者にとって周知でなおかつ利用可能なものであってもよい。コンピュータ可読媒体の例は、ハードディスク、フロッピィディスク、および磁気テープなどの磁気媒体、CD−ROM、およびホログラフィック装置などの光媒体、フロプティカルディスクなどの光磁気媒体、ならびに特定用途向け集積回路(ASIC)、プログラム可能論理装置(PLD)、ROM装置、およびRAM装置などプログラムコードの格納および実行のために特別に構成されたハードウェアデバイスを含むが、これらに限定されない。コンピュータコードの例は、コンパイラによって生成されるなどのマシンコード、およびインタープリタを使用してコンピュータによって実行される高水準コードを含むファイルを含む。コンピュータ可読媒体は、搬送波に組み込まれたコンピュータデータ信号によって伝送されなおかつプロセッサによって実行可能な一連の命令を表すコンピュータコードでもあることも可能である。
エッチングチャンバ内において、フォトレジスト特徴の側壁の上に、側壁層が形成される(ステップ108)。コンフォーマル層の堆積(ステップ304)のためのレシピの一例として、堆積ガス源412は、150sccmのCH3Fのフローと、75sccmのN2のフローと、100sccmのArのフローとを提供する。圧力は、80ミリトールに設定される。基板は、20℃の温度に維持される。第2のRF源448は、27MHzの周波数では400ワットを、2MHzの周波数では0ワットを提供する。
図6Aは、基板604と、その上のエッチング層608と、その上のARC層610と、その上のパターン形成されたフォトレジスト層612と、その上の堆積されたコンフォーマル層620とを示した概略断面図である。この実施例では、コンフォーマル層620は、フォトレジスト層612の側壁および頂部と、フォトレジスト特徴614の底部のARC610とを覆っている。その他の実施形態では、コンフォーマル層は、フォトレジスト特徴の底部のARC上に堆積されないかもしれない。好ましくは、堆積されたコンフォーマル層は、単分子層から20nmまでの厚さである。より好ましくは、堆積されたコンフォーマル層は、単分子層から7nmまでの厚さである。最も好ましくは、堆積されたコンフォーマル層は、単分子層から2nmまでの厚さである。
好ましくは、コンフォーマル層の堆積は、原子層成長、化学気相成長、スパッタリング蒸着、プラズマ蒸着、およびプラズマ化学気相成長のうちの少なくとも1つを含む。より好ましくは、コンフォーマル層の堆積は、化学気相成長、スパッタリング蒸着、プラズマ蒸着、およびプラズマ化学気相成長のうちの少なくとも1つを含む。好ましくは、基板の温度は、−80℃から120℃の間に維持される。一般に、120℃は、フォトレジストのガラス転移温度である。基板の温度は、フォトレジストのそのガラス転移温度より低く維持することが好ましい。より好ましくは、基板の温度は、−10℃から50℃の間に維持される。最も好ましくは、基板の温度は、20℃に維持される。好ましくは、バイアス電位は、120ボルト未満である。より好ましくは、バイアス電位は、100ボルト未満である。最も好ましくは、バイアス電位は、20ボルトから80ボルトの間である。
好ましくは、堆積層は、ポリマー、TEOS、SiO2、Si32、SiC、Si、Al23、AlN、Cu、HfO2、Mo、Ta、TaN、TaO2、Ti、TiN、TiO2、TiSiN、およびWのうちの少なくとも1つを含む。ポリマーは、フッ化炭化水素材料など炭化水素をベースにした材料である。
エッチバック(ステップ308)中は、100sccmのCF4など、ハロゲン(すなわちフッ素、臭素、塩素)を含有するガスが提供される。この実施例では、CF4が、エッチバック中に提供される唯一のガスである。20ミリトールの圧力が、チャンバに提供される。第2のRF源448は、27MHzの周波数で600ワットを、2MHzの周波数で0ワットを提供する。
図6Bは、堆積されたコンフォーマル層から側壁624を形成するためにコンフォーマル層がエッチバックされた後の、基板604と、その上のエッチング層608と、その上のARC層610と、その上のパターン形成されたフォトレジスト層612とを示した概略断面図である。この実施例では、フォトレジスト層612の頂部およびフォトレジスト特徴614の底部を覆っているコンフォーマル層の部分が除去され、フォトレジスト特徴の側壁上の層のみが残される。その他の実施形態では、フォトレジストの頂部上のコンフォーマル層の部分は残留し、フォトレジスト特徴の底部のARC上の層のみがエッチバックによって除去される。しかしながら、このような一実施形態では、フォトレジストの頂部の上のコンフォーマル層の一部が除去される。このような一実施形態では、フォトレジスト層の頂部の上に残留しているコンフォーマル層を、エッチングのハードマスクとして使用することができる。
この実施例では、コンフォーマル層堆積のステップ(ステップ304)およびエッチバックのステップ(ステップ308)を含む側壁形成のサイクル(ステップ108)が、少なくとも2回実施される。より好ましくは、側壁の形成は、3〜50のサイクルにわたって実施される。最も好ましくは、側壁の形成は、3〜10サイクルにわたって実施される。好ましくは、完成した側壁層は、厚さ100nm未満のように、薄くなおかつエッチングに対して耐性である。より好ましくは、完成した側壁層は、単分子層から50nmまでの厚さである。最も好ましくは、完成した側壁層は、単分子層から2nmまでの厚さである。
その他の実施形態では、エッチングサイクルは、追加堆積および/またはエッチバックのステップを更に含むことができる。
フォトレジスト特徴の底部上に残留するあらゆる堆積層を除去するために、ブレイクスルーエッチングレシピの一例を使用することができる。このようなブレイクスルーは、エッチバックに使用されるレシピと同じようなレシピを使用することができる。
被エッチング層の一例は、SiN、SiC、酸化物、または低k誘電体などの従来のエッチング層であることが可能である。被エッチング層のエッチングには、従来のエッチングレシピを使用することができる。
フォトレジストおよび側壁層を剥ぎ取る(ステップ120)には、酸素アッシングを使用することができる。
複数のサイクルにわたる側壁層の形成によって、より改良された側壁層プロファイルが提供される。上記の方法を通じて側壁層を提供すると、予想外にラインエッジ粗さが低減されることがわかった。また、側壁層は、より改良された選択性制御を提供する。頂部の層も底部の層もともなわない側壁層を形成することによって、ラインエッジ粗さを低減させられると考えられる。
本発明の好ましい一実施形態では、堆積層の堆積、エッチバック、ブレイクスルーエッチ、および側壁層を通した層のエッチングを、図示されたような同一のエッチングチャンバ内で、in−situでなすことができる。
以上では、複数の好ましい実施形態の観点から本発明が説明された。しかしながら、本発明の範囲には、変更形態、置換形態、および様々な代替の等価形態が含まれる。また、本発明の方法および装置を実現するものとして、多くの代替の方法がある。したがって、添付の特許請求の範囲は、本発明の真の趣旨および範囲に含まれるものとして、このようなあらゆる変更形態、置換形態、および様々な代替の等価形態を含むものと解釈される。
本発明の一実施形態で使用することができるプロセスの、ハイレベルなフローチャートである。 本発明の一実施形態にしたがって処理されるスタックの概略断面図である。 本発明の一実施形態にしたがって処理されるスタックの概略断面図である。 本発明の一実施形態にしたがって処理されるスタックの概略断面図である。 本発明の一実施形態にしたがって処理されるスタックの概略断面図である。 CDを低減させるためにフォトレジスト特徴の側壁の上に層を堆積させるステップの、より詳細なフローチャートである。 本発明の実施にあたって使用することができるプラズマ処理チャンバの概略図である。 本発明の実施形態で使用されるコントローラを実現するのに適したコンピュータシステムの説明図である。 本発明の実施形態で使用されるコントローラを実現するのに適したコンピュータシステムの説明図である。 本発明の一実施形態にしたがって処理されるスタックの概略断面図である。 本発明の一実施形態にしたがって処理されるスタックの概略断面図である。

Claims (13)

  1. エッチング層内に特徴を形成するための方法であって、
    前記層の上に、フォトレジスト層を形成し、
    フォトレジスト側壁をともなうフォトレジスト特徴を形成するために、前記フォトレジスト層をパターン形成し、
    各サイクルは、前記フォトレジスト層上に層を堆積させる工程を含み、前記堆積層は、単分子層から20nmまでの厚さとなる複数のサイクルを実施することにより、前記フォトレジスト特徴の前記側壁の上に、厚さ100nm未満の側壁層を形成し、
    前記フォトレジスト特徴を通して前記層内に特徴をエッチングし、
    前記フォトレジスト層および前記側壁層を剥ぎ取る方法であり、
    前記側壁層を形成する各サイクルは、更に、側壁層を残しつつ、前記フォトレジスト特徴の底部の上に形成された前記堆積層の部分を除去するために前記堆積層をエッチバックする
    方法。
  2. 請求項1に記載の方法であって、
    前記フォトレジスト層上への前記層の堆積は、プラズマ蒸着、およびプラズマ化学気相成長のうちの少なくとも1つを、120ボルト未満のバイアス電位で実施することにより行なわれる方法。
  3. 請求項1または請求項2記載の方法であって、更に、
    前記フォトレジスト層上に前記層を堆積させる間に、前記基板を摂氏−80〜120度の温度に加熱する方法。
  4. 請求項1ないし請求項3のいずれかに記載の方法であって、
    前記側壁の上への前記側壁層の堆積は、3〜10サイクルにわたって実施される方法。
  5. 請求項1ないし請求項4のいずれかに記載の方法であって、
    前記フォトレジスト層上への前記層の堆積は、ポリマー、TEOS、SiO2、Si3N2、SiC、Si、Al2O3、AlN、Cu、HfO2、Mo、Ta、TaN、TaO2、Ti、TiN、TiO2、TiSiN、およびWのうちの少なくとも1つの層を堆積させることを含む方法。
  6. 請求項1ないし請求項5のいずれかに記載の方法であって、
    前記エッチバックすることは、更に、前記フォトレジスト層の頂部の上の前記堆積層の部分を除去する方法。
  7. 請求項1ないし請求項6のいずれかに記載の方法によって形成される半導体デバイス。
  8. エッチング層内に特徴を形成するための方法であって、
    フォトレジスト側壁をともなうフォトレジスト特徴を形成するために、前記エッチング層の上に、パターン形成されたフォトレジスト層を形成し、
    複数のサイクルを実施することにより、前記フォトレジスト特徴の前記側壁の上に、厚さ100nm未満の側壁層を形成し、
    前記各サイクルは、
    単分子層から20nmまでの厚さを有する層をフォトレジスト層上に堆積させる工程と、
    側壁層を残しつつ、前記フォトレジスト特徴の底部の上に形成された前記堆積層の部分を除去するために前記堆積層をエッチバックする工程と
    を含み、
    前記フォトレジスト特徴を通して前記エッチング層内に特徴をエッチングし、
    前記フォトレジスト層および前記側壁層を剥ぎ取り、
    前記フォトレジスト層上への前記層の堆積と、前記エッチバック、前記特徴のエッチング、および前記剥ぎ取りは、単一のプラズマチャンバ内で、in−situでなされる方法。
  9. 請求項8に記載の方法であって、
    前記フォトレジスト層上への前記層の堆積は、プラズマ蒸着、およびプラズマ化学気相成長のうちの少なくとも1つを、120ボルト未満のバイアス電位で実施することにより行なわれる
    方法。
  10. 請求項8または請求項9に記載の方法であって、更に、
    前記フォトレジスト層上に前記層を堆積させる間に、前記基板を80〜120℃の温度に加熱する方法。
  11. 請求項8ないし請求項10のいずれかに記載の方法であって、
    前記側壁の上への前記側壁層の堆積は、3〜10サイクルにわたって実施される
    方法。
  12. 請求項8ないし請求項11のいずれかに記載の方法であって、
    前記フォトレジスト層上への前記層の堆積は、ポリマー、TEOS、SiO2、Si3N2、SiC、Si、Al2O3、AlN、Cu、HfO2、Mo、Ta、TaN、TaO2、Ti、TiN、TiO2、TiSiN、およびWのうちの少なくとも1つの層を堆積させることを含む
    方法。
  13. 請求項8ないし請求項12のいずれかに記載の方法によって形成される半導体デバイス。
JP2008526963A 2005-08-18 2006-08-01 ラインエッジ粗さを低減させた特徴のエッチング Active JP5250418B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/208,098 US7273815B2 (en) 2005-08-18 2005-08-18 Etch features with reduced line edge roughness
US11/208,098 2005-08-18
PCT/US2006/030028 WO2007021540A2 (en) 2005-08-18 2006-08-01 Etch features with reduced line edge roughness

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013023716A Division JP2013110437A (ja) 2005-08-18 2013-02-08 ラインエッジ粗さを低減させた特徴のエッチング

Publications (3)

Publication Number Publication Date
JP2009505421A JP2009505421A (ja) 2009-02-05
JP2009505421A5 JP2009505421A5 (ja) 2009-09-10
JP5250418B2 true JP5250418B2 (ja) 2013-07-31

Family

ID=37758048

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2008526963A Active JP5250418B2 (ja) 2005-08-18 2006-08-01 ラインエッジ粗さを低減させた特徴のエッチング
JP2013023716A Withdrawn JP2013110437A (ja) 2005-08-18 2013-02-08 ラインエッジ粗さを低減させた特徴のエッチング

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2013023716A Withdrawn JP2013110437A (ja) 2005-08-18 2013-02-08 ラインエッジ粗さを低減させた特徴のエッチング

Country Status (6)

Country Link
US (2) US7273815B2 (ja)
JP (2) JP5250418B2 (ja)
KR (1) KR101257532B1 (ja)
CN (2) CN103105744A (ja)
TW (1) TWI432605B (ja)
WO (1) WO2007021540A2 (ja)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7250371B2 (en) * 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
US20060134917A1 (en) * 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness
US7682516B2 (en) * 2005-10-05 2010-03-23 Lam Research Corporation Vertical profile fixing
US7309646B1 (en) * 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
JP5108489B2 (ja) * 2007-01-16 2012-12-26 株式会社日立ハイテクノロジーズ プラズマ処理方法
KR101573949B1 (ko) 2007-11-08 2015-12-02 램 리써치 코포레이션 산화물 스페이서를 이용한 피치 감소
WO2009085694A2 (en) * 2007-12-21 2009-07-09 Lam Research Corporation Protective layer for implant photoresist
KR20100106501A (ko) * 2007-12-21 2010-10-01 램 리써치 코포레이션 고 식각율 레지스트 마스크를 이용한 식각
KR101570551B1 (ko) * 2008-03-11 2015-11-19 램 리써치 코포레이션 에칭층 내에 피쳐들을 에칭하기 위한 방법
US7772122B2 (en) * 2008-09-18 2010-08-10 Lam Research Corporation Sidewall forming processes
CN102308366B (zh) * 2009-02-06 2015-08-12 Lg化学株式会社 触摸屏及其制备方法
SG174500A1 (en) * 2009-04-09 2011-10-28 Lam Res Corp Method for low-k dielectric etch with reduced damage
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
US20130078804A1 (en) * 2011-09-22 2013-03-28 Nanya Technology Corporation Method for fabricating integrated devices with reducted plasma damage
US20140162194A1 (en) * 2012-05-25 2014-06-12 Applied Materials, Inc. Conformal sacrificial film by low temperature chemical vapor deposition technique
CN103871956A (zh) * 2012-12-10 2014-06-18 中微半导体设备(上海)有限公司 一种深孔硅刻蚀方法
CN104157556B (zh) * 2013-05-15 2017-08-25 中芯国际集成电路制造(上海)有限公司 金属硬掩模开口刻蚀方法
US8883648B1 (en) * 2013-09-09 2014-11-11 United Microelectronics Corp. Manufacturing method of semiconductor structure
CN104465386A (zh) * 2013-09-24 2015-03-25 中芯国际集成电路制造(北京)有限公司 半导体结构的形成方法
CN104275171B (zh) * 2014-06-18 2016-07-20 河海大学 一种二氧化硅纳米层包覆的γ-氧化铝粉体材料的制备方法
JP6239466B2 (ja) * 2014-08-15 2017-11-29 東京エレクトロン株式会社 半導体装置の製造方法
CN105719965A (zh) * 2014-12-04 2016-06-29 北京北方微电子基地设备工艺研究中心有限责任公司 二氧化硅基片的刻蚀方法和刻蚀设备
CN106158595B (zh) * 2015-04-20 2019-03-12 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US9543203B1 (en) 2015-07-02 2017-01-10 United Microelectronics Corp. Method of fabricating a semiconductor structure with a self-aligned contact
KR20170016107A (ko) 2015-08-03 2017-02-13 삼성전자주식회사 반도체 장치 제조 방법
WO2017111822A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Pitch division using directed self-assembly
US9852924B1 (en) * 2016-08-24 2017-12-26 Lam Research Corporation Line edge roughness improvement with sidewall sputtering
CN107527797B (zh) * 2017-08-16 2022-04-05 江苏鲁汶仪器有限公司 一种改善光刻胶线条边缘粗糙度的方法
US20190378725A1 (en) * 2018-06-08 2019-12-12 Lam Research Corporation Method for transferring a pattern from an organic mask
JP7357528B2 (ja) * 2019-12-06 2023-10-06 東京エレクトロン株式会社 エッチング方法及びエッチング装置
WO2024024919A1 (ja) * 2022-07-29 2024-02-01 東京エレクトロン株式会社 基板処理方法及び基板処理システム

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5378170A (en) 1976-12-22 1978-07-11 Toshiba Corp Continuous processor for gas plasma etching
US4871630A (en) 1986-10-28 1989-10-03 International Business Machines Corporation Mask using lithographic image size reduction
US5013680A (en) * 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5273609A (en) 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US5296410A (en) 1992-12-16 1994-03-22 Samsung Electronics Co., Ltd. Method for separating fine patterns of a semiconductor device
JPH06216084A (ja) * 1992-12-17 1994-08-05 Samsung Electron Co Ltd 半導体装置のパターン分離方法および微細パターン形成方法
JPH0997833A (ja) * 1995-07-22 1997-04-08 Ricoh Co Ltd 半導体装置とその製造方法
US5879853A (en) * 1996-01-18 1999-03-09 Kabushiki Kaisha Toshiba Top antireflective coating material and its process for DUV and VUV lithography systems
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
GB9616225D0 (en) 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
US5895740A (en) 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
US5907775A (en) * 1997-04-11 1999-05-25 Vanguard International Semiconductor Corporation Non-volatile memory device with high gate coupling ratio and manufacturing process therefor
SE512813C2 (sv) * 1997-05-23 2000-05-15 Ericsson Telefon Ab L M Förfarande för framställning av en integrerad krets innefattande en dislokationsfri kollektorplugg förbunden med en begravd kollektor i en halvledarkomponent, som är omgiven av en dislokationsfri trench samt integrerad krets framställd enligt förfarandet
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
US6218288B1 (en) * 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6100014A (en) * 1998-11-24 2000-08-08 United Microelectronics Corp. Method of forming an opening in a dielectric layer through a photoresist layer with silylated sidewall spacers
JP2001015587A (ja) * 1999-06-30 2001-01-19 Toshiba Corp 半導体装置の製造方法
US6368974B1 (en) 1999-08-02 2002-04-09 United Microelectronics Corp. Shrinking equal effect critical dimension of mask by in situ polymer deposition and etching
JP2002110654A (ja) * 2000-10-04 2002-04-12 Sony Corp 半導体装置の製造方法
US6905800B1 (en) * 2000-11-21 2005-06-14 Stephen Yuen Etching a substrate in a process zone
US6656282B2 (en) 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
US6750150B2 (en) 2001-10-18 2004-06-15 Macronix International Co., Ltd. Method for reducing dimensions between patterns on a photoresist
KR100448714B1 (ko) 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US7105442B2 (en) * 2002-05-22 2006-09-12 Applied Materials, Inc. Ashable layers for reducing critical dimensions of integrated circuit features
US20030235998A1 (en) * 2002-06-24 2003-12-25 Ming-Chung Liang Method for eliminating standing waves in a photoresist profile
US20040010769A1 (en) * 2002-07-12 2004-01-15 Macronix International Co., Ltd. Method for reducing a pitch of a procedure
KR100480610B1 (ko) * 2002-08-09 2005-03-31 삼성전자주식회사 실리콘 산화막을 이용한 미세 패턴 형성방법
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US7090967B2 (en) * 2002-12-30 2006-08-15 Infineon Technologies Ag Pattern transfer in device fabrication
US6780708B1 (en) 2003-03-05 2004-08-24 Advanced Micro Devices, Inc. Method of forming core and periphery gates including two critical masking steps to form a hard mask in a core region that includes a critical dimension less than achievable at a resolution limit of lithography
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US7250371B2 (en) * 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
JP4727171B2 (ja) * 2003-09-29 2011-07-20 東京エレクトロン株式会社 エッチング方法
KR100549204B1 (ko) * 2003-10-14 2006-02-02 주식회사 리드시스템 실리콘 이방성 식각 방법
US7012027B2 (en) * 2004-01-27 2006-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Zirconium oxide and hafnium oxide etching using halogen containing chemicals
US6864184B1 (en) * 2004-02-05 2005-03-08 Advanced Micro Devices, Inc. Method for reducing critical dimension attainable via the use of an organic conforming layer
US20060032833A1 (en) * 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue
US7723235B2 (en) * 2004-09-17 2010-05-25 Renesas Technology Corp. Method for smoothing a resist pattern prior to etching a layer using the resist pattern
US20060134917A1 (en) * 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US20070026682A1 (en) * 2005-02-10 2007-02-01 Hochberg Michael J Method for advanced time-multiplexed etching
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
KR100810303B1 (ko) * 2005-04-28 2008-03-06 삼성전자주식회사 휴대단말기의 데이터 표시 및 전송방법
US7695632B2 (en) * 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness

Also Published As

Publication number Publication date
KR101257532B1 (ko) 2013-04-23
CN103105744A (zh) 2013-05-15
WO2007021540A3 (en) 2007-12-21
WO2007021540A2 (en) 2007-02-22
CN101292197A (zh) 2008-10-22
US20070042607A1 (en) 2007-02-22
KR20080046665A (ko) 2008-05-27
US7273815B2 (en) 2007-09-25
TWI432605B (zh) 2014-04-01
US20070284690A1 (en) 2007-12-13
TW200720482A (en) 2007-06-01
JP2013110437A (ja) 2013-06-06
JP2009505421A (ja) 2009-02-05

Similar Documents

Publication Publication Date Title
JP5250418B2 (ja) ラインエッジ粗さを低減させた特徴のエッチング
US7491647B2 (en) Etch with striation control
JP5048055B2 (ja) エッチング層内に特徴を設けるための方法
KR101534883B1 (ko) 마스크 트리밍
JP5165560B2 (ja) エッチング層に形状を形成するための方法
JP5165306B2 (ja) 多孔質低k誘電体層内に特徴を形成するための装置
JP5632280B2 (ja) 異なるアスペクト比の構成を誘電層内にエッチングするための方法、及びその方法によって作成される半導体デバイス、並びにそのための装置
KR101516455B1 (ko) Arl 에칭을 이용한 마스크 트리밍
US7977242B2 (en) Double mask self-aligned double patterning technology (SADPT) process
TWI393997B (zh) 用於蝕刻基板上之低k介電層的方法、半導體裝置以及用於在低k介電層中形成特徵的設備
KR101155843B1 (ko) 균일성 제어에 의한 에칭
US20070181530A1 (en) Reducing line edge roughness

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090723

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090723

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100405

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120110

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120409

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120416

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120710

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20121009

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130208

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130212

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20130305

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130326

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130415

R150 Certificate of patent or registration of utility model

Ref document number: 5250418

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160419

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250