WO2024024919A1 - 基板処理方法及び基板処理システム - Google Patents

基板処理方法及び基板処理システム Download PDF

Info

Publication number
WO2024024919A1
WO2024024919A1 PCT/JP2023/027676 JP2023027676W WO2024024919A1 WO 2024024919 A1 WO2024024919 A1 WO 2024024919A1 JP 2023027676 W JP2023027676 W JP 2023027676W WO 2024024919 A1 WO2024024919 A1 WO 2024024919A1
Authority
WO
WIPO (PCT)
Prior art keywords
region
substrate
gas
processing method
substrate processing
Prior art date
Application number
PCT/JP2023/027676
Other languages
English (en)
French (fr)
Inventor
翔 熊倉
健太 小野
由太 中根
哲也 西塚
昌伸 本田
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Publication of WO2024024919A1 publication Critical patent/WO2024024919A1/ja

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34

Definitions

  • Exemplary embodiments of the present disclosure relate to substrate processing methods and substrate processing systems.
  • Patent Document 1 discloses a technique for forming a thin film that can be patterned using extreme ultraviolet light (hereinafter referred to as "EUV") on a semiconductor substrate.
  • EUV extreme ultraviolet light
  • the present disclosure provides a technique for adjusting the shape of a developed pattern.
  • a method of processing a substrate includes: (a) providing a substrate having a base film and a metal-containing resist film on the base film on a substrate support, the step of: the metal-containing resist film includes a first region and a second region; and (b) developing the metal-containing resist film to selectively remove the second region from the metal-containing resist film;
  • the step (b) includes (b1) a step of removing the second region with respect to the first region at a first selection ratio, and (b2) a step of removing the second region with respect to the first region with a first selection ratio. further removing at a second selectivity ratio different from the ratio.
  • a technique for adjusting the shape of a developed pattern can be provided.
  • FIG. 2 is a diagram for explaining a configuration example of a heat treatment system.
  • FIG. 2 is a diagram for explaining a configuration example when a plasma processing system is used as a development processing system.
  • FIG. 2 is a diagram for explaining a configuration example of a capacitively coupled plasma processing apparatus.
  • FIG. 2 is a diagram for explaining a configuration example of a liquid processing system.
  • 3 is a flowchart showing a first method. It is a figure which shows an example of the cross-sectional structure of the board
  • 3 is a diagram showing an example of a base film UF of a substrate W.
  • FIG. 3 is a diagram showing an example of a base film UF of a substrate W.
  • FIG. 1 is a diagram showing an example of a base film UF of a substrate W.
  • FIG. 7 is a diagram showing an example of the cross-sectional structure of the substrate W after processing in step ST120.
  • FIG. It is a figure which shows an example of the cross-sectional structure of the board
  • 3 is a flowchart showing a second method.
  • 7 is a diagram showing an example of the cross-sectional structure of the substrate W after processing in step ST220.
  • FIG. 7 is a diagram showing an example of the cross-sectional structure of the substrate W after processing in step ST222.
  • FIG. 1 is a block diagram for explaining a configuration example of a substrate processing system SS.
  • FIG. 3 is a flowchart illustrating method MT.
  • a substrate processing method is provided, including a step of removing the substrate.
  • the first region is the exposed region and the second region is the unexposed region.
  • the second selectivity ratio is higher than the first selectivity ratio.
  • step (b) the development is performed by wet development, and in step (b), the solubility of the metal-containing resist film in the developer used in step (I) (b2) is , the solubility of the metal-containing resist film in the developer used in step (b1) is lower than the solubility of the metal-containing resist film, and (II) the concentration of the developer used in step (b2) is lower than the concentration of the developer used in step (b1). and (III) the temperature of the developer used in step (b2) is lower than the temperature of the developer used in step (b1).
  • step (b) the development is performed by dry development in a chamber, and in step (b), the temperature of the substrate support in step (I) (b2) is ( (II) The pressure inside the chamber in the step (b2) is lower than the pressure in the chamber in the step (b1); (III) (b2) ) The acidity of the second developing gas used in step (b1) is lower than the acidity of the first developing gas used in step (b1), and (IV) the acidity of the second developing gas used in step (b2) is The concentration of the developing gas satisfies at least one of the following conditions: the concentration of the developing gas is lower than the concentration of the first developing gas used in step (b1).
  • (b1) is performed by dry development with a first processing gas that includes a first developer gas
  • (b2) is performed by a second process that includes a second developer gas.
  • the step (b) is carried out by dry development using gas, and the temperature of the substrate support part in the step (I) (b2) is lower than the temperature of the substrate support part in the step (b1); II)
  • the pressure in the chamber in step (b2) is lower than the pressure in the chamber in step (b1), and (III) the acidity of the second developing gas is equal to the acidity of the first developing gas.
  • the concentration of the second developing gas is lower than the concentration of the first developing gas
  • (V) the second processing gas is used in step (b1) and step (b2).
  • the first processing gas contains a protective gas that protects the sidewall of the first region exposed in the process, and the first processing gas does not contain a protective gas or has a lower partial pressure than (the partial pressure of) the protective gas contained in the second processing gas. At least one of the following is satisfied: containing a protective gas at a partial pressure.
  • step (b) the development is performed by dry development using plasma generated in the chamber;
  • the power level of the supplied source RF signal for plasma generation is lower than the power level of the source RF signal in the step (b1), and (II) the power level of the source RF signal supplied to the chamber in the step (b2)
  • the power or voltage level of the bias signal satisfies at least one of the following: the power or voltage level of the bias signal is smaller than the power or voltage level of the bias signal in step (b1).
  • the step (b) further includes a step of modifying the first region between the steps (b1) and (b2).
  • modifying the first region includes heating or plasma treating the substrate.
  • a substrate processing method in which the step of modifying the first region is performed in the same chamber as the step (b1).
  • the step of modifying the first region is performed in a different chamber from the step of (b1).
  • step (b1) development is performed by wet development
  • step (b2) development is performed by dry development.
  • step (b) a cycle including steps (b1) and (b2) is repeated multiple times.
  • the metal-containing resist film includes at least one metal selected from the group consisting of Sn, Hf, and Ti.
  • the first region is exposed to EUV.
  • switching from the step (b1) to the step (b2) is performed based on the depth or aspect ratio of the opening formed in the metal-containing resist film by development.
  • the first region includes a first portion and a second portion below the first portion and on the underlying film, and step (b1) is performed until just before the second portion is exposed. , or until a portion of the second portion is exposed.
  • the method further includes a step of etching the underlying film using the metal-containing resist film as a mask.
  • the method further includes at least one of the steps of removing residues in the first region or the second region generated in (b1) and/or (b2).
  • step (c) is performed in the same chamber used in step (b).
  • step (c) is performed in a different chamber than the chamber used in step (b).
  • the step b) includes (b1) controlling the temperature of the substrate support part to a first temperature and removing the second region, and (b2) controlling the temperature of the substrate support part to be lower than the first temperature.
  • a substrate processing method is provided that includes the step of controlling the temperature to a low second temperature and removing the second region.
  • the step (b) is dry developing using HBr, the first temperature is 20°C or more and 60°C or less, and the second temperature is -20°C. The temperature is above 20°C.
  • a method of processing a substrate includes: (a) providing a substrate having a base film and a metal-containing resist film formed on the base film on a substrate support; (b) dry developing the metal-containing resist film to selectively remove the second region from the metal-containing resist film; The step (b) includes (b1) removing the second region using the first processing gas, and (b2) using the second processing gas having a lower acidity than the first processing gas.
  • a method for processing a substrate is provided, including the step of removing the second region using a processing gas of:
  • the first processing gas includes a halogen-containing inorganic acid and the second processing gas includes an organic acid.
  • the first process gas includes a halogen-containing inorganic acid and an organic acid at a lower flow rate than the halogen-containing inorganic acid
  • the second process gas includes a halogen-containing inorganic acid and a lower flow rate of the organic acid than the halogen-containing inorganic acid.
  • the organic acid contains a higher flow rate than the inorganic acid contained therein.
  • the halogen-containing inorganic acid includes at least one selected from the group consisting of HBr gas, HCl gas, BCl3 gas, and HF gas and HI gas.
  • the organic acid includes at least one selected from the group consisting of carboxylic acids, ⁇ -dicarbonyl compounds, and alcohols.
  • step (b) comprises (I) the temperature of the substrate support in step (b2) is lower than the temperature of the substrate support in step (b1); and (II). )
  • the pressure in the chamber in the step (b2) satisfies at least one of the following conditions: the pressure in the chamber in the step (b1) is lower than the pressure in the chamber in the step (b1).
  • steps (b1) and (b2) are repeated.
  • step (b) a cycle including steps (b1) and (b2) is performed one or more times, and then step (b1) is further performed.
  • step (b) is performed after the cycle including steps (b1) and (b2) is performed one or more times without using a plasma. and/or removing the second region using plasma generated from the second processing gas.
  • a substrate processing system having one or more substrate processing apparatuses and a controller, wherein the controller controls (a) a base film and a base film for the one or more substrate processing apparatuses; (b) providing a substrate having a metal-containing resist film on a substrate support on a substrate support, the metal-containing resist film including a first region and a second region; and selectively removing the second region from the metal-containing resist film by developing the resist film, and the control in (b) includes: (b1) developing the second region with respect to the first region; A substrate processing system includes: (b2) control to remove a second region with respect to the first region at a second selection ratio different from the first selection ratio; provided.
  • FIG. 1 is a diagram for explaining a configuration example of a heat treatment system.
  • the heat treatment system includes a heat treatment apparatus 100 and a controller 200.
  • the heat processing system is an example of a substrate processing system
  • the heat processing apparatus 100 is an example of a substrate processing apparatus.
  • the heat treatment apparatus 100 includes a treatment chamber 102 configured to form a sealed space.
  • the processing chamber 102 is, for example, an airtight cylindrical container, and is configured to be able to adjust the internal atmosphere.
  • a side wall heater 104 is provided on the side wall of the processing chamber 102 .
  • a ceiling heater 130 is provided on the ceiling wall (top plate) of the processing chamber 102 .
  • a ceiling surface 140 of the ceiling wall (top plate) of the processing chamber 102 is formed as a horizontal flat surface, and its temperature is adjusted by the ceiling heater 130.
  • a substrate support section 121 is provided on the lower side inside the processing chamber 102.
  • the substrate support section 121 has a substrate support surface on which the substrate W is supported.
  • the substrate support part 121 is, for example, formed in a circular shape in plan view, and the substrate W is placed on the horizontally formed surface (upper surface) thereof.
  • a stage heater 120 is embedded in the substrate support section 121. This stage heater 120 can heat the substrate W placed on the substrate support section 121.
  • a ring assembly (not shown) may be arranged in the substrate support section 121 so as to surround the substrate W.
  • the ring assembly may include one or more annular members. By arranging the ring assembly around the substrate W, temperature controllability in the outer peripheral region of the substrate W can be improved.
  • the ring assembly may be constructed from inorganic or organic materials depending on the intended heat treatment.
  • the substrate support part 121 is supported within the processing chamber 102 by a support 122 provided on the bottom surface of the processing chamber 102.
  • a plurality of lifting pins 123 that can be vertically moved up and down are provided on the outer side of the support column 122 in the circumferential direction.
  • Each of the plurality of lifting pins 123 is inserted into a through hole provided in the substrate support section 121.
  • the plurality of lifting pins 123 are arranged at intervals in the circumferential direction.
  • the elevating and lowering operations of the plurality of elevating pins 123 are controlled by an elevating mechanism 124.
  • An exhaust port 131 having an opening is provided in the side wall of the processing chamber 102.
  • the exhaust port 131 is connected to an exhaust mechanism 132 via an exhaust pipe.
  • the exhaust mechanism 132 is composed of a vacuum pump, a valve, and the like, and adjusts the exhaust flow rate from the exhaust port 131. By adjusting the exhaust flow rate and the like by the exhaust mechanism 132, the pressure inside the processing chamber 102 is adjusted.
  • a transport port for the substrate W (not shown) is formed in the side wall of the processing chamber 102 at a position different from the position where the exhaust port 131 opens so as to be openable and closable.
  • a gas nozzle 141 is provided on the side wall of the processing chamber 102 at a position different from the exhaust port 131 and the substrate W transport port. Gas nozzle 141 supplies processing gas into processing chamber 102 .
  • the gas nozzle 141 is provided on the side wall of the processing chamber 102 on the opposite side of the exhaust port 131 when viewed from the center of the substrate support 121 . That is, the gas nozzle 141 is provided on the side wall of the processing chamber 102 symmetrically with the exhaust port 131 with respect to a vertical imaginary plane passing through the center of the substrate support 121 .
  • the gas nozzle 141 is formed into a rod shape that protrudes from the side wall of the processing chamber 102 toward the center of the processing chamber 102 .
  • the tip of the gas nozzle 141 extends, for example, horizontally from the side wall of the processing chamber 102.
  • the processing gas is discharged into the processing chamber 102 from a discharge port that opens at the tip of the gas nozzle 141, flows in the direction of the dashed-dotted arrow shown in FIG. 1, and is exhausted from the exhaust port 131.
  • the tip of the gas nozzle 41 may have a shape extending diagonally downward toward the substrate W, or may have a shape extending diagonally upward toward the ceiling surface 140 of the processing chamber 102.
  • gas nozzle 141 may be provided, for example, on the ceiling wall of the processing chamber 102. Further, the exhaust port 131 may be provided on the bottom surface of the processing chamber 102.
  • the heat treatment apparatus 100 has a gas supply pipe 152 connected to the gas nozzle 141 from the outside of the treatment chamber 102.
  • a pipe heater 160 is provided around the gas supply pipe 152 to heat the gas within the gas supply pipe 152 .
  • Gas supply pipe 152 is connected to gas supply section 170.
  • Gas supply 170 includes at least one gas source and at least one flow controller.
  • the gas supply may include a vaporizer that vaporizes the material in liquid form.
  • the control unit 200 processes computer-executable instructions that cause the heat treatment apparatus 100 to perform various steps described in this disclosure.
  • Control unit 200 may be configured to control each element of heat treatment apparatus 100 to perform the various steps described herein. In one embodiment, part or all of the control unit 200 may be included in the heat treatment apparatus 100.
  • the control unit 200 may include a processing unit 200a1, a storage unit 200a2, and a communication interface 200a3.
  • the control unit 200 is realized by, for example, a computer 200a.
  • the processing unit 200a1 may be configured to read a program from the storage unit 200a2 and perform various control operations by executing the read program. This program may be stored in advance in the storage unit 200a2, or may be acquired via a medium when necessary.
  • the acquired program is stored in the storage unit 200a2, and is read out from the storage unit 200a2 and executed by the processing unit 200a1.
  • the medium may be any of various storage media readable by the computer 200a, or may be a communication line connected to the communication interface 200a3.
  • the processing unit 200a1 may be a CPU (Central Processing Unit).
  • the storage unit 200a2 may include a RAM (Random Access Memory), a ROM (Read Only Memory), an HDD (Hard Disk Drive), an SSD (Solid State Drive), or a combination thereof.
  • the communication interface 200a3 may communicate with the heat treatment apparatus 100 via a communication line such as a LAN (Local Area Network).
  • FIG. 2 is a diagram for explaining a configuration example when a plasma processing system is used as a development processing system.
  • a plasma processing system includes a plasma processing apparatus 1 and a controller 2.
  • the plasma processing system is an example of a substrate processing system
  • the plasma processing apparatus 1 is an example of a substrate processing apparatus.
  • the plasma processing apparatus 1 includes a plasma processing chamber (hereinafter also simply referred to as a "processing chamber") 10, a substrate support section 11, and a plasma generation section 12.
  • the plasma processing chamber 10 has a plasma processing space.
  • the plasma processing chamber 10 also includes at least one gas supply port for supplying at least one processing gas to the plasma processing space, and at least one gas exhaust port for discharging gas from the plasma processing space.
  • the gas supply port is connected to a gas supply section 20, which will be described later, and the gas discharge port is connected to an exhaust system 40, which will be described later.
  • the substrate support section 11 is disposed within the plasma processing space and has a substrate support surface for supporting a substrate.
  • the plasma generation unit 12 is configured to generate plasma from at least one processing gas supplied into the plasma processing space.
  • the plasmas formed in the plasma processing space are capacitively coupled plasma (CCP), inductively coupled plasma (ICP), and ECR plasma (Electron-Cyclotron-resonance plasma).
  • CCP capacitively coupled plasma
  • ICP inductively coupled plasma
  • ECR plasma Electro-Cyclotron-resonance plasma
  • HWP Helicon wave excited plasma
  • SWP surface wave plasma
  • various types of plasma generation sections may be used, including an AC (Alternating Current) plasma generation section and a DC (Direct Current) plasma generation section.
  • the AC signal (AC power) used in the AC plasma generator has a frequency in the range of 100 kHz to 10 GHz. Therefore, the AC signal includes an RF (Radio Frequency) signal and a microwave signal.
  • the RF signal has a frequency within the range of 100kHz to 150MHz.
  • the control unit 2 processes computer-executable instructions that cause the plasma processing apparatus 1 to perform various steps described in this disclosure.
  • the control unit 2 may be configured to control each element of the plasma processing apparatus 1 to perform the various steps described herein. In one embodiment, part or all of the control unit 2 may be included in the plasma processing apparatus 1.
  • the control unit 2 is realized by, for example, a computer 2a.
  • the control unit 2 may include a processing unit 2a1, a storage unit 2a2, and a communication interface 2a3. Each configuration of the control unit 2 may be similar to each configuration of the control unit 200 (see FIG. 1) described above.
  • FIG. 3 is a diagram for explaining a configuration example of a capacitively coupled plasma processing apparatus.
  • the capacitively coupled plasma processing apparatus 1 includes a plasma processing chamber 10, a gas supply section 20, a power supply 30, and an exhaust system 40. Further, the plasma processing apparatus 1 includes a substrate support section 11 and a gas introduction section. The gas inlet is configured to introduce at least one processing gas into the plasma processing chamber 10 .
  • the gas introduction section includes a shower head 13.
  • Substrate support 11 is arranged within plasma processing chamber 10 .
  • the shower head 13 is arranged above the substrate support section 11 . In one embodiment, showerhead 13 forms at least a portion of the ceiling of plasma processing chamber 10 .
  • the plasma processing chamber 10 has a plasma processing space 10s defined by a shower head 13, a side wall 10a of the plasma processing chamber 10, and a substrate support 11. Plasma processing chamber 10 is grounded.
  • the shower head 13 and the substrate support section 11 are electrically insulated from the casing of the plasma processing chamber 10.
  • the substrate support section 11 includes a main body section 111 and a ring assembly 112.
  • the main body portion 111 has a central region 111a for supporting the substrate W and an annular region 111b for supporting the ring assembly 112.
  • a wafer is an example of a substrate W.
  • the annular region 111b of the main body 111 surrounds the central region 111a of the main body 111 in plan view.
  • the substrate W is placed on the central region 111a of the main body 111, and the ring assembly 112 is placed on the annular region 111b of the main body 111 so as to surround the substrate W on the central region 111a of the main body 111. Therefore, the central region 111a is also called a substrate support surface for supporting the substrate W, and the annular region 111b is also called a ring support surface for supporting the ring assembly 112.
  • the main body 111 includes a base 1110 and an electrostatic chuck 1111.
  • Base 1110 includes a conductive member.
  • the conductive member of the base 1110 can function as a lower electrode.
  • Electrostatic chuck 1111 is placed on base 1110.
  • Electrostatic chuck 1111 includes a ceramic member 1111a and an electrostatic electrode 1111b disposed within ceramic member 1111a.
  • Ceramic member 1111a has a central region 111a. In one embodiment, ceramic member 1111a also has an annular region 111b. Note that another member surrounding the electrostatic chuck 1111, such as an annular electrostatic chuck or an annular insulating member, may have the annular region 111b.
  • ring assembly 112 may be placed on the annular electrostatic chuck or the annular insulation member, or may be placed on both the electrostatic chuck 1111 and the annular insulation member.
  • at least one RF/DC electrode coupled to an RF power source 31 and/or a DC power source 32, which will be described later, may be disposed within the ceramic member 1111a.
  • at least one RF/DC electrode functions as a bottom electrode.
  • An RF/DC electrode is also referred to as a bias electrode if a bias RF signal and/or a DC signal, as described below, is supplied to at least one RF/DC electrode.
  • the conductive member of the base 1110 and at least one RF/DC electrode may function as a plurality of lower electrodes.
  • the electrostatic electrode 1111b may function as a lower electrode. Therefore, the substrate support 11 includes at least one lower electrode.
  • Ring assembly 112 includes one or more annular members.
  • the one or more annular members include one or more edge rings and at least one cover ring.
  • the edge ring is made of a conductive or insulating material
  • the cover ring is made of an insulating material.
  • the substrate support unit 11 may include a temperature control module configured to adjust at least one of the electrostatic chuck 1111, the ring assembly 112, and the substrate to a target temperature.
  • the temperature control module may include a heater, a heat transfer medium, a flow path 1110a, or a combination thereof.
  • a heat transfer fluid such as brine or gas flows through the flow path 1110a.
  • a channel 1110a is formed within the base 1110 and one or more heaters are disposed within the ceramic member 1111a of the electrostatic chuck 1111.
  • the substrate support section 11 may include a heat transfer gas supply section configured to supply heat transfer gas to the gap between the back surface of the substrate W and the central region 111a.
  • the shower head 13 is configured to introduce at least one processing gas from the gas supply section 20 into the plasma processing space 10s.
  • the shower head 13 has at least one gas supply port 13a, at least one gas diffusion chamber 13b, and a plurality of gas introduction ports 13c.
  • the processing gas supplied to the gas supply port 13a passes through the gas diffusion chamber 13b and is introduced into the plasma processing space 10s from the plurality of gas introduction ports 13c.
  • the showerhead 13 also includes at least one upper electrode.
  • the gas introduction section may include one or more side gas injectors (SGI) attached to one or more openings formed in the side wall 10a.
  • SGI side gas injectors
  • the gas supply section 20 may include at least one gas source 21 and at least one flow rate controller 22.
  • the gas supply 20 is configured to supply at least one process gas from a respective gas source 21 to the showerhead 13 via a respective flow controller 22 .
  • Each flow controller 22 may include, for example, a mass flow controller or a pressure-controlled flow controller.
  • gas supply 20 may include at least one flow modulation device that modulates or pulses the flow rate of at least one process gas.
  • Power supply 30 includes an RF power supply 31 coupled to plasma processing chamber 10 via at least one impedance matching circuit.
  • RF power source 31 is configured to supply at least one RF signal (RF power) to at least one bottom electrode and/or at least one top electrode.
  • RF power supply 31 can function as at least a part of the plasma generation section 12. Further, by supplying a bias RF signal to at least one lower electrode, a bias potential is generated in the substrate W, and ion components in the formed plasma can be drawn into the substrate W.
  • the RF power supply 31 includes a first RF generation section 31a and a second RF generation section 31b.
  • the first RF generation section 31a is coupled to at least one lower electrode and/or at least one upper electrode via at least one impedance matching circuit, and generates a source RF signal (source RF power) for plasma generation. It is configured as follows.
  • the source RF signal has a frequency within the range of 10 MHz to 150 MHz.
  • the first RF generator 31a may be configured to generate multiple source RF signals having different frequencies. The generated one or more source RF signals are provided to at least one bottom electrode and/or at least one top electrode.
  • the second RF generating section 31b is coupled to at least one lower electrode via at least one impedance matching circuit, and is configured to generate a bias RF signal (bias RF power).
  • the frequency of the bias RF signal may be the same or different than the frequency of the source RF signal.
  • the bias RF signal has a lower frequency than the frequency of the source RF signal.
  • the bias RF signal has a frequency within the range of 100kHz to 60MHz.
  • the second RF generator 31b may be configured to generate multiple bias RF signals having different frequencies.
  • the generated one or more bias RF signals are provided to at least one bottom electrode. Also, in various embodiments, at least one of the source RF signal and the bias RF signal may be pulsed.
  • Power source 30 may also include a DC power source 32 coupled to plasma processing chamber 10 .
  • the DC power supply 32 includes a first DC generation section 32a and a second DC generation section 32b.
  • the first DC generator 32a is connected to at least one lower electrode and configured to generate a first DC signal.
  • the generated first DC signal is applied to at least one bottom electrode.
  • the second DC generator 32b is connected to the at least one upper electrode and configured to generate a second DC signal.
  • the generated second DC signal is applied to the at least one top electrode.
  • the first and second DC signals may be pulsed.
  • a sequence of voltage pulses is applied to at least one lower electrode and/or at least one upper electrode.
  • the voltage pulse may have a pulse waveform that is rectangular, trapezoidal, triangular, or a combination thereof.
  • a waveform generator for generating a sequence of voltage pulses from a DC signal is connected between the first DC generator 32a and the at least one bottom electrode. Therefore, the first DC generation section 32a and the waveform generation section constitute a voltage pulse generation section.
  • the voltage pulse generation section is connected to at least one upper electrode.
  • the voltage pulse may have positive polarity or negative polarity.
  • the sequence of voltage pulses may include one or more positive voltage pulses and one or more negative voltage pulses within one cycle.
  • the first and second DC generation units 32a and 32b may be provided in addition to the RF power source 31, or the first DC generation unit 32a may be provided in place of the second RF generation unit 31b. good.
  • the exhaust system 40 may be connected to a gas exhaust port 10e provided at the bottom of the plasma processing chamber 10, for example.
  • Evacuation system 40 may include a pressure regulating valve and a vacuum pump. The pressure within the plasma processing space 10s is adjusted by the pressure regulating valve.
  • the vacuum pump may include a turbomolecular pump, a dry pump, or a combination thereof.
  • FIG. 4 is a diagram for explaining a configuration example of a liquid processing system.
  • the liquid processing system includes a liquid processing device 300 and a control unit 400.
  • the liquid processing system is an example of a substrate processing system
  • the liquid processing apparatus 300 is an example of a substrate processing apparatus.
  • the liquid processing apparatus 300 has a spin chuck 311 as a substrate support part in a processing chamber 310.
  • the spin chuck 311 holds the substrate W horizontally.
  • the spin chuck 311 is connected to a rotating part 312 that can be raised and lowered, and the rotating part 312 is connected to a rotational drive part 313 formed by a motor or the like.
  • the substrate W held by the spin chuck 311 can be rotated by driving the rotation drive unit 313 .
  • a cup 321 is placed outside the spin chuck 311 to prevent processing liquid (resist liquid, developer, cleaning liquid, etc.) and processing liquid mist from scattering around the cup 321.
  • a drain pipe 323 and an exhaust pipe 324 are provided at the bottom 322 of the cup 321 .
  • the drain pipe 323 leads to a drain device 325, such as a drain pump.
  • the exhaust pipe 324 communicates via a valve 326 with an exhaust device 327 such as an exhaust pump.
  • a blower device 314 is provided above the processing chamber 310 of the liquid processing device 300 to supply air at the required temperature and humidity into the cup 321 as a downflow.
  • the processing liquid supply nozzle 331 When forming a puddle of processing liquid on the substrate W, the processing liquid supply nozzle 331 is used.
  • the processing liquid supply nozzle 331 is provided on a nozzle support part 332 such as an arm, and the nozzle support part 332 can be raised and lowered by a drive mechanism as shown by the reciprocating arrow A shown by the broken line in the figure. It is horizontally movable as shown by the reciprocating arrow B indicated by a broken line.
  • a processing liquid resist solution, developer, etc.
  • a processing liquid is supplied to the processing liquid supply nozzle 331 from a processing liquid supply source 334 via a supply pipe 333 .
  • forming a puddle of the processing liquid can be done by scanning the substrate W with a straight type nozzle in the same way as a long nozzle, or by lining up multiple discharge ports for discharging liquid on the substrate W like a straight type nozzle, and arranging each discharge port individually.
  • the treatment liquid may be supplied from the source.
  • the gas nozzle 341 has a nozzle body 342.
  • the nozzle main body 342 is provided on a nozzle support part such as an arm, and the nozzle support part can be moved up and down by a drive mechanism as shown by the reciprocating arrow C shown by the broken line in the figure. It is horizontally movable as shown by arrow D.
  • the gas nozzle 341 has two nozzle discharge ports 343 and 344.
  • the nozzle discharge ports 343 and 344 are formed to branch from the gas flow path 345.
  • the gas flow path 345 communicates with a gas supply source 347 via a gas supply pipe 346.
  • the gas supply source 347 is prepared with nitrogen gas, for example, as an inert gas or non-oxidizing gas. When nitrogen gas, for example, is supplied to the gas nozzle 341 from the gas flow path 345, the nitrogen gas is discharged from each nozzle discharge port 343, 344.
  • the gas nozzle 341 is provided with a cleaning liquid supply nozzle 351 that cleans the processing liquid from the substrate W after liquid processing.
  • the cleaning liquid supply nozzle 351 communicates with a cleaning liquid supply source 353 via a cleaning liquid supply pipe 352 .
  • a cleaning liquid supply pipe 352 For example, pure water is used as the cleaning liquid.
  • the cleaning liquid supply nozzle 351 is located between the two nozzle discharge ports 343 and 344 described above, its position is not limited to this.
  • the cleaning liquid supply nozzle 351 may be configured independently from the gas nozzle 341.
  • the control unit 400 processes computer-executable instructions that cause the liquid processing device 300 to perform various steps described in this disclosure.
  • Control unit 400 may be configured to control each element of liquid processing apparatus 300 to perform the various steps described herein. In one embodiment, part or all of the control unit 400 may be included in the liquid processing device 300.
  • the control unit 400 is realized by, for example, a computer 400a.
  • the computer 400a may include a processing section 400a1, a storage section 400a2, and a communication interface 400a3.
  • Each configuration of the control unit 400 may be similar to each configuration of the control unit 200 (see FIG. 1) described above.
  • FIG. 5 is a flowchart showing a substrate processing method (hereinafter also referred to as "first method") according to the first exemplary embodiment.
  • the first method includes a step ST11 of providing a substrate and a step ST12 of developing the substrate.
  • the development process in step ST12 is performed by a dry process (hereinafter also referred to as "dry development") using a processing gas.
  • the development process in step ST12 is performed by a wet process using a developer (hereinafter also referred to as "wet development”).
  • the development process in step ST12 is performed using both wet development and dry development.
  • the first method may be performed using any one of the substrate processing systems described above (see FIGS. 1 to 4), or may be performed using two or more of these substrate processing systems. .
  • the first method may be performed in a heat treatment system (see FIG. 1).
  • FIG. 1 a case where the control section 200 controls each section of the heat treatment apparatus 100 to execute the first method on the substrate W will be described as an example.
  • step ST11 the substrate W is provided in the processing chamber 102 of the heat processing apparatus 100.
  • the substrate W is provided on the substrate support part 121 via the lifting pins 123.
  • the temperature of the substrate support 121 is adjusted to a set temperature.
  • the temperature of the substrate support part 121 can be adjusted by controlling the output of one or more heaters among the side wall heater 104, the stage heater 120, the ceiling heater 130, and the pipe heater 160 (hereinafter also referred to as "each heater"). You can do it.
  • the temperature of the substrate support part 121 may be adjusted to a set temperature before step ST11. That is, the substrate W may be provided on the substrate support 121 after the temperature of the substrate support 121 is adjusted to the set temperature.
  • FIG. 6 is a diagram showing an example of the cross-sectional structure of the substrate W provided in step ST11.
  • the substrate W includes a base film UF and a resist film RM formed on the base film UF.
  • the substrate W may be used for manufacturing semiconductor devices.
  • Semiconductor devices include, for example, memory devices such as DRAMs and 3D-NAND flash memories, and logic devices.
  • the resist film RM is a metal-containing resist film containing metal.
  • the metal may include at least one metal selected from the group consisting of Sn, Hf, and Ti.
  • the resist film RM contains Sn, and may include tin oxide (SnO) and tin hydroxide (Sn—OH bond).
  • the resist film RM may further contain an organic substance.
  • the resist film RM has an exposed first region RM1 and an unexposed second region RM2.
  • the first region RM1 is a region exposed to EUV light, that is, an EUV exposure region.
  • the second region RM2 is a region not exposed to EUV light, that is, an unexposed region.
  • the thickness of the first region RM1 may be smaller than the thickness of the second region RM2.
  • the base film UF may be an organic film, a dielectric film, a metal film, a semiconductor film, or a laminated film of these formed on a silicon wafer.
  • the base film UF includes, for example, at least one selected from the group consisting of a silicon-containing film, a carbon-containing film, and a metal-containing film.
  • the base film UF may be composed of a first film UF1, a second film UF2, and a third film UF3.
  • the base film UF may be composed of a second film UF2 and a third film UF3.
  • the first film UF1 is, for example, a spin-on-glass (SOG) film, a SiC film, a SiON film, a Si-containing antireflection film (SiARC), or an organic film.
  • the second film UF2 is, for example, a spin-on carbon (SOC) film, an amorphous carbon film, or a silicon-containing film.
  • the third film UF3 is, for example, a silicon-containing film.
  • the silicon-containing film is, for example, a silicon oxide film, a silicon nitride film, a silicon oxynitride film, a silicon carbonitride film, a polycrystalline silicon film, or a carbon-containing silicon film.
  • the third film UF3 may be composed of a plurality of stacked silicon-containing films.
  • the third film UF3 may be composed of a silicon oxide film and a silicon nitride film that are alternately stacked.
  • the third film UF3 may be composed of a silicon oxide film and a polycrystalline silicon film that are alternately stacked.
  • the third film UF3 may be a laminated film including a silicon nitride film, a silicon oxide film, and a polycrystalline silicon film.
  • the third film UF3 may be composed of a stacked silicon oxide film and silicon carbonitride film.
  • the third film UF3 may be a laminated film including a silicon oxide film, a silicon nitride film, and a silicon carbonitride film.
  • the substrate W is formed as follows. First, a metal-containing photoresist film is formed on a base film that has been subjected to adhesion treatment and the like. Film formation may be performed by a dry process, a wet process such as a solution coating method, or both a dry process and a wet process. Note that the base film may be subjected to surface modification treatment before the photoresist film is formed. After the photoresist film has been formed on the substrate, the substrate is subjected to a heat treatment, that is, a pre-bake (Post Apply Bake: PAB). The substrate after prebaking may be subjected to additional heat treatment.
  • a pre-bake Post Apply Bake: PAB
  • the wafer after the heat treatment is transferred to an exposure device, and the photoresist film is irradiated with EUV light through an exposure mask (reticle).
  • a substrate W including the base film UF and the resist film RM having the exposed first region RM1 and the unexposed second region RM2 is formed.
  • the first region RM1 is a region corresponding to an opening provided in an exposure mask (reticle).
  • the second region RM2 is a region corresponding to a pattern provided on an exposure mask (reticle).
  • EUV light has a wavelength in the range of 10-20 nm, for example. EUV light may have a wavelength in the range of 11-14 nm, in one example having a wavelength of 13.5 nm.
  • the exposed substrate is transported from the exposure apparatus to the heat treatment apparatus under controlled atmosphere, and undergoes heat treatment, that is, post exposure bake (PEB).
  • the substrate W after PEB may be subjected to additional heat treatment.
  • the exposure reaction is weak along the thickness direction of the resist film RM (the direction of arrow D in FIGS. 6 to 8, hereinafter also referred to as the "depth direction"). There may be some parts. This is thought to be due to stochastic fluctuations in the photon distribution of EUV and the shallow depth of focus.
  • the first region RM1 includes a first portion RM1a and a second portion RM1b having a weaker exposure reaction than the first portion RM1a along the thickness direction.
  • the second portion RM1b is a portion in contact with the base layer UF in the first region RM1.
  • the second portion RM1b Since the second portion RM1b has a weak exposure reaction, its film properties are similar to those of the second region RM2, which is an unexposed region. Therefore, in the resist film RM shown in FIGS. 6 to 8, it becomes difficult to maintain the development contrast (ratio of development speed between the exposed area and the unexposed area) along the thickness direction.
  • the side surface below the first region RM1 (second portion RM1b) becomes easier to be removed together with the second region RM2.
  • FIG. 9 is a diagram showing an example of the cross-sectional structure of the substrate W after development.
  • FIG. 9 is an example of the case where the substrate W shown in FIG. 6 is developed under the same conditions along the thickness direction.
  • the cross-sectional dimension of the second portion RM1b becomes smaller along the thickness direction, and has an inversely tapered shape. This is thought to be because the second portion RM1b of the first region RM1 has a smaller development contrast with respect to the second region RM2 along the thickness direction than the first portion RM1a, and is likely to be removed by development together with the second region RM2. It will be done. Therefore, in the first method, step ST121 and step ST122 are developed under different conditions.
  • step ST121 and step ST122 development is performed with different development contrasts in step ST121 and step ST122.
  • Step ST12 Development of substrate
  • step ST12 the resist film RM on the substrate W is developed, and the second region RM2 is selectively removed.
  • Step ST12 includes a step ST120 of developing the substrate with a first selectivity ratio, and a step ST122 of developing the substrate with a second selectivity ratio different from the first selectivity ratio.
  • a first processing gas containing a first developing gas is supplied into the processing chamber 102 via the gas nozzle 141.
  • the first development gas includes a halogen-containing gas.
  • the halogen-containing gas may be a gas containing a halogen-containing inorganic acid, or may be a gas containing Br or Cl.
  • the gas containing the halogen-containing inorganic acid may be a gas containing hydrogen halide and/or boron halide.
  • the gas containing the halogen-containing inorganic acid is at least one selected from the group consisting of HBr gas, BCl 3 gas, HCl gas, and HF gas and HI gas.
  • the first developing gas may be a gas containing an organic acid.
  • the gas containing an organic acid may be, for example, a gas containing at least one selected from the group consisting of carboxylic acids, ⁇ -dicarbonyl compounds, and alcohols.
  • the first developing gas is a gas containing a carboxylic acid.
  • Carboxylic acids include, for example, formic acid (HCOOH), acetic acid ( CH3COOH ), trichloroacetic acid (CCl3COOH ), monofluoroacetic acid ( CFH2COOH ), difluoroacetic acid (CF2FCOOH), trifluoroacetic acid ( CF3COOH ).
  • Chloro-difluoroacetic acid (CClF 2 COOH) sulfur-containing acetic acid, thioacetic acid (CH 3 COSH), thioglycolic acid (HSCH 2 COOH), trifluoroacetic anhydride ((CF 3 CO) 2 O), acetic anhydride ( (CH 3 CO) 2 O) may be used.
  • the first development gas includes a ⁇ -dicarbonyl compound.
  • ⁇ -dicarbonyl compounds include acetylacetone (CH 3 C(O)CH 2 C(O)CH 3 ), trichloroacetylacetone (CCl 3 C(O)CH 2 C(O)CH 3 ), hexachloroacetylacetone ( CCl3C (O) CH2C (O) CCl3 ), trifluoroacetylacetone ( CF3C (O) CH2C (O) CH3 ), hexafluoroacetylacetone (HFAc, CF3C (O) CH2) C(O)CF 3 ) may be used.
  • the first developer gas includes alcohol.
  • the alcohol may be nonafluoro-tert-butyl alcohol ((CF 3 ) 3 C-OH) in one example.
  • the first developer gas is a gas comprising trifluoroacetic acid.
  • the first development gas includes a halogenated organic acid vapor.
  • the first developer gas in one example, is selected from the group consisting of trifluoroacetic anhydride, acetic anhydride, trichloroacetic acid, CFH2COOH , CF2HCOOH , chlorodifluoroacetic acid, sulfur-containing acetic acid, and thioacetic acid and thioglycolic acid. including at least one.
  • the first developing gas is a mixed gas of carboxylic acid and hydrogen halide or a mixed gas of acetic acid and formic acid.
  • the first processing gas is a gas comprising acetic acid.
  • the second region RM2 of the resist film RM is removed at a first selection ratio with respect to the first region RM1.
  • the "selectivity" is also called development contrast, and is the ratio of the development speed of the second region RM2 to the development speed of the first region RM1.
  • the first selection ratio may be appropriately set to a range in which the second region RM2 is selectively removed with respect to the first region RM1 (that is, a value greater than 1).
  • the first selection ratio may be set relatively low to the extent that a portion of the first region RM1 is removed. In this case, even if there is a location other than the first region RM1 (location corresponding to the opening of the exposure mask) that has been exposed to EUV, the resist film at the location is removed to prevent the location from remaining as a residue. can.
  • Step ST120 may be performed until the second region RM2 is removed to a given depth or until the opening formed by development has a given aspect ratio.
  • the given depth or aspect ratio may be set based on the degree of progress of the exposure reaction in the first region RM1 (in one example, based on the thickness of the first portion RM1a and the second portion RM1b).
  • step ST120 may be performed until just before the second portion RM1b of the first region RM1 is exposed or until it is partially exposed.
  • FIG. 10 is a diagram showing an example of the cross-sectional structure of the substrate W after processing in step ST120.
  • the second region RM2 of the resist film RM is selectively removed with respect to the first region RM1, and the side surface of the first portion RM1a of the first region is exposed (the second portion RM1b are not exposed at this stage).
  • Step ST122 Developing with second selection ratio
  • a second processing gas containing a second developing gas is supplied into the processing chamber 102 through the gas nozzle 141.
  • the second developing gas may be the same as or different from step ST120.
  • step ST122 the second region RM2 of the resist film RM is removed with respect to the first region at a second selection ratio different from the first selection ratio.
  • the selection ratio can be made different from the first selection ratio by, for example, developing conditions such as the set temperature of the substrate W or the substrate support 11, the pressure inside the processing chamber 102, the type and concentration (partial pressure) of the processing gas, etc. This may be done by changing one or more of the above steps from step ST120.
  • the second selectivity ratio is higher than the first selectivity ratio.
  • the second selection ratio may be made higher than the first selection ratio by performing any one or more of the following (I) to (IV).
  • step ST122 the set temperature of the substrate W or substrate support portion 121 is set lower than in step ST120.
  • the set temperature of the substrate support part 121 in step ST120 may be set to 20°C or more and 60°C or less, or 40°C or more and 60°C or less, and the set temperature of the substrate support part 121 in step ST122 may be The set temperature may be set to -20°C or more and less than 20°C.
  • the set temperature of the substrate support part 121 in step ST120 may be 120°C or more and 180°C or less, and the set temperature of the substrate support part 121 in step ST122 may be set to 60°C.
  • the temperature may be lower than 120°C.
  • step ST122 the pressure inside the processing chamber 102 is lowered than in step ST120.
  • the pressure inside the chamber 102 in step ST120 may be set to 1 Torr or more and 10 Torr or less, and the pressure inside the processing chamber 102 in step ST122 may be set to 0.01 Torr or more and 1 Torr or less. .
  • step ST122 the acidity of the second developing gas is made lower than the acidity of the first developing gas. That is, in step ST122, a second developing gas having a larger acid dissociation constant (pKa) than the first developing gas used in step ST120 is used.
  • the developing gas may be changed from a gas containing a halogen-containing inorganic acid (step ST120) to a gas containing an organic acid (step ST122).
  • the developing gas may be changed from HBr gas or BCl 3 gas (step ST120) to carboxylic acid gas such as acetic acid gas (step ST122).
  • the developing gas may be changed from a gas containing a halogen-containing inorganic acid with high acidity (step ST120) to a gas containing a halogen-containing inorganic acid with low acidity (step ST122),
  • the gas containing an acid (step ST120) may be changed to a gas containing an organic acid with low acidity (step ST122).
  • the developing gas may be changed from HBr gas (step ST120) to BCl 3 gas (step ST122).
  • the flow rate (partial pressure) of "a gas with a relatively large acid dissociation constant (pKa)" in the mixed gas may be lowered in the second developing gas than in the first developing gas. may also be increased.
  • the flow rate (minute) of the carboxylic acid gas in the second developing gas is pressure
  • the flow rate (partial pressure) of the carboxylic acid gas in the first developing gas may be increased compared to the flow rate (partial pressure) of the carboxylic acid gas in the first developing gas.
  • step ST122 the concentration (partial pressure) of the developing gas in the processing gas is made lower than the concentration (partial pressure) of the developing gas in the processing gas in step ST120.
  • concentration (partial pressure) of the developing gas in step ST122 is lower than the concentration (partial pressure) of the developing gas in step 120.
  • Step ST122 may be performed until the second region RM2 is removed and the base film UF is exposed. Step ST122 may be performed until a portion of the base film UF is removed (overetched) in the depth direction.
  • FIG. 11 is a diagram showing an example of the cross-sectional structure of the substrate W after processing in step ST122.
  • the second region RM2 of the resist film RM is removed to form an opening OP.
  • the opening OP is defined by the side surface of the first region RM1.
  • the opening OP is a space above the base film UF surrounded by the side surface.
  • the opening OP has a shape corresponding to the second region RM2 (resultingly a shape corresponding to the exposure mask pattern used for EUV exposure) in a plan view of the substrate W.
  • the shape may be, for example, a circle, an ellipse, a rectangle, a line, or a combination of one or more of these shapes.
  • a plurality of openings OP may be formed in the resist film RM.
  • the plurality of openings OP each have a linear shape, and may be lined up at regular intervals to form a line-and-space pattern. Further, a plurality of openings OP may be arranged in a grid pattern to form a pillar pattern.
  • the first method includes a step ST120 of performing development at a first selection ratio, and a step ST122 of performing development at a second selection ratio different from the first selection ratio.
  • the shape of the developed pattern can be adjusted.
  • the second region RM2 can be removed with an appropriate selection ratio with respect to the first region RM1, and the pattern shape and roughness can be removed. Deterioration can be suppressed.
  • FIG. 12 is a flowchart of a modification of the first method. As shown in FIG. 12, step ST12 may include a step ST121 of modifying the resist film between step ST120 and step ST122.
  • a modification process is performed on the resist film RM.
  • the modification treatment is performed by heating the substrate W.
  • the heat treatment of the substrate W may be performed, for example, by controlling the output of one or more of the heaters of the heat treatment apparatus 100 to adjust the temperature of the substrate support part 121.
  • the substrate W may be heated to, for example, 180° C. or higher.
  • the substrate W may be heated to a temperature of, for example, 190° C. or more and 240° C. or less.
  • the substrate W may be heated to a temperature of, for example, 190° C. or more and 220° C. or less.
  • the inside of the chamber that heats the substrate W may be an atmosphere containing air, N 2 gas, and/or H 2 O gas.
  • the modification treatment increases the metal film density in the first region RM1 and can improve the development resistance. Since a portion of the second region RM2 is removed in step ST121 (see FIG. 10), modification is likely to proceed even in the portion RM1b of the first region RM1 where the exposure reaction is weak. This can suppress the development contrast from decreasing along the depth direction of the resist film RM.
  • the heat treatment in step ST121 may be performed in the processing chamber 102 of the heat treatment apparatus 100, which is different from step ST120 and step ST122. Further, the heat treatment in step ST121 may be performed using a device different from the heat treatment device 100.
  • the substrate W may be heated by irradiating the substrate W with electromagnetic waves using a device that generates electromagnetic waves such as infrared light or microwaves.
  • the modification treatment in step ST121 is performed by plasma treatment.
  • Plasma processing may be performed, for example, by transporting the substrate W from the heat treatment apparatus 100 to the plasma processing apparatus 1 and exposing the substrate W to plasma generated within the plasma processing apparatus 1.
  • Plasma processing may be performed, for example, by introducing a processing gas excited by a remote plasma source into the processing chamber 102 of the heat processing apparatus 100.
  • the processing gas for plasma generation may be an inert gas. Examples of the inert gas include noble gases such as He, Ar, Ne, Kr, and Xe, and nitrogen gas.
  • the first method may be performed using a plasma processing system (see FIGS. 2 and 3).
  • a plasma processing system see FIGS. 2 and 3
  • the resist film RM is Dry development may be performed (step ST12).
  • the processing gas may be the same as when using a heat treatment system.
  • a source RF signal may be supplied to the lower electrode of the substrate support 11 and/or the upper electrode of the shower head 13.
  • a bias signal may be supplied to the lower electrode of the substrate support section 11.
  • plasma is generated from the processing gas in the chamber 10, and active species such as ions and radicals in the plasma are attracted to the substrate W, thereby promoting development.
  • development may be performed in step ST122 at a second selection ratio different from the first selection ratio by changing any one or more of the development conditions from step ST120.
  • the development conditions to be changed include, for example, the set temperature of the substrate W or the substrate support 11, the pressure inside the processing chamber 10, the type and concentration (partial pressure) of the processing gas, the power level of the source RF signal, and the power level of the bias signal. or voltage level.
  • the second selectivity ratio may be made higher than the first selectivity ratio by, for example, performing any one or more of the following (I) to (IV) in step ST122. good.
  • step ST122 the set temperature of the substrate W or substrate support portion 11 is set lower than in step ST120.
  • the set temperature of the substrate support part 11 in step ST120 may be 20°C or more and 60°C or less, or 40°C or more and 60°C or less, and the set temperature of the substrate support part 11 in step ST122 may be set to The temperature may be -20°C or higher and lower than 20°C.
  • the set temperature of the substrate support part 11 in step ST120 may be set to 120°C or more and 180°C or less, and the set temperature of the substrate support part 121 in step ST122 may be set to 60°C or more and 120°C or less. It may be less than Note that the temperature of the substrate support portion 11 may be adjusted to a set temperature by a temperature control module. Further, the temperature of the substrate support portion 11 may be adjusted to a set temperature by controlling the pressure of heat transfer gas (for example, He) between the electrostatic chuck 1111 and the back surface of the substrate W.
  • heat transfer gas for example, He
  • step ST122 the pressure inside the processing chamber 10 is lowered than in step ST120.
  • the pressure in the processing chamber 10 in step ST120 may be set to 1 Torr or more and 10 Torr or less, and the pressure in the processing chamber 10 in step ST122 may be set to 0.01 Torr or more and 1 Torr or less.
  • step ST122 the acidity of the second developing gas is made lower than the acidity of the first developing gas. That is, in step ST122, a second developing gas having a larger acid dissociation constant (pKa) than the first developing gas used in step ST120 is used.
  • the developing gas may be changed from a gas containing a halogen-containing inorganic acid (step ST120) to a gas containing an organic acid (step ST122).
  • the developing gas may be changed from HBr gas or BCl 3 (step ST120) to a carboxylic acid gas such as acetic acid gas (step ST122).
  • the developing gas may be changed from a gas containing a halogen-containing inorganic acid with high acidity (step ST120) to a gas containing a halogen-containing inorganic acid with low acidity (step ST122),
  • the gas containing an acid (step ST120) may be changed to a gas containing an organic acid with low acidity (step ST122).
  • the developing gas may be changed from HBr gas (step ST120) to BCl 3 gas (step ST122).
  • the flow rate (partial pressure) of "a gas with a relatively large acid dissociation constant (pKa)" in the mixed gas may be lowered in the second developing gas than in the first developing gas. may also be increased.
  • the flow rate (minute) of the carboxylic acid gas in the second developing gas is pressure
  • the flow rate (partial pressure) of the carboxylic acid gas in the first developing gas may be increased compared to the flow rate (partial pressure) of the carboxylic acid gas in the first developing gas.
  • step ST122 the concentration (partial pressure) of the developing gas in the processing gas is made lower than the concentration (partial pressure) of the developing gas in the processing gas in step ST120.
  • concentration (partial pressure) of the developing gas in step ST122 is lower than the concentration (partial pressure) of the developing gas in step 120.
  • step ST120 and step ST122 When generating plasma from the processing gas in step ST120 and step ST122, at least one of the following (V) and (VI) may be performed in addition to or in place of the above (I) to (IV). Thereby, the second selection ratio may be higher than the first selection ratio.
  • step ST122 the power level of the source RF signal supplied to the chamber 10 is made lower than the power level of the source RF signal in step ST120.
  • step ST122 the power or voltage level of the bias signal supplied to the chamber 10 is made smaller than the power or voltage level of the bias signal in step ST120.
  • the first method may include a desorption step.
  • the desorption step includes descuming the surface of the resist film RM or smoothing the surface of the resist film RM using an inert gas such as helium or a plasma of the inert gas.
  • the desorption step may be performed after step ST12.
  • the desorption step may be repeated one or more times between step ST120 and step ST122.
  • the desorption step may be performed instead of between step ST120 and step ST122, or between step ST120 and step ST122, and before step ST12 (step ST122) and the step of etching the base film UF, which will be described later. It's okay.
  • the first method may be performed in a liquid processing system (see FIG. 4). That is, a substrate is provided to the spin chuck 311 in the processing chamber 310 of the liquid processing apparatus 300 (step ST11), and a developing solution is supplied to the substrate W from the processing solution supply nozzle 331, thereby performing wet development of the resist film RM. (Step ST12) You may do as follows.
  • Examples of the developer include aromatic compounds such as benzene, xylene, and toluene, esters such as propylene glycol monomethyl ester acetate, ethyl acetate, ethyl lactate, n-butyl acetate, butyrolactone, 4-methyl-2-pentanol, 1- It may include alcohols such as butanol, isopropanol, 1-propanol and methanol, ketones such as methyl ethyl ketone, acetone, cyclohexanone, 2-heptanone and 2-octanone, and ethers such as tetrahydrofuran, dioxane and anisole.
  • aromatic compounds such as benzene, xylene, and toluene
  • esters such as propylene glycol monomethyl ester acetate, ethyl acetate, ethyl lactate, n-butyl acetate, butyrolactone,
  • step ST122 When using a liquid processing system, in step ST122, for example, by changing one or more of the solubility, concentration, and temperature of the developer from step ST120, development is performed at a second selection ratio different from the first selection ratio. You may do so.
  • the second selection ratio may be made higher than the first selection ratio by, for example, performing any one or more of the following (I) to (III) in step ST122. good.
  • the concentration of the developer used in step ST122 is made lower than the concentration of the developer used in step ST120, for example by increasing the dilution of the developer.
  • the temperature of the developer used in step ST122 is lower than the temperature of the developer used in step ST120.
  • the temperature of the developer may be controlled to be 30°C or more and 90°C or less
  • the temperature of the developer may be controlled to be 10°C or more and 60°C or less.
  • the development process in step ST12 may be performed by both dry development and wet development.
  • step ST120 is performed by wet development using a liquid processing system (see FIG. 4)
  • step ST122 is performed by dry development using a heat processing system (see FIG. 1) or a plasma processing system (see FIGS. 2 and 3). This may be carried out by development.
  • wet development is performed before dry development, it is possible to suppress the occurrence of contamination due to the developer seeping into the base film UF and pattern collapse of the resist film due to the surface tension of the developer.
  • step ST120 may be performed by dry development
  • step ST122 may be performed by wet development.
  • the development treatment in step ST12 may be performed using both heat treatment and plasma treatment.
  • step ST120 may be performed by heat treatment and step ST122 may be performed by plasma treatment
  • step ST120 may be performed by plasma treatment and step ST122 may be performed by heat treatment.
  • a cycle including step ST120 and step ST122 may be repeated multiple times.
  • the cycle of step ST120 and step ST122 may be repeated multiple times using only dry development, or may be repeated multiple times using only wet development.
  • the cycle of Step ST120 and Step ST122 may be performed one or more times by dry development.
  • the cycle of step ST120 performed by wet development and step ST122 performed by dry development may be repeated multiple times.
  • the development conditions of step ST120 and/or step ST122 may be different from one or more cycles to another one or more cycles. It can be different.
  • the temperature of the substrate support portion in step ST120 may be lower in one or more cycles in which development is performed to a second depth deeper than the first depth than in one or more cycles in which development is performed to a first depth.
  • the base film UF is etched after step ST12.
  • the etching process may be performed, for example, by generating plasma from a process gas in the process chamber 10 of the plasma processing apparatus 1.
  • the resist film RM functions as a mask, and a recess is formed in the base film UF based on the shape of the opening OP.
  • the etching process may be performed continuously in the same processing chamber 10 as in step ST12, or in the processing chamber 10 of another plasma processing apparatus 1. It may be executed within.
  • FIG. 13 is a flowchart showing a substrate processing method (hereinafter also referred to as "second method") according to the second exemplary embodiment. As shown in FIG. 13, the second method includes a step ST21 of providing a substrate and a step ST22 of developing the substrate.
  • the development process in step ST22 is performed by dry development. In one embodiment, the development process in step ST22 is performed by wet development. In one embodiment, the development process in step ST22 is performed using both wet development and dry development.
  • the second method may be performed in the heat treatment system described above (FIG. 1).
  • the control section 200 controls each section of the heat treatment apparatus 100 to perform the second method on the substrate W will be described as an example.
  • the second method may be performed by combining the thermal processing system (FIG. 1) with other substrate processing systems, such as a plasma processing system (FIGS. 2 and 3) or a liquid processing system (FIG. 4).
  • Step ST21 the substrate W is provided in the processing chamber 102 of the heat processing apparatus 100.
  • Step ST21 is similar to step ST11 of the first method, and the structure of the substrate W may be the same as that shown in FIG. 6.
  • Step ST22 Development of substrate
  • the resist film RM on the substrate W is developed, and the first region RM1 is selectively removed.
  • Step ST22 includes a step ST220 of developing the substrate with a first selectivity ratio, and a step ST222 of developing the substrate with a second selectivity ratio different from the first selectivity ratio.
  • Step ST220 Developing with the first selection ratio
  • a processing gas containing a developing gas is supplied into the processing chamber 102 through the gas nozzle 141.
  • the developing gas may be a gas that can selectively remove the first region with respect to the second region, unlike in step ST120 of the first method described above. As a result, the first region RM1 of the resist film RM is selectively removed with respect to the second region RM2.
  • the first region RM1 of the resist film RM is removed at a first selection ratio with respect to the second region RM2.
  • the "selectivity" is also called development contrast, and is the ratio of the development speed of the first region RM1 to the development speed of the second region RM2.
  • the first selection ratio may be appropriately set within a range in which the first region RM1 is selectively removed with respect to the second region RM2 (that is, a value greater than 1).
  • Step ST220 may be performed until the first region RM1 is removed to a given depth or until the opening formed by development has a given aspect ratio.
  • the given depth or aspect ratio may be set based on the degree of progress of the exposure reaction in the first region RM (in one example, based on the thickness of the first portion RM1a and the second portion RM1b).
  • step ST220 may be performed until just before the second portion RM1b of the first region RM1 is removed or until it is partially removed.
  • FIG. 14 is a diagram showing an example of the cross-sectional structure of the substrate W after processing in step ST220.
  • the first region RM1 of the resist film RM is selectively removed with respect to the second region RM2, and the upper surface of the second portion RM1b of the first region is exposed.
  • Step ST222 Developing with second selection ratio
  • a processing gas containing a developing gas is supplied into the processing chamber 102 through the gas nozzle 141.
  • the developing gas may be the same as or different from the developing gas used in step ST220.
  • the first region RM1 of the resist film RM is selectively etched with respect to the second region RM2.
  • step ST222 the first region RM1 of the resist film RM is removed with respect to the second region RM2 at a second selection ratio different from the first selection ratio.
  • the selection ratio can be made different from the first selection ratio by, for example, developing conditions such as the set temperature of the substrate W or the substrate support 11, the pressure inside the processing chamber 102, the type and concentration (partial pressure) of the processing gas, etc. This may be done by changing one or more of the above steps from step ST220.
  • the second selectivity ratio is higher than the first selectivity ratio.
  • the second selection ratio may be made higher than the first selection ratio by performing any one or more of the following (I) to (IV).
  • step ST222 the set temperature of the substrate W or substrate support portion 121 is set lower than in step ST220.
  • step ST222 the pressure inside the processing chamber 102 is made higher than in step ST220.
  • step ST222 the acidity of the developing gas is made greater than the acidity of the developing gas in step ST220.
  • step ST222 the concentration (partial pressure) of the developing gas in the processing gas is made higher than the concentration (partial pressure) of the developing gas in the processing gas in step ST220.
  • Step ST222 may be performed until the first region RM1 is removed and the base film UF is exposed. Step ST222 may be performed until a portion of the base film UF is removed (overetched) in the depth direction.
  • FIG. 15 is a diagram showing an example of the cross-sectional structure of the substrate W after processing in step ST222.
  • the first region RM1 of the resist film RM is removed to form an opening OP.
  • the opening OP is defined by the side surface of the second region RM2.
  • the opening OP is a space above the base film UF surrounded by the side surface.
  • the opening OP has a shape corresponding to the first region RM1 (resultingly, a shape corresponding to the opening of the exposure mask used for EUV exposure) in a plan view of the substrate W.
  • the shape may be, for example, a circle, an ellipse, a rectangle, a line, or a combination of one or more of these shapes.
  • a plurality of openings OP may be formed in the resist film RM.
  • the plurality of openings OP each have a hole shape and may constitute an array pattern arranged at regular intervals. Further, the plurality of openings OP each have a linear shape, and may be lined up at regular intervals to form a line-and-space pattern.
  • a developed pattern consisting of the unexposed second region RM2 can be formed by the development process.
  • a pattern for example, a hole array pattern
  • the second method includes a step ST220 of performing development at a first selection ratio, and a step ST222 of performing development at a second selection ratio different from the first selection ratio.
  • This allows the shape of the developed pattern to be adjusted.
  • the first region RM1 can be removed with an appropriate selection ratio relative to the second region RM2, and the pattern shape and roughness can be removed. Deterioration can be suppressed.
  • the development process in step ST22 may be performed using a plasma processing apparatus system (see FIGS. 2 and 3) and/or a liquid processing system (see FIG. 4), similarly to step ST12.
  • the second method may include a desorption step similarly to the first method. The desorption step may be performed after step ST22, or may be repeatedly performed one or more times between the developments in step ST22.
  • the development treatment in step ST22 may be performed using both heat treatment and plasma treatment.
  • step ST220 may be performed by heat treatment
  • step ST222 may be performed by plasma treatment
  • step ST220 may be performed by plasma treatment
  • step ST222 may be performed by heat treatment.
  • a cycle including step ST220 and step ST222 may be repeated multiple times.
  • the cycle of step ST220 and step ST222 may be repeated multiple times using only dry development, or may be repeated multiple times using only wet development.
  • the cycle of steps ST220 and ST222 may be performed one or more times by dry development.
  • the cycle of step ST220 performed by wet development and step ST222 performed by dry development may be repeated multiple times.
  • the development conditions of step ST220 and/or step ST222 may be changed between one or more cycles and another one or more cycles. It can be different.
  • the temperature of the substrate support portion in step ST220 may be lower in one or more cycles in which development is performed to a second depth deeper than the first depth than in one or more cycles in which development is performed to a first depth.
  • the base film UF is etched after step ST22.
  • the etching process may be performed, for example, by generating plasma from a process gas in the process chamber 10 of the plasma processing apparatus 1.
  • the resist film RM functions as a mask, and a recess is formed in the base film UF based on the shape of the opening OP.
  • the etching process may be performed continuously in the same processing chamber 10 as in step ST22, or in the processing chamber 10 of another plasma processing apparatus 1. It may be executed within.
  • FIG. 16 is a block diagram for explaining a configuration example of the substrate processing system SS according to the exemplary embodiment.
  • the substrate processing system SS includes a first carrier station CS1, a first processing station PS1, a first interface station IS1, an exposure apparatus EX, a second interface station IS2, and a second processing station PS2. , a second carrier station CS2, and a controller CT.
  • the first carrier station CS1 carries in and out the first carrier C1 between the first carrier station CS1 and a system outside the substrate processing system SS.
  • the first carrier station CS1 has a mounting table including a plurality of first mounting plates ST1. On each first mounting plate ST1, a first carrier C1 containing a plurality of substrates W or empty is mounted.
  • the first carrier C1 has a casing that can house a plurality of substrates W therein.
  • the first carrier C1 is, for example, a FOUP (Front Opening Unified Pod).
  • the first carrier station CS1 transports the substrate W between the first carrier C1 and the first processing station PS1.
  • the first carrier station CS1 further includes a first transport device HD1.
  • the first transport device HD1 is provided in the first carrier station CS1 so as to be located between the mounting table and the first processing station PS1.
  • the first transport device HD1 transports and transfers the substrate W between the first carrier C1 on each first mounting plate ST1 and the second transport device HD2 of the first processing station PS1.
  • the substrate processing system SS may further include a load lock module.
  • a load lock module may be provided between the first carrier station CS1 and the first processing station PS1.
  • the load lock module can switch its internal pressure to atmospheric pressure or vacuum. "Atmospheric pressure" may be the pressure inside the first transport device HD1.
  • “Vacuum” is a pressure lower than atmospheric pressure, and may be a medium vacuum of, for example, 0.1 Pa to 100 Pa.
  • the interior of the second transport device HD2 may be at atmospheric pressure or vacuum.
  • the load lock module transports the substrate W from the first transport device HD1 at atmospheric pressure to the second transport device HD2 at vacuum, and from the second transport device HD2 at vacuum to the second transport device HD2 at atmospheric pressure.
  • the substrate W may be transported to the No. 1 transport device HD1.
  • the first processing station PS1 performs various processing on the substrate W.
  • the first processing station PS1 includes a pre-processing module PM1, a resist film forming module PM2, and a first heat processing module PM3 (hereinafter also referred to as "first substrate processing module PMa").
  • the first processing station PS1 includes a second transport device HD2 that transports the substrate W.
  • the second transport device HD2 transfers substrates between two specified first substrate processing modules PMa, and between the first processing station PS1 and the first carrier station CS1 or the first interface station IS1. Transports and delivers W.
  • the substrate W is subjected to pre-processing.
  • the preprocessing module PM1 includes a temperature adjustment unit that adjusts the temperature of the substrate W, a high-precision temperature adjustment unit that adjusts the temperature of the substrate W with high precision, and the like.
  • the pretreatment module PM1 includes a surface modification treatment unit that performs a surface modification treatment on the substrate W.
  • Each processing unit of the pretreatment module PM1 may include a heat treatment apparatus 100 (see FIG. 1), a plasma treatment apparatus 1 (see FIGS. 2 and 3), and/or a liquid treatment apparatus 300 (see FIG. 4). .
  • the resist film forming module PM2 includes a dry coating unit.
  • the dry coating unit forms a resist film on the substrate W using a dry process such as a vapor deposition method.
  • the dry coating unit includes, for example, a CVD device or an ALD device that chemically vapor deposits a resist film, or a PVD device that physically vapor deposits a resist film on a substrate W disposed in a chamber.
  • the dry coating unit may be a heat treatment apparatus 100 (see FIG. 1) or a plasma treatment apparatus 1 (see FIGS. 2 and 3).
  • the resist film forming module PM2 includes a wet coating unit.
  • the wet coating unit forms a resist film on the substrate W using a wet process such as a liquid phase deposition method.
  • the wet coating unit may be, for example, a liquid processing device 300 (see FIG. 4).
  • the example resist film forming module PM2 includes both a wet coating unit and a dry coating unit.
  • the substrate W is subjected to heat treatment.
  • the first heat treatment module PM3 includes a pre-bake (PAB) unit that performs heat treatment on the substrate W on which a resist film is formed, a temperature adjustment unit that adjusts the temperature of the substrate W, and a temperature adjustment unit that adjusts the temperature of the substrate W with high precision.
  • the temperature control unit includes one or more high-precision temperature control units. Each of these units may each have one or more heat treatment devices. In one example, multiple heat treatment devices may be stacked.
  • the heat treatment apparatus may be, for example, the heat treatment apparatus 100 (see FIG. 1). Each heat treatment may be performed at a predetermined temperature using a predetermined gas.
  • the first interface station IS1 has a third transport device HD3.
  • the third transport device HD3 transports and transfers the substrate W between the first processing station PS1 and the exposure apparatus EX.
  • the third transport device HD3 has a casing that accommodates the substrate W, and may be configured to be able to control the temperature, humidity, pressure, etc. inside the casing.
  • the exposure apparatus EX exposes the resist film on the substrate W using an exposure mask (reticle).
  • the exposure apparatus EX may be, for example, an EUV exposure apparatus having a light source that generates EUV light.
  • the second interface station IS2 has a fourth transport device HD4.
  • the fourth transport device HD4 transports and transfers the substrate W between the exposure apparatus EX and the second processing station PS2.
  • the fourth transport device HD4 may have a casing that accommodates the substrate W, and may be configured to be able to control the temperature, humidity, pressure, etc. within the casing.
  • the second processing station PS2 performs various processing on the substrate W.
  • the second processing station PS2 includes a second heat treatment module PM4, a measurement module PM5, a development module PM6, and a third heat treatment module PM7 (hereinafter also collectively referred to as "second substrate processing module PMb").
  • the second processing station PS2 includes a fifth transport device HD5 that transports the substrate W.
  • the fifth transport device HD5 transports substrates between two specified second substrate processing modules PMb and between the second processing station PS2 and the second carrier station CS2 or the second interface station IS2. Transports and delivers W.
  • the substrate W is subjected to heat treatment.
  • the heat treatment module PM4 includes a post-exposure bake (PEB) unit that heat-treats the substrate W after exposure, a temperature adjustment unit that adjusts the temperature of the substrate W, and a heat treatment module that adjusts the temperature of the substrate W with high precision. It includes one or more precision temperature control units. Each of these units may each have one or more heat treatment devices. In one example, multiple heat treatment devices may be stacked.
  • the heat treatment apparatus may be, for example, the heat treatment apparatus 100 (see FIG. 1). Each heat treatment may be performed at a predetermined temperature using a predetermined gas.
  • the measurement module PM5 includes an imaging unit including a mounting table on which the substrate W is placed, an imaging device, an illumination device, and various sensors (temperature sensor, reflectance measurement sensor, etc.).
  • the imaging device may be, for example, a CCD camera that images the appearance of the substrate W.
  • the imaging device may be a hyperspectral camera that separates light into wavelengths and photographs the images.
  • the hyperspectral camera can measure any one or more of the pattern shape, dimensions, film thickness, composition, and film density of the resist film.
  • the substrate W is subjected to development processing.
  • the development module PM6 includes a dry development unit that performs dry development on the substrate W.
  • the dry development unit may be, for example, a thermal processing apparatus 100 (see FIG. 1) or a plasma processing apparatus 1 (see FIGS. 2 and 3).
  • the development module PM6 includes a wet development unit that performs wet development on the substrate W.
  • the wet development unit may be, for example, the liquid processing apparatus 300 (FIG. 4).
  • development module PM6 includes both a dry development unit and a wet development unit.
  • the substrate W is subjected to heat treatment.
  • the third heat treatment module PM7 includes a post bake (PB) unit that heats the substrate W after development, a temperature adjustment unit that adjusts the temperature of the substrate W, and a temperature adjustment unit that increases the temperature of the substrate W. It includes one or more high-precision temperature control units that adjust accurately. Each of these units may each have one or more heat treatment devices. In one example, multiple heat treatment devices may be stacked.
  • the heat treatment apparatus may be, for example, the heat treatment apparatus 100 (see FIG. 1). Each heat treatment may be performed at a predetermined temperature using a predetermined gas.
  • the second carrier station CS2 carries in and out the second carrier C2 between the second carrier station CS2 and a system external to the substrate processing system SS.
  • the configuration and function of the second carrier station CS2 may be similar to the first carrier station CS1 described above.
  • the control unit CT controls each component of the substrate processing system SS to perform a given process on the substrate W.
  • the control unit CT stores recipes in which process procedures, process conditions, transport conditions, etc. Control configuration.
  • the control unit CT may serve as part or all of the functions of each control unit (control unit 200, control unit 2, and control unit 400 shown in FIGS. 1 to 4).
  • FIG. 17 is a flowchart illustrating a substrate processing method (hereinafter also referred to as "method MT") according to an exemplary embodiment.
  • the method MT includes a step ST100 of pre-processing the substrate, a step ST200 of forming a resist film on the substrate, and a step ST200 of performing heat treatment (pre-bake: PAB) on the substrate on which the resist film is formed.
  • PEB post-exposure bake
  • the process includes ST700, a step ST800 of performing heat treatment (post-bake: PB) on the substrate after development, and a step ST900 of etching the substrate.
  • Method MT may not include one or more of the above steps.
  • method MT may not include step ST600, and step ST700 may be performed after step ST500.
  • Method MT may be performed using the substrate processing system SS shown in FIG. 16.
  • the control unit CT of the substrate processing system SS controls each part of the substrate processing system SS to execute the method MT on the substrate W will be described as an example.
  • the first carrier C1 containing a plurality of substrates W is carried into the first carrier station CS1 of the substrate processing system SS.
  • the first carrier C1 is mounted on the first mounting plate ST1.
  • each substrate W in the first carrier C1 is sequentially taken out by the first transport device HD1 and delivered to the second transport device HD2 of the first processing station PS1.
  • the substrate W is transported to the preprocessing module PM1 by the second transport device HD2.
  • the preprocessing module PM1 performs preprocessing on the substrate W.
  • the pretreatment may include, for example, one or more of temperature adjustment of the substrate W, formation of part or all of the base film of the substrate W, heat treatment of the substrate W, and high-precision temperature adjustment of the substrate W.
  • the pretreatment may include surface modification treatment of the substrate W.
  • the substrate W is transported to the resist film forming module PM2 by the second transport device HD2.
  • a resist film is formed on the substrate W by the resist film forming module PM2.
  • the formation of the resist film is performed by a wet process such as liquid deposition.
  • a resist film is formed by spin coating a resist film on the substrate W using the wet coating unit of the resist film forming module PM2.
  • the resist film is formed on the substrate W by a dry process such as a vapor deposition method.
  • a resist film is formed by depositing a resist film on the substrate W using the dry coating unit of the resist film forming module PM2.
  • the formation of the resist film on the substrate W may be performed using both a dry process and a wet process.
  • a second resist film may be formed on the first resist film by a wet process.
  • the film thickness, material, and/or composition of the first resist film and the second resist film may be the same or different.
  • the substrate W is transported to the first heat treatment module PM3 by the second transport device HD2.
  • the first heat treatment module PM3 performs heat treatment (pre-bake: PAB) on the substrate W.
  • Prebaking may be performed in an air atmosphere or in an inert atmosphere. Further, the prebaking may be performed by heating the substrate W to 50° C. or higher or 80° C. or higher.
  • the heating temperature of the substrate W may be 250°C or lower, 200°C or lower, or 150°C or lower. In one example, the heating temperature of the substrate may be 50° C. or higher and 250° C. or lower.
  • prebaking may be continuously performed in the dry coating unit that performed step ST200.
  • a process for removing the resist film at the edge of the substrate W (Edge Bead Removal: EBR) may be performed.
  • the substrate W is transferred by the second transport device HD2 to the third transport device HD3 of the first interface station IS1.
  • the substrate W is then transported to the exposure apparatus EX by the third transport device HD3.
  • the substrate W receives EUV exposure through an exposure mask (reticle) in the exposure apparatus EX.
  • a first region exposed to EUV light and a second region not exposed to EUV light are formed on the substrate W, corresponding to the pattern of the exposure mask (reticle).
  • the substrate W is transferred from the fourth transport device HD4 of the second interface station IS2 to the fifth transport device HD5 of the second processing station PS2.
  • the substrate W is then transported to the second heat treatment module PM4 by the fifth transport device HD5.
  • the substrate W is subjected to heat treatment (post-exposure bake: PEB) in the second heat treatment module PM4.
  • the post-exposure bake may be performed in an atmospheric atmosphere. Further, the post-exposure bake may be performed by heating the substrate W to a temperature of 180° C. or higher and 250° C. or lower.
  • the substrate W is transported to the measurement module PM5 by the fifth transport device HD5.
  • the measurement module PM5 measures the substrate W.
  • the measurements may be optical measurements or other measurements.
  • the measurements by the measurement module PM5 include measurements of the appearance and/or dimensions of the substrate W using a CCD camera.
  • the measurement module PM5 measures any one or more of the pattern shape, dimensions, film thickness, composition, and film density (hereinafter also referred to as "pattern shape, etc.") of the resist film using a hyperspectral camera. Including measurements.
  • control unit CT determines whether or not there is an exposure abnormality in the substrate W based on the measured appearance, dimensions, and/or pattern shape of the substrate W. In one embodiment, if the control unit CT determines that there is an exposure abnormality, the substrate W may be reworked or discarded without performing development in step ST700. Rework of the substrate W may be performed by removing the resist on the substrate W and returning to step ST200 again to form a resist film. Although rework after development may involve damage to the substrate W, damage to the substrate W can be avoided or suppressed by performing rework before development.
  • the development process may be performed by dry development or wet development.
  • the development process may be performed using a combination of dry development and wet development.
  • the development process in step ST700 may be performed by the first method (see FIGS. 5 and 11) or the second method (see FIG. 12).
  • a desorption process may be performed one or more times after or during the development process.
  • the desorption process includes descuming or smoothing the surface of the resist film with an inert gas such as helium or a plasma of the inert gas.
  • an inert gas such as helium or a plasma of the inert gas.
  • the substrate W is transported to the third heat treatment module PM7 by the fifth transport device HD5, and is subjected to heat treatment (post-bake).
  • Post-baking may be performed in an atmospheric atmosphere or in a reduced pressure atmosphere containing N 2 or O 2 . Further, post-baking may be performed by heating the substrate W to 150° C. or higher and 250° C. or lower.
  • Post-baking may be performed by the second heat treatment module PM4 instead of the third heat treatment module PM7.
  • optical measurements of the substrate W may be made by a measurement module PM4PM5. Such measurements may be performed in addition to or in place of the measurements in step ST600.
  • the control unit CT determines whether or not there are abnormalities such as defects, scratches, and adhesion of foreign substances in the developed pattern of the substrate W based on the measured appearance, dimensions, and/or pattern shape of the substrate W. etc. are determined. In one embodiment, if the controller CT determines that there is an abnormality, the substrate W may be reworked or discarded without performing etching in step ST900. In one embodiment, if the control unit CT determines that there is an abnormality, the opening size of the resist film of the substrate W may be adjusted using a dry coating unit (CVD device, ALD device, etc.).
  • the substrate W is transferred by the fifth transfer device HD5 to the sixth transfer device HD6 of the second carrier station CS2, and the substrate W is transferred to the sixth transfer device HD6 of the second carrier station CS2 by the sixth transfer device HD6. It is transported to the second carrier C2.
  • the second carrier C2 is then transported to a plasma processing system (not shown).
  • the plasma processing system may be, for example, the plasma processing system shown in FIGS. 2 and 3.
  • the base film UF of the substrate W is etched using the developed resist film as a mask. With this, the method MT ends.
  • etching may be continuously performed within the plasma processing chamber of the plasma processing apparatus. Furthermore, if the second processing station PS2 includes a plasma processing module in addition to the development module PM6, the etching may be performed within the plasma processing module.
  • the desorption process described above may be performed one or more times before or during etching.
  • Embodiments of the present disclosure further include the following aspects.
  • a substrate processing method comprising: (a) providing a substrate having a base film and a metal-containing resist film on the base film on a substrate support, the metal-containing resist film including a first region and a second region; process and (b) developing the metal-containing resist film to selectively remove the second region from the metal-containing resist film;
  • the step (b) above is (b1) removing the second region with respect to the first region at a first selection ratio; (b2) further removing the second region with respect to the first region at a second selection ratio different from the first selection ratio; Substrate processing method.
  • step (b) the development is performed by wet development,
  • the step (b) above is (I) The solubility of the metal-containing resist film in the developer used in the step (b2) is lower than the solubility of the metal-containing resist film in the developer used in the step (b1); (II) The concentration of the developer used in the step (b2) is lower than the concentration of the developer used in the step (b1), and (III) The temperature of the developer used in the step (b2) is lower than the temperature of the developer used in the step (b1);
  • the substrate processing method according to any one of Supplementary Notes 1 to 3, which satisfies at least one of the following.
  • step (b) In the step (b), the development is performed by dry development in a chamber,
  • the step (b) above is (I) The temperature of the substrate support portion in the step (b2) is lower than the temperature of the substrate support portion in the step (b1); (II) The pressure in the chamber in the step (b2) is lower than the pressure in the chamber in the step (b1); (III) The acidity of the second developing gas used in the step (b2) is lower than the acidity of the first developing gas used in the step (b1), and (IV) The concentration of the second developing gas used in the step (b2) is lower than the concentration of the first developing gas used in the step (b1);
  • the substrate processing method according to any one of Supplementary Notes 1 to 3, which satisfies at least one of the following.
  • the above (b1) is performed by dry development using a first processing gas containing a first developing gas
  • the above (b2) is performed by dry development using a second processing gas containing a second developing gas
  • the step (b) above is (I) The temperature of the substrate support portion in the step (b2) is lower than the temperature of the substrate support portion in the step (b1); (II) The pressure in the chamber in the step (b2) is lower than the pressure in the chamber in the step (b1); (III) the acidity of the second developing gas is lower than the acidity of the first developing gas; (IV) the concentration of the second developing gas is lower than the concentration of the first developing gas; and (V)
  • the second processing gas includes a protective gas that protects the side wall of the first region exposed in the step (b1) and the step (b2), and the first processing gas includes the Containing no protective gas or containing the protective gas at a lower partial pressure than (the partial pressure of) the protective gas contained in the second processing gas;
  • step (b) the development is performed by dry development using plasma generated in a chamber
  • the step (b) above is (I) The power level of the source RF signal for plasma generation supplied to the chamber in the step (b2) is lower than the power level of the source RF signal in the step (b1), and (II) The power or voltage level of the bias signal supplied to the chamber in the step (b2) is smaller than the power or voltage level of the bias signal in the step (b1);
  • the substrate processing method according to any one of Supplementary Notes 1 to 3, which satisfies at least one of the following.
  • the step (b) further includes a step of modifying the first region between the step (b1) and the step (b2), according to any one of Supplementary notes 1 to 7.
  • Appendix 14 The substrate processing method according to any one of appendices 1 to 13, wherein the metal-containing resist film contains at least one metal selected from the group consisting of Sn, Hf, and Ti.
  • Appendix 15 The substrate processing method according to any one of appendices 1 to 14, wherein the first region is exposed to EUV light.
  • the first region includes a first portion and a second portion below the first portion and on the base film,
  • the substrate processing method according to any one of Supplementary notes 1 to 16, wherein the step (b1) is performed until immediately before the second portion is exposed, or until a part of the second portion is exposed. .
  • a substrate processing method comprising: (a) providing on a substrate support a substrate having a base film and a metal-containing resist film formed on the base film, the metal-containing resist film being in contact with the exposed first region; a second region in which the second region is not (b) selectively removing the second region from the metal-containing resist film by dry developing the metal-containing resist film;
  • the step (b) above is (b1) controlling the temperature of the substrate support part to a first temperature and removing the second region; (b2) controlling the temperature of the substrate support part to a second temperature lower than the first temperature and removing the second region; Substrate processing method.
  • the step (b) is a step of dry developing using HBr, the first temperature is 20°C or more and 60°C or less, and the second temperature is -20°C or more and 20°C or less.
  • a substrate processing method comprising: (a) providing on a substrate support a substrate having a base film and a metal-containing resist film formed on the base film, the metal-containing resist film being in contact with the exposed first region; a second region in which the second region is not (b) selectively removing the second region from the metal-containing resist film by dry developing the metal-containing resist film;
  • the step (b) above is (b1) using a first processing gas to remove the second region; (b2) removing the second region using a second processing gas having lower acidity than the first processing gas; Substrate processing method.
  • the first processing gas contains a halogen-containing inorganic acid
  • the second processing gas contains an organic acid. Substrate processing method according to appendix 24.
  • the first processing gas includes a halogen-containing inorganic acid and an organic acid at a lower flow rate than the halogen-containing inorganic acid
  • the second processing gas includes a halogen-containing inorganic acid and an organic acid at a higher flow rate than the halogen-containing inorganic acid.
  • halogen-containing inorganic acid includes at least one selected from the group consisting of HBr gas, HCl gas, BCl 3 gas, HF gas, and HI gas.
  • the step (b) above is (I) The temperature of the substrate support part in the step (b2) is lower than the temperature of the substrate support part in the step (b1), and (II) The temperature of the substrate support part in the step (b2) is lower than the temperature of the substrate support part in the step (b2).
  • step (b) In the step (b), after the cycle including the step (b1) and the step (b2) is performed one or more times, the step (b1) is further performed, Supplementary notes 24 to Supplementary notes 30.
  • the substrate processing method according to any one of 30.
  • step (b) is performed after the cycle including the step (b1) and the step (b2) is performed one or more times without using plasma. removing the second region using plasma generated from a second processing gas; The substrate processing method according to any one of attachments 24 to 31.
  • a substrate processing system comprising one or more substrate processing apparatuses and a control section
  • the control unit may cause the one or more substrate processing apparatuses to: (a) A control for providing a substrate having a base film and a metal-containing resist film on the base film on a substrate support, wherein the metal-containing resist film includes a first region and a second region. and, (b) control for selectively removing the second region from the metal-containing resist film by developing the metal-containing resist film;
  • the control in (b) above is (b1) control to remove the second region with respect to the first region at a first selection ratio; (b2) further removing the second region from the first region at a second selection ratio different from the first selection ratio; Substrate processing system.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Drying Of Semiconductors (AREA)
  • Manufacturing Of Printed Circuit Boards (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

現像パターンの形状を調整する技術を提供する。 基板処理方法が提供される。この方法は(a)下地膜と下地膜上の金属含有レジスト膜とを有する基板を基板支持部上に提供する工程であって、金属含有レジスト膜は、第1領域と第2領域とを含む、工程と、(b)金属含有レジスト膜を現像して金属含有レジスト膜から第2領域を選択的に除去する工程と、を含み、(b)の工程は、(b1)第1領域に対して第2領域を、第1の選択比で除去する工程と、(b2)第1領域に対して第2領域を、第1の選択比と異なる第2の選択比でさらに除去する工程と、を含む。

Description

基板処理方法及び基板処理システム
 本開示の例示的実施形態は、基板処理方法及び基板処理システムに関する。
 特許文献1には、半導体基板上に極端紫外光(Extreme Ultra Violet、以下「EUV」と表記する)を用いてパターニングされうる薄膜を形成する技術が開示されている。
特表2021-523403号公報
 本開示は、現像パターンの形状を調整する技術を提供する。
 本開示の一つの例示的実施形態において、基板処理方法であって、(a)下地膜と下地膜上の金属含有レジスト膜とを有する基板を基板支持部上に提供する工程であって、金属含有レジスト膜は、第1領域と第2領域とを含む、工程と、(b)金属含有レジスト膜を現像して金属含有レジスト膜から第2領域を選択的に除去する工程と、を含み、(b)の工程は、(b1)第1領域に対して第2領域を、第1の選択比で除去する工程と、(b2)第1領域に対して第2領域を、第1の選択比と異なる第2の選択比でさらに除去する工程と、を含む基板処理方法が提供される。
 本開示の一つの例示的実施形態によれば、現像パターンの形状を調整する技術を提供することができる。
熱処理システムの構成例を説明するための図である。 プラズマ処理システムを現像処理システムとして用いる場合の構成例を説明するための図である。 容量結合型のプラズマ処理装置の構成例を説明するための図である。 液処理システムの構成例を説明するための図である。 第1の方法を示すフローチャートである。 工程ST11で提供される基板Wの断面構造の一例を示す図である。 基板Wの下地膜UFの一例を示す図である。 基板Wの下地膜UFの一例を示す図である。 現像後の基板Wの断面構造の一例を示す図である。 工程ST120の処理後の基板Wの断面構造の一例を示す図である。 工程ST122の処理後の基板Wの断面構造の一例を示す図である。 第1の方法の変形例にかかるフローチャートである。 第2の方法を示すフローチャートである。 工程ST220の処理後の基板Wの断面構造の一例を示す図である。 工程ST222の処理後の基板Wの断面構造の一例を示す図である。 基板処理システムSSの構成例を説明するためのブロック図である。 方法MTを示すフローチャートである。
 以下、本開示の各実施形態について説明する。
 一つの例示的実施形態において、(a)下地膜と下地膜上の金属含有レジスト膜とを有する基板を基板支持部上に提供する工程であって、金属含有レジスト膜は、第1領域と第2領域とを含む、工程と、(b)金属含有レジスト膜を現像して金属含有レジスト膜から第2領域を選択的に除去する工程と、を含み、(b)の工程は、(b1)第1領域に対して第2領域を、第1の選択比で除去する工程と、(b2)第1領域に対して第2領域を、第1の選択比と異なる第2の選択比でさらに除去する工程と、を含む基板処理方法が提供される。
 一つの例示的実施形態において、第1領域は露光された領域であり、第2領域は露光されていない領域である。
 一つの例示的実施形態において、第2の選択比は、第1の選択比よりも高い。
 一つの例示的実施形態において、(b)の工程において、現像はウェット現像により行われ、(b)の工程は、(I)(b2)の工程で用いる現像液に対する金属含有レジスト膜の溶解度は、(b1)の工程で用いる現像液に対する金属含有レジスト膜の溶解度よりも低いこと、(II)(b2)の工程で用いる現像液の濃度は、(b1)の工程で用いる現像液の濃度よりも低いこと、及び、(III)(b2)の工程で用いる現像液の温度は、(b1)の工程で用いる現像液の温度よりも低いこと、の少なくとも1つを満たす。
 一つの例示的実施形態において、(b)の工程において、現像はチャンバ内でドライ現像により行われ、(b)の工程は、(I)(b2)の工程における基板支持部の温度は、(b1)の工程における基板支持部の温度よりも低いこと、(II)(b2)の工程におけるチャンバ内の圧力は、(b1)の工程におけるチャンバ内の圧力よりも低いこと、(III)(b2)の工程で用いる第2の現像ガスの酸性度は、(b1)の工程で用いる第1の現像ガスの酸性度よりも小さいこと、及び、(IV)(b2)の工程で用いる第2の現像ガスの濃度は、(b1)の工程で用いる第1の現像ガスの濃度よりも低いこと、の少なくとも1つを満たす。
 一つの例示的実施形態において、(b1)は、第1の現像ガスを含む第1の処理ガスを用いたドライ現像により行われ、(b2)は、第2の現像ガスを含む第2の処理ガスを用いたドライ現像により行われ、(b)の工程は、(I)(b2)の工程における基板支持部の温度は、(b1)の工程における基板支持部の温度よりも低いこと、(II)(b2)の工程におけるチャンバ内の圧力は、(b1)の工程におけるチャンバ内の圧力よりも低いこと、(III)第2の現像ガスの酸性度は、第1の現像ガスの酸性度よりも小さいこと、(IV)第2の現像ガスの濃度は、第1の現像ガスの濃度よりも低いこと、及び、(V)第2の処理ガスは、(b1)の工程及び(b2)の工程で露出した第1領域の側壁を保護する保護ガスを含み、第1の処理ガスは、保護ガスを含まないか、第2の処理ガスに含まれる保護ガス(の分圧)よりも低い分圧で保護ガスを含むこと、の少なくとも1つを満たす。
 一つの例示的実施形態において、(b)の工程において、現像はチャンバ内で生成したプラズマを用いたドライ現像により行われ、(b)の工程は、(I)(b2)の工程においてチャンバに供給されるプラズマ生成用のソースRF信号の電力のレベルは、(b1)の工程におけるソースRF信号の電力のレベルよりも小さいこと、及び、(II)(b2)の工程においてチャンバに供給されるバイアス信号の電力又は電圧のレベルは、(b1)の工程におけるバイアス信号の電力又は電圧のレベルよりも小さいこと、の少なくとも1つを満たす。
 一つの例示的実施形態において、(b)の工程は、(b1)の工程と(b2)の工程との間に、第1領域を改質する工程をさらに含む。
 一つの例示的実施形態において、第1領域を改質する工程は、基板を加熱又はプラズマ処理する工程を含む。
 一つの例示的実施形態において、第1領域を改質する工程は、(b1)の工程と同一のチャンバで実行される基板処理方法を提供する。
 一つの例示的実施形態において、第1領域を改質する工程は、(b1)の工程と異なるチャンバで実行される。
 一つの例示的実施形態において、(b1)の工程において、現像はウェット現像により行われ、(b2)の工程において、現像はドライ現像により行われる。
 一つの例示的実施形態において、(b)の工程において、(b1)の工程及び(b2)の工程を含むサイクルが複数回繰り返される。
 一つの例示的実施形態において、金属含有レジスト膜は、Sn、Hf及びTiからなる群から選択される少なくとも1種の金属を含む。
 一つの例示的実施形態において、第1領域はEUV露光されている。
 一つの例示的実施形態において、(b1)の工程から(b2)の工程への切り替えは、現像により金属含有レジスト膜に形成される開口の深さ又はアスペクト比に基づいて行われる。
 一つの例示的実施形態において、第1領域は、第1部分と、第1部分の下方で下地膜上の第2部分とを含み、(b1)の工程は、第2部分が露出する直前まで、又は第2部分の一部が露出するまで実行される。
 一つの例示的実施形態において、(c)(b)の工程の後に、金属含有レジスト膜をマスクとして、下地膜をエッチングする工程をさらに含む。
 一つの例示的実施形態において、(b1)の工程の後かつ(b2)の工程の前に、(b1)の工程で生じた1領域又は第2領域の残渣を除去する工程と、(b2)の工程の後かつ(c)の工程の前に、(b1)及び/又は(b2)で生じた第1領域又は第2領域の残渣を除去する工程と、の少なくとも一方を更に含む。
 一つの例示的実施形態において、(c)の工程は、(b)の工程で用いたチャンバと同一のチャンバで実行される。
 一つの例示的実施形態において、(c)の工程は、(b)の工程で用いたチャンバと異なるチャンバで実行される。
 一つの例示的実施形態において、(a)下地膜と下地膜上に形成された金属含有レジスト膜とを有する基板を基板支持部上に提供する工程であって、金属含有レジスト膜は露光された第1領域と露光されていない第2領域とを有する工程と、(b)金属含有レジスト膜をドライ現像して金属含有レジスト膜から第2領域を選択的に除去する工程と、を含み、(b)の工程は、(b1)基板支持部の温度を、第1の温度に制御して、第2領域を除去する工程と、(b2)基板支持部の温度を、第1の温度よりも低い第2の温度に制御して、第2領域を除去する工程と、を含む基板処理方法が提供される。
 一つの例示的実施形態において、(b)の工程は、HBrを用いてドライ現像する工程であり、第1の温度は、20℃以上60℃以下であり、第2の温度は、-20℃以上20℃以下である。
 一つの例示的実施形態において、基板処理方法であって、(a)下地膜と下地膜上に形成された金属含有レジスト膜とを有する基板を基板支持部上に提供する工程であって、金属含有レジスト膜は露光された第1領域と露光されていない第2領域とを有する工程と、(b)金属含有レジスト膜をドライ現像して金属含有レジスト膜から第2領域を選択的に除去する工程と、を含み、(b)の工程は、(b1)第1の処理ガスを用いて、第2領域を除去する工程と、(b2)第1の処理ガスよりも酸性度が小さい第2の処理ガスを用いて、第2領域を除去する工程と、を含む基板処理方法が提供される。
 一つの例示的実施形態において、第1の処理ガスは、ハロゲン含有無機酸を含み、第2の処理ガスは、有機酸を含む。
 一つの例示的実施形態において、第1の処理ガスは、ハロゲン含有無機酸と、ハロゲン含有無機酸よりも低流量の有機酸とを含み、第2の処理ガスは、ハロゲン含有無機酸と、ハロゲン含有無機酸よりも高流量の有機酸とを含む。
 一つの例示的実施形態において、ハロゲン含有無機酸は、HBrガス、HClガス、BCl3ガス、及びHFガス及びHIガスからなる群から選択される少なくとも1種を含む。
 一つの例示的実施形態において、有機酸は、カルボン酸、β-ジカルボニル化合物及びアルコールからなる群から選択される少なくとも1種を含む。
 一つの例示的実施形態において、(b)の工程は、(I)(b2)の工程における基板支持部の温度は、(b1)の工程における基板支持部の温度よりも低いこと、及び(II)(b2)の工程におけるチャンバ内の圧力は、(b1)の工程におけるチャンバ内の圧力よりも低いことの少なくとも1つを満たす。
 一つの例示的実施形態において、(b)の工程において、(b1)の工程と(b2)の工程とが繰り返される。
 一つの例示的実施形態において、(b)の工程において、(b1)の工程と(b2)の工程とを含むサイクルが1回以上実施された後、さらに(b1)の工程が実施される。
 一つの例示的実施形態において、(b)の工程は、(b1)の工程と(b2)の工程とを含むサイクルがプラズマを用いずに1回以上に実施された後に、第1の処理ガス及び/又は第2の処理ガスから生成したプラズマを用いて第2領域を除去する工程を含む。
 一つの例示的実施形態において、1又は複数の基板処理装置と制御部とを有する基板処理システムであって、制御部は、1又は複数の基板処理装置に対して、(a)下地膜と下地膜上の金属含有レジスト膜とを有する基板を基板支持部上に提供する制御であって、金属含有レジスト膜は第1領域と第2領域とを含む、制御と、(b)金属含有レジスト膜を現像して金属含有レジスト膜から第2領域を選択的に除去する制御と、を実行するように構成され、(b)の制御は、(b1)第1領域に対して第2領域を、第1の選択比で除去する制御と、(b2)第1領域に対して第2領域を、第1の選択比と異なる第2の選択比でさらに除去する制御と、を含む基板処理システムが提供される。
 以下、図面を参照して、本開示の各実施形態について詳細に説明する。なお、各図面において同一または同様の要素には同一の符号を付し、重複する説明を省略する。特に断らない限り、図面に示す位置関係に基づいて上下左右等の位置関係を説明する。図面の寸法比率は実際の比率を示すものではなく、また、実際の比率は図示の比率に限られるものではない。
<熱処理システムの構成例>
 図1は、熱処理システムの構成例を説明するための図である。一実施形態において、熱処理システムは、熱処理装置100及び制御部200を含む。熱処理システムは、基板処理システムの一例であり、熱処理装置100は、基板処理装置の一例である。
 熱処理装置100は、密閉空間を形成可能に構成されたな処理チャンバ102を有する。処理チャンバ102は、例えば気密な筒状容器であり、内部の雰囲気を調整可能に構成される。処理チャンバ102の側壁には、側壁ヒータ104が設けられている。処理チャンバ102の天井壁(天板)には、天井ヒータ130が設けられている。処理チャンバ102の天井壁(天板)の天井面140は、水平な平坦面として形成されており、天井ヒータ130によりその温度が調整される。
 処理チャンバ102内の下部側には、基板支持部121が設けられている。基板支持部121は、その上に基板Wが支持される基板支持面を有する。基板支持部121は、例えば、平面視で円形に形成されており、水平に形成されたその表面(上面)の上に基板Wが載置される。基板支持部121の中には、ステージヒータ120が埋設されている。このステージヒータ120は、基板支持部121に載置された基板Wを加熱することができる。なお、基板支持部121には、基板Wを囲むようにリングアセンブリ(図示せず)が配置されてもよい。リングアセンブリは、1又は複数の環状部材を含んでよい。リングアセンブリを基板Wの周囲に配置することにより、基板Wの外周領域の温度制御性を向上させることができる。リングアセンブリは、目的とする熱処理に応じて、無機材料又は有機材料から構成されてよい。
 基板支持部121は、処理チャンバ102の底面に設けられた支柱122によって、処理チャンバ102内で支持されている。支柱122の周方向の外側には、垂直に昇降可能な複数の昇降ピン123が設けられている。複数の昇降ピン123はそれぞれ、基板支持部121に設けられた貫通孔に各々挿通されている。複数の昇降ピン123は周方向に間隔を設けて配列されている。複数の昇降ピン123の昇降動作は、昇降機構124により制御される。昇降ピン123が基板支持部121の表面に突出すると、図示しない搬送機構と基板支持部121との間での、基板Wの受け渡しが可能となる。
 処理チャンバ102の側壁には、開口を有する排気口131が設けられている。排気口131は、排気管を介して排気機構132に接続されている。排気機構132は、真空ポンプ及びバルブなどにより構成されており、排気口131からの排気流量を調整する。この排気機構132による排気流量等の調整により、処理チャンバ102内の圧力が調整される。なお、処理チャンバ102の側壁には、排気口131が開口する位置とは異なる位置に、図示しない基板Wの搬送口が開閉自在に形成されている。
 また、処理チャンバ102の側壁には、排気口131及び基板Wの搬送口とは異なる位置に、ガスノズル141が設けられている。ガスノズル141は、処理ガスを処理チャンバ102内に供給する。ガスノズル141は、処理チャンバ102の側壁において、基板支持部121の中心部から見て、排気口131の反対側に設けられている。即ち、ガスノズル141は、処理チャンバ102の側壁において、基板支持部121の中心部を通過する垂直仮想面に対して排気口131と対称に設けられている。
 ガスノズル141は、処理チャンバ102の側壁から処理チャンバ102の中心側に向けて突出する棒状に形成されている。ガスノズル141の先端部は、処理チャンバ102の側壁から例えば水平に延びている。処理ガスは、ガスノズル141の先端において開口する吐出口から処理チャンバ102内に吐出され、図1に示す一点鎖線の矢印の方向に流れて、排気口131から排気される。なお、ガスノズル41の先端部は、基板Wに向けて斜め下方に延びる形状を有していてもよく、処理チャンバ102の天井面140に向けて斜め上方に延びる形状を有していてもよい。
 なお、ガスノズル141は、例えば、処理チャンバ102の天井壁に設けられていてもよい。また排気口131は、処理チャンバ102の底面に設けられていてもよい。
 熱処理装置100は、処理チャンバ102の外側からガスノズル141に接続されるガス供給管152を有する。ガス供給管152の周囲には、ガス供給管152内のガスを加熱するための配管ヒータ160が設けられる。ガス供給管152は、ガス供給部170に接続されている。ガス供給部170は、少なくとも1つのガスソース及び少なくとも1つの流量制御器を含む。ガス供給部は、液体の状態の材料を気化させる気化器を含んでよい。
 制御部200は、本開示において述べられる種々の工程を熱処理装置100に実行させるコンピュータ実行可能な命令を処理する。制御部200は、ここで述べられる種々の工程を実行するように熱処理装置100の各要素を制御するように構成され得る。一実施形態において、制御部200の一部又は全てが熱処理装置100に含まれてもよい。制御部200は、処理部200a1、記憶部200a2及び通信インターフェース200a3を含んでもよい。制御部200は、例えばコンピュータ200aにより実現される。処理部200a1は、記憶部200a2からプログラムを読み出し、読み出されたプログラムを実行することにより種々の制御動作を行うように構成され得る。このプログラムは、予め記憶部200a2に格納されていてもよく、必要なときに、媒体を介して取得されてもよい。取得されたプログラムは、記憶部200a2に格納され、処理部200a1によって記憶部200a2から読み出されて実行される。媒体は、コンピュータ200aに読み取り可能な種々の記憶媒体であってもよく、通信インターフェース200a3に接続されている通信回線であってもよい。処理部200a1は、CPU(Central Processing Unit)であってもよい。記憶部200a2は、RAM(Random Access Memory)、ROM(Read Only Memory)、HDD(Hard Disk Drive)、SSD(Solid State Drive)、又はこれらの組み合わせを含んでもよい。通信インターフェース200a3は、LAN(Local Area Network)等の通信回線を介して熱処理装置100との間で通信してもよい。
<プラズマシステムの構成例>
 図2は、プラズマ処理システムを現像処理システムとして用いる場合の構成例を説明するための図である。一実施形態において、プラズマ処理システムは、プラズマ処理装置1及び制御部2を含む。プラズマ処理システムは、基板処理システムの一例であり、プラズマ処理装置1は、基板処理装置の一例である。プラズマ処理装置1は、プラズマ処理チャンバ(以下、単に「処理チャンバ」ともいう。)10、基板支持部11及びプラズマ生成部12を含む。プラズマ処理チャンバ10は、プラズマ処理空間を有する。また、プラズマ処理チャンバ10は、少なくとも1つの処理ガスをプラズマ処理空間に供給するための少なくとも1つのガス供給口と、プラズマ処理空間からガスを排出するための少なくとも1つのガス排出口とを有する。ガス供給口は、後述するガス供給部20に接続され、ガス排出口は、後述する排気システム40に接続される。基板支持部11は、プラズマ処理空間内に配置され、基板を支持するための基板支持面を有する。
 プラズマ生成部12は、プラズマ処理空間内に供給された少なくとも1つの処理ガスからプラズマを生成するように構成される。プラズマ処理空間において形成されるプラズマは、容量結合プラズマ(CCP;Capacitively Coupled Plasma)、誘導結合プラズマ(ICP:Inductively Coupled Plasma)、ECRプラズマ(Electron-Cyclotron-resonance plasma)、ヘリコン波励起プラズマ(HWP:Helicon Wave Plasma)、又は、表面波プラズマ(SWP:Surface Wave Plasma)等であってもよい。また、AC(Alternating Current)プラズマ生成部及びDC(Direct Current)プラズマ生成部を含む、種々のタイプのプラズマ生成部が用いられてもよい。一実施形態において、ACプラズマ生成部で用いられるAC信号(AC電力)は、100kHz~10GHzの範囲内の周波数を有する。従って、AC信号は、RF(Radio Frequency)信号及びマイクロ波信号を含む。一実施形態において、RF信号は、100kHz~150MHzの範囲内の周波数を有する。
 制御部2は、本開示において述べられる種々の工程をプラズマ処理装置1に実行させるコンピュータ実行可能な命令を処理する。制御部2は、ここで述べられる種々の工程を実行するようにプラズマ処理装置1の各要素を制御するように構成され得る。一実施形態において、制御部2の一部又は全てがプラズマ処理装置1に含まれてもよい。制御部2は、例えばコンピュータ2aにより実現される。制御部2は、処理部2a1、記憶部2a2及び通信インターフェース2a3を含んでもよい。制御部2の各構成は、上述した制御部200(図1参照)の各構成と同様であってよい。
 以下に、プラズマ処理装置1の一例としての容量結合型のプラズマ処理装置の構成例について説明する。図3は、容量結合型のプラズマ処理装置の構成例を説明するための図である。
 容量結合型のプラズマ処理装置1は、プラズマ処理チャンバ10、ガス供給部20、電源30及び排気システム40を含む。また、プラズマ処理装置1は、基板支持部11及びガス導入部を含む。ガス導入部は、少なくとも1つの処理ガスをプラズマ処理チャンバ10内に導入するように構成される。ガス導入部は、シャワーヘッド13を含む。基板支持部11は、プラズマ処理チャンバ10内に配置される。シャワーヘッド13は、基板支持部11の上方に配置される。一実施形態において、シャワーヘッド13は、プラズマ処理チャンバ10の天部(ceiling)の少なくとも一部を構成する。プラズマ処理チャンバ10は、シャワーヘッド13、プラズマ処理チャンバ10の側壁10a及び基板支持部11により規定されたプラズマ処理空間10sを有する。プラズマ処理チャンバ10は接地される。シャワーヘッド13及び基板支持部11は、プラズマ処理チャンバ10の筐体とは電気的に絶縁される。
 基板支持部11は、本体部111及びリングアセンブリ112を含む。本体部111は、基板Wを支持するための中央領域111aと、リングアセンブリ112を支持するための環状領域111bとを有する。ウェハは基板Wの一例である。本体部111の環状領域111bは、平面視で本体部111の中央領域111aを囲んでいる。基板Wは、本体部111の中央領域111a上に配置され、リングアセンブリ112は、本体部111の中央領域111a上の基板Wを囲むように本体部111の環状領域111b上に配置される。従って、中央領域111aは、基板Wを支持するための基板支持面とも呼ばれ、環状領域111bは、リングアセンブリ112を支持するためのリング支持面とも呼ばれる。
 一実施形態において、本体部111は、基台1110及び静電チャック1111を含む。基台1110は、導電性部材を含む。基台1110の導電性部材は下部電極として機能し得る。静電チャック1111は、基台1110の上に配置される。静電チャック1111は、セラミック部材1111aとセラミック部材1111a内に配置される静電電極1111bとを含む。セラミック部材1111aは、中央領域111aを有する。一実施形態において、セラミック部材1111aは、環状領域111bも有する。なお、環状静電チャックや環状絶縁部材のような、静電チャック1111を囲む他の部材が環状領域111bを有してもよい。この場合、リングアセンブリ112は、環状静電チャック又は環状絶縁部材の上に配置されてもよく、静電チャック1111と環状絶縁部材の両方の上に配置されてもよい。また、後述するRF電源31及び/又はDC電源32に結合される少なくとも1つのRF/DC電極がセラミック部材1111a内に配置されてもよい。この場合、少なくとも1つのRF/DC電極が下部電極として機能する。後述するバイアスRF信号及び/又はDC信号が少なくとも1つのRF/DC電極に供給される場合、RF/DC電極はバイアス電極とも呼ばれる。なお、基台1110の導電性部材と少なくとも1つのRF/DC電極とが複数の下部電極として機能してもよい。また、静電電極1111bが下部電極として機能してもよい。従って、基板支持部11は、少なくとも1つの下部電極を含む。
 リングアセンブリ112は、1又は複数の環状部材を含む。一実施形態において、1又は複数の環状部材は、1又は複数のエッジリングと少なくとも1つのカバーリングとを含む。エッジリングは、導電性材料又は絶縁材料で形成され、カバーリングは、絶縁材料で形成される。
 また、基板支持部11は、静電チャック1111、リングアセンブリ112及び基板のうち少なくとも1つをターゲット温度に調節するように構成される温調モジュールを含んでもよい。温調モジュールは、ヒータ、伝熱媒体、流路1110a、又はこれらの組み合わせを含んでもよい。流路1110aには、ブラインやガスのような伝熱流体が流れる。一実施形態において、流路1110aが基台1110内に形成され、1又は複数のヒータが静電チャック1111のセラミック部材1111a内に配置される。また、基板支持部11は、基板Wの裏面と中央領域111aとの間の間隙に伝熱ガスを供給するように構成された伝熱ガス供給部を含んでもよい。
 シャワーヘッド13は、ガス供給部20からの少なくとも1つの処理ガスをプラズマ処理空間10s内に導入するように構成される。シャワーヘッド13は、少なくとも1つのガス供給口13a、少なくとも1つのガス拡散室13b、及び複数のガス導入口13cを有する。ガス供給口13aに供給された処理ガスは、ガス拡散室13bを通過して複数のガス導入口13cからプラズマ処理空間10s内に導入される。また、シャワーヘッド13は、少なくとも1つの上部電極を含む。なお、ガス導入部は、シャワーヘッド13に加えて、側壁10aに形成された1又は複数の開口部に取り付けられる1又は複数のサイドガス注入部(SGI:Side Gas Injector)を含んでもよい。
 ガス供給部20は、少なくとも1つのガスソース21及び少なくとも1つの流量制御器22を含んでもよい。一実施形態において、ガス供給部20は、少なくとも1つの処理ガスを、それぞれに対応のガスソース21からそれぞれに対応の流量制御器22を介してシャワーヘッド13に供給するように構成される。各流量制御器22は、例えばマスフローコントローラ又は圧力制御式の流量制御器を含んでもよい。さらに、ガス供給部20は、少なくとも1つの処理ガスの流量を変調又はパルス化する少なくとも1つの流量変調デバイスを含んでもよい。
 電源30は、少なくとも1つのインピーダンス整合回路を介してプラズマ処理チャンバ10に結合されるRF電源31を含む。RF電源31は、少なくとも1つのRF信号(RF電力)を少なくとも1つの下部電極及び/又は少なくとも1つの上部電極に供給するように構成される。これにより、プラズマ処理空間10sに供給された少なくとも1つの処理ガスからプラズマが形成される。従って、RF電源31は、プラズマ生成部12の少なくとも一部として機能し得る。また、バイアスRF信号を少なくとも1つの下部電極に供給することにより、基板Wにバイアス電位が発生し、形成されたプラズマ中のイオン成分を基板Wに引き込むことができる。
 一実施形態において、RF電源31は、第1のRF生成部31a及び第2のRF生成部31bを含む。第1のRF生成部31aは、少なくとも1つのインピーダンス整合回路を介して少なくとも1つの下部電極及び/又は少なくとも1つの上部電極に結合され、プラズマ生成用のソースRF信号(ソースRF電力)を生成するように構成される。一実施形態において、ソースRF信号は、10MHz~150MHzの範囲内の周波数を有する。一実施形態において、第1のRF生成部31aは、異なる周波数を有する複数のソースRF信号を生成するように構成されてもよい。生成された1又は複数のソースRF信号は、少なくとも1つの下部電極及び/又は少なくとも1つの上部電極に供給される。
 第2のRF生成部31bは、少なくとも1つのインピーダンス整合回路を介して少なくとも1つの下部電極に結合され、バイアスRF信号(バイアスRF電力)を生成するように構成される。バイアスRF信号の周波数は、ソースRF信号の周波数と同じであっても異なっていてもよい。一実施形態において、バイアスRF信号は、ソースRF信号の周波数よりも低い周波数を有する。一実施形態において、バイアスRF信号は、100kHz~60MHzの範囲内の周波数を有する。一実施形態において、第2のRF生成部31bは、異なる周波数を有する複数のバイアスRF信号を生成するように構成されてもよい。生成された1又は複数のバイアスRF信号は、少なくとも1つの下部電極に供給される。また、種々の実施形態において、ソースRF信号及びバイアスRF信号のうち少なくとも1つがパルス化されてもよい。
 また、電源30は、プラズマ処理チャンバ10に結合されるDC電源32を含んでもよい。DC電源32は、第1のDC生成部32a及び第2のDC生成部32bを含む。一実施形態において、第1のDC生成部32aは、少なくとも1つの下部電極に接続され、第1のDC信号を生成するように構成される。生成された第1のDC信号は、少なくとも1つの下部電極に印加される。一実施形態において、第2のDC生成部32bは、少なくとも1つの上部電極に接続され、第2のDC信号を生成するように構成される。生成された第2のDC信号は、少なくとも1つの上部電極に印加される。
 種々の実施形態において、第1及び第2のDC信号がパルス化されてもよい。この場合、電圧パルスのシーケンスが少なくとも1つの下部電極及び/又は少なくとも1つの上部電極に印加される。電圧パルスは、矩形、台形、三角形又はこれらの組み合わせのパルス波形を有してもよい。一実施形態において、DC信号から電圧パルスのシーケンスを生成するための波形生成部が第1のDC生成部32aと少なくとも1つの下部電極との間に接続される。従って、第1のDC生成部32a及び波形生成部は、電圧パルス生成部を構成する。第2のDC生成部32b及び波形生成部が電圧パルス生成部を構成する場合、電圧パルス生成部は、少なくとも1つの上部電極に接続される。電圧パルスは、正の極性を有してもよく、負の極性を有してもよい。また、電圧パルスのシーケンスは、1周期内に1又は複数の正極性電圧パルスと1又は複数の負極性電圧パルスとを含んでもよい。なお、第1及び第2のDC生成部32a,32bは、RF電源31に加えて設けられてもよく、第1のDC生成部32aが第2のRF生成部31bに代えて設けられてもよい。
 排気システム40は、例えばプラズマ処理チャンバ10の底部に設けられたガス排出口10eに接続され得る。排気システム40は、圧力調整弁及び真空ポンプを含んでもよい。圧力調整弁によって、プラズマ処理空間10s内の圧力が調整される。真空ポンプは、ターボ分子ポンプ、ドライポンプ又はこれらの組み合わせを含んでもよい。
<液処理システムの構成例>
 図4は、液処理システムの構成例を説明するための図である。一実施形態において、液処理システムは、液処理装置300及び制御部400を含む。液処理システムは、基板処理システムの一例であり、液処理装置300は、基板処理装置の一例である。
 図4に示すように、液処理装置300は、処理チャンバ310内に、基板支持部としてのスピンチャック311を有している。スピンチャック311は、基板Wを水平に保持する。スピンチャック311は、昇降自在な回転部312と接続され、回転部312はモータなどによって構成される回転駆動部313と接続されている。回転駆動部313の駆動によって、スピンチャック311に保持された基板Wは回転可能である。
 スピンチャック311の外側には、カップ321が配置されており、処理液(レジスト液、現像液、洗浄液等)や処理液のミストがカップ321の周囲に飛散することが防止される。カップ321の底部322には、排液管323と排気管324が設けられている。排液管323は、排液ポンプなどの排液装置325に通じている。排気管324は、バルブ326を介して、排気ポンプなどの排気装置327に通じている。
 液処理装置300の処理チャンバ310内の上方には、要求される温湿度のエアをカップ321内に向けてダウンフローとして供給する送風装置314が設けられている。
 基板W上に処理液のパドルを形成する際には、処理液供給ノズル331が用いられる。この処理液供給ノズル331は、例えばアームなどのノズル支持部332に設けられており、ノズル支持部332は駆動機構によって、図中の破線で示した往復矢印Aのように昇降自在であり、また破線で示した往復矢印Bのように水平移動自在である。処理液供給ノズル331には、供給管333を介して処理液供給源334から処理液(レジスト液や現像液等)が供給される。
 パドルを形成するにあたり、基板Wの直径以上の長さを有する吐出口を備えたいわゆる長尺ノズルを用いる場合には、基板W上を一端部から他端部までスキャンすることで、基板W上に処理液のパドルを形成することができる。また基板Wの直径に対して充分小さい幅の液柱を形成するように液を吐出する、いわゆるストレートタイプのノズルの場合には、吐出口を基板Wの中心上方に位置させ、基板Wを回転させながら処理液を吐出することで、基板Wの全面に処理液を拡散させて、基板W上に処理液のパドルを形成することができる。また処理液のパドル形成は、ストレートタイプのノズルを長尺ノズルと同様に基板W上をスキャンさせることや、ストレートタイプの様に液を吐出する吐出口を複数基板W上にならべて、それぞれの吐出口から処理液を供給するといったことで行われてもよい。
 ガスノズル341は、ノズル本体342を有している。ノズル本体342はアームなどのノズル支持部に設けられており、当該ノズル支持部は駆動機構によって、図中の破線で示した往復矢印Cのように、昇降自在であり、また破線で示した往復矢印Dのように水平移動自在である。
 ガスノズル341は、2つのノズル吐出口343、344を有している。ノズル吐出口343、344はガス流路345から分岐して形成されている。ガス流路345は、ガス供給管346を介してガス供給源347に通じている。ガス供給源347には、不活性ガスや非酸化性ガスとして、例えば窒素ガスが用意されている。ガス流路345から例えば窒素ガスがガスノズル341に供給されると、各ノズル吐出口343、344から窒素ガスが吐出される。
 またガスノズル341には、液処理後の処理液を基板W上から洗浄する洗浄液供給ノズル351が設けられている。洗浄液供給ノズル351は洗浄液供給管352を介して、洗浄液供給源353に通じている。洗浄液としては、例えば純水が用いられる。洗浄液供給ノズル351は、前記した2つのノズル吐出口343、344の間に位置しているが、その位置はこれに限られるものではない。洗浄液供給ノズル351は、ガスノズル341とは独立した構成としてもよい。
 制御部400は、本開示において述べられる種々の工程を液処理装置300に実行させるコンピュータ実行可能な命令を処理する。制御部400は、ここで述べられる種々の工程を実行するように液処理装置300の各要素を制御するように構成され得る。一実施形態において、制御部400の一部又は全てが液処理装置300に含まれてもよい。制御部400は、例えばコンピュータ400aにより実現される。コンピュータ400aは、処理部400a1、記憶部400a2及び通信インターフェース400a3を含んでよい。制御部400の各構成は、上述した制御部200(図1参照)の各構成と同様であってよい。
<基板処理方法の第1の実施形態>
 図5は、例示的な第1の実施形態に係る基板処理方法(以下「第1の方法」ともいう。)を示すフローチャートである。図5に示すように、第1の方法は、基板を提供する工程ST11と、基板を現像する工程ST12を有する。一実施形態において、工程ST12における現像処理は、処理ガスを用いたドライプロセス(以下「ドライ現像」ともいう。)により行われる。一実施形態において、工程ST12における現像処理は現像液を用いたウェットプロセス(以下「ウェット現像」ともいう。)により行われる。一実施形態において、工程ST12における現像処理は、ウェット現像とドライ現像の両方を用いて行われる。
 第1の方法は、上述した基板処理システム(図1~図4参照)のいずれか1つを用いて実行されてよく、またこれらの基板処理システムの2つ以上を用いて実行されてもよい。例えば、第1の方法は熱処理システム(図1参照)で実行されてよい。以下では、制御部200が熱処理装置100の各部を制御して、基板Wに対して第1の方法を実行する場合を例に説明する。
(工程ST11:基板の提供)
 まず、工程ST11において、基板Wが、熱処理装置100の処理チャンバ102内に提供される。基板Wは、昇降ピン123を介して基板支持部121上に提供される。基板Wが基板支持部121に配置された後、基板支持部121の温度が設定温度に調整される。基板支持部121の温度調整は、側壁ヒータ104、ステージヒータ120、天井ヒータ130及び配管ヒータ160(以下併せて「各ヒータ」ともいう。)のうち1つ以上のヒータの出力を制御することで行なってよい。本処理方法において、基板支持部121の温度は、工程ST11の前に設定温度に調整されてよい。すなわち、基板支持部121の温度が設定温度に調整された後に、基板支持部121上に基板Wが提供されてよい。
 図6は、工程ST11で提供される基板Wの断面構造の一例を示す図である。基板Wは、下地膜UFと、下地膜UF上に形成されたレジスト膜RMと、を含む。基板Wは、半導体デバイスの製造に用いられてよい。半導体デバイスは、例えば、DRAM、3D-NANDフラッシュメモリ等のメモリデバイス及びロジックデバイスを含む。
 レジスト膜RMは、金属を含有する金属含有レジスト膜である。当該金属は、一例では、Sn、Hf及びTiからなる群から選択される少なくとも1種の金属を含んでよい。一例では、レジスト膜RMは、Snを含有し、酸化スズ(SnO)、水酸化スズ(Sn-OH結合)を含んでよい。レジスト膜RMは更に有機物を含んでもよい。
 図6に示すように、レジスト膜RMは、露光された第1領域RM1と露光されていない第2領域RM2とを有する。第1領域RM1は、EUV光で露光された領域、即ちEUV露光領域である。第2領域RM2は、EUV光で露光されていない領域、即ち未露光領域である。第1領域RM1の膜厚は、第2領域RM2の膜厚より小さくてもよい。
 下地膜UFは、シリコンウェハ上に形成された有機膜、誘電体膜、金属膜又は半導体膜又はこれらの積層膜でよい。一実施形態において、下地膜UFは、例えば、シリコン含有膜、炭素含有膜及び金属含有膜からなる群から選択される少なくとも一種を含む。
 図7及び図8は、それぞれ、基板Wの下地膜UFの一例を示す図である。図7に示すように、下地膜UFは、第1膜UF1、第2膜UF2及び第3膜UF3から構成されてよい。図8に示すように下地膜UFは、第2膜UF2及び第3膜UF3から構成されてよい。
 第1膜UF1は、例えば、スピンオングラス(SOG)膜、SiC膜、SiON膜、Si含有反射防止膜(SiARC)又は有機膜である。第2膜UF2は、例えば、スピンオンカーボン(SOC)膜、アモルファスカーボン膜又はシリコン含有膜である。第3膜UF3は、例えば、シリコン含有膜である。シリコン含有膜は、例えば、シリコン酸化膜、シリコン窒化膜、シリコン酸窒化膜、シリコン炭窒化膜、多結晶シリコン膜又は炭素含有シリコン膜である。第3膜UF3は、積層された複数のシリコン含有膜から構成されてよい。例えば、第3膜UF3は、交互に積層されたシリコン酸化膜とシリコン窒化膜とから構成されてよい。また第3膜UF3は、交互に積層されたシリコン酸化膜と多結晶シリコン膜とから構成されてもよい。また、第3膜UF3は、シリコン窒化膜、シリコン酸化膜及び多結晶シリコン膜を含む積層膜でもよい。また、第3膜UF3は、積層されたシリコン酸化膜とシリコン炭窒化膜とから構成されてよい。また第3膜UF3は、シリコン酸化膜、シリコン窒化膜、シリコン炭窒化膜を含む積層膜でもよい。
 一実施形態において、基板Wは次のように形成される。まず密着性処理等が施された下地膜上に金属を含有するフォトレジスト膜が成膜される。成膜は、ドライプロセスで行われてよく、また溶液塗布法等のウェットプロセスで行われてもよく、またドライプロセスとウェットプロセスの双方で行われてもよい。なお、フォトレジスト膜の成膜前に、下地膜の表面改質処理が施されてよい。フォトレジスト膜の成膜後の基板は、加熱処理、すなわちプリベーク(Post Apply Bake:PAB)を受ける。プリベーク後の基板には追加の加熱処理が施されてもよい。加熱処理後のウェハは露光装置に搬送され、露光マスク(レチクル)を介してフォトレジスト膜にEUV光が照射される。これにより、下地膜UFと、露光された第1領域RM1及び露光されていない第2領域RM2を有するレジスト膜RMとを含む基板Wが形成される。第1領域RM1は、露光マスク(レチクル)に設けられた開口に対応する領域である。第2領域RM2は、露光マスク(レチクル)に設けられたパターンに対応する領域である。EUV光は、例えば、10~20nmの範囲の波長を有する。EUV光は、11~14nmの範囲の波長を有してよく、一例では13.5nmの波長を有する。露光後の基板は、雰囲気管理下で露光装置から熱処理装置に搬送され、加熱処理、すなわちポストエクスポージャーベーク(Post Exposure Bake:PEB)を受ける。PEB後の基板Wには追加の加熱処理が施されてもよい。
 EUV露光された第1領域RM1には、レジスト膜RMの厚さ方向(図6~図8の矢印Dの方向であり、以下、「深さ方向」ともいう。)に沿って露光反応が弱い部分が生じる場合がある。これは、EUVの光子分布の確率的揺らぎや焦点深度の浅さに起因すると考えられる。図6~図8に示す例では、第1領域RM1は、厚さ方向に沿って、第1部分RM1aと、第1部分RM1aに比べて露光反応が弱い第2部分RM1bとを有する。第2部分RM1bは、第1領域RM1において、下地層UFと接する部分である。
 第2部分RM1bは、露光反応が弱いため、未露光領域である第2領域RM2と膜の性質が近い。そのため、図6~図8に示すレジスト膜RMでは、厚さ方向に沿って現像コントラスト(露光領域と未露光領域の現像速度の比)がとりにくくなる。レジスト膜RMの現像を厚さ方向に沿って同じ条件で行うと、現像が進むに従って、第1領域RM1の下方(第2部分RM1b)の側面が第2領域RM2とともに除去されやすくなる。
 図9は、現像後の基板Wの断面構造の一例を示す図である。図9は、図6に示す基板Wについて厚さ方向に沿って同一条件で現像を行った場合の一例である。図9に示す例では、現像後の第1領域RMは、第2部分RM1bの断面寸法が厚さ方向に沿って小さくなり、逆テーパー状になっている。第1領域RM1の第2部分RM1bは、第1部分RM1aに比べて第2領域RM2に対する現像コントラストが厚さ方向にそって小さくなり、第2領域RM2とともに現像で除去されやすいためであると考えられる。このため、第1の方法は、工程ST121と工程ST122とを異なる条件で現像する。例えば、第1の方法は、工程ST121と工程ST122とで異なる現像コントラストで現像する。これにより、レジスト膜RMにおいて露光反応の強度が厚さ方向に異なる場合であっても、現像パターンの形状を調整でき、パターン形状やラフネスの悪化を抑制し得る。
(工程ST12:基板の現像)
 次に、工程ST12において、基板Wのレジスト膜RMが現像され、第2領域RM2が選択的に除去される。工程ST12は、第1の選択比で基板を現像する工程ST120と、第1の選択比と異なる第2の選択比で基板を現像する工程ST122とを有する。
(工程ST120:第1の選択比で現像)
 まず、工程ST120において、第1の現像ガスを含む第1の処理ガスがガスノズル141を介して処理チャンバ102内に供給される。一実施形態において、第1の現像ガスは、ハロゲン含有ガスを含む。ハロゲン含有ガスは、ハロゲン含有無機酸を含むガスであってよく、BrやClを含むガスでよい。ハロゲン含有無機酸を含むガスは、ハロゲン化水素及び/又はハロゲン化ホウ素を含むガスであってよい。ハロゲン含有無機酸を含むガスは、一例では、HBrガス、BClガス、HClガス、及びHFガス及びHIガスからなる群から選択される少なくとも1種である。一実施形態において第1の現像ガスは、有機酸を含むガスであってよい。有機酸を含むガスは、例えば、カルボン酸、β-ジカルボニル化合物及びアルコールからなる群から選択される少なくとも1種を含むガスであってよい。一実施形態において、第1の現像ガスは、カルボン酸を含むガスである。カルボン酸は、一例では、ギ酸(HCOOH)、酢酸(CHCOOH)、トリクロロ酢酸(CClCOOH)、モノフルオロ酢酸(CFHCOOH)、ジフルオロ酢酸(CF2FCOOH)、トリフルオロ酢酸(CFCOOH)クロロ-ジフロロ酢酸(CClFCOOH)、硫黄含有の酢酸、チオ酢酸(CHCOSH)、チオグリコール酸(HSCHCOOH)、トリフルオロ酢酸無水物((CFCO)O)、無水酢酸((CHCO)O)でよい。一実施形態において、第1の現像ガスは、β-ジカルボニル化合物を含む。β-ジカルボニル化合物は、一例では、アセチルアセトン(CHC(O)CHC(O)CH)、トリクロロアセチルアセトン(CClC(O)CHC(O)CH)、ヘキサクロロアセチルアセトン(CClC(O)CHC(O)CCl)、トリフルオロアセチルアセトン(CFC(O)CHC(O)CH)、ヘキサフルオロアセチルアセトン(HFAc、CFC(O)CHC(O)CF)でよい。一実施形態において、第1の現像ガスは、アルコールを含む。アルコールは、一例では、ノナフルオロ-tert-ブチルアルコール((CFC-OH)でよい。
 一実施形態において、第1の現像ガスは、トリフルオロ酢酸を含むガスである。一実施形態において、第1の現像ガスは、ハロゲン化されている有機酸蒸気を含む。第1の現像ガスは、一例では、トリフルオロ酢酸無水物、無水酢酸、トリクロロ酢酸、CFHCOOH、CFHCOOH、クロロージフロロ酢酸、硫黄含有酢酸及びチオ酢酸及びチオグリコール酸からなる群から選択される少なくとも1つを含む。一実施形態において、第1の現像ガスは、カルボン酸と水素ハライドとの混合ガス又は酢酸とギ酸との混合ガスである。一実施形態において、第1の処理ガスは、酢酸を含むガスである。
 工程ST120では、レジスト膜RMの第2領域RM2は、第1領域RM1に対して第1の選択比で除去される。第1の方法において「選択比」は、現像コントラストとも呼ばれ、第1領域RM1の現像速度に対する第2領域RM2の現像速度の比である。第1の選択比は、第2領域RM2が第1領域RM1に対して選択的に除去される範囲(すなわち1より大きい値)に適宜設定してよい。第1の選択比は、第1領域RM1の一部が除去される程度に比較的低く設定されてもよい。この場合、第1領域RM1(露光マスクの開口に対応する箇所)以外でEUV露光されてしまった箇所があったとしても、当該箇所のレジスト膜を除去し、当該箇所が残渣として残ることを抑制できる。
 工程ST120は、第2領域RM2が所与の深さ除去されるまで、又は、現像により形成される開口が所与のアスペクト比になるまで実行されてよい。当該所与の深さ又はアスペクト比は、第1領域RM1の露光反応の進行度合いに基づいて(一例では第1部分RM1aや第2部分RM1bの厚さに基づいて)設定されてよい。一実施形態において、工程ST120は、第1領域RM1の第2部分RM1bが露出する直前まで、又は一部露出するまで実行されてよい。
 図10は、工程ST120の処理後の基板Wの断面構造の一例を示す図である。図10に示す例では、レジスト膜RMのうち第2領域RM2が第1領域RM1に対して選択的に除去され、第1領域の第1部分RM1aの側面が露出している(第2部分RM1bはこの段階では露出していない)。
(工程ST122:第2の選択比で現像)
 工程ST122において、第2の現像ガスを含む第2の処理ガスがガスノズル141を介して処理チャンバ102内に供給される。第2の現像ガスは、工程ST120と同一でも異なっていてもよい。
 工程ST122では、レジスト膜RMの第2領域RM2が、第1領域に対して第1の選択比と異なる第2の選択比で除去される。選択比を第1の選択比と異ならせることは、例えば、基板W又は基板支持部11の設定温度、処理チャンバ102内の圧力、処理ガスの種類や濃度(分圧)等の現像条件のいずれか1つ以上を、工程ST120から変更することで行ってよい。
 一実施形態において、第2の選択比は第1の選択比より高い。例えば、工程ST122において、以下の(I)~(IV)のいずれか1つ以上を実行することで、第2の選択比を第1の選択比よりも高くしてよい。
 (I)工程ST122において、基板W又は基板支持部121の設定温度を、工程ST120よりも低くする。例えば、第2の現像ガスとしてHBrガスを用いる場合、工程ST120における基板支持部121の設定温度を20℃以上60℃以下又は40℃以上60℃以下にしてよく、工程ST122における基板支持部121の設定度温度を-20℃以上20℃未満にしてよい。例えば、第2の現像ガスとしてBClガスを用いる場合、工程ST120における基板支持部121の設定温度を120℃以上180℃以下にしてよく、工程ST122における基板支持部121の設定度温度を60℃以上120℃未満にしてよい。
 (II)工程ST122において、処理チャンバ102内の圧力を、工程ST120よりも低くする。例えば、第2の現像ガスとしてHBrガスを用いる場合、工程ST120におけるチャンバ102内の圧力を1Torr以上10Torr以下にしてよく、工程ST122における処理チャンバ102内の圧力を0.01Torr以上1Torr以下にしてよい。
 (III)工程ST122において、第2の現像ガスの酸性度を第1の現像ガスの酸性度よりも小さくする。すなわち、工程ST122において、工程ST120で使用する第1の現像ガスより酸解離定数(pKa)が大きい第2の現像ガスを使用する。例えば、現像ガスを、ハロゲン含有無機酸を含むガス(工程ST120)から有機酸を含むガス(工程ST122)に変更してよい。一例では、現像ガスをHBrガス又はBClガス(工程ST120)から酢酸ガス等のカルボン酸ガス(工程ST122)に変更してよい。また例えば、現像ガスを、酸性度の大きいハロゲン含有無機酸を含むガス(工程ST120)から酸性度の小さいハロゲン含有無機酸を含むガス(工程ST122)に変更してもよく、酸性度の大きい有機酸を含むガス(工程ST120)から酸性度の小さい有機酸を含むガス(工程ST122)に変更してもよい。一例では、現像ガスを、HBrガス(工程ST120)からBClガス(工程ST122)に変更してよい。また例えば、現像ガスとして混合ガスを用いる場合、混合ガス中で「酸解離定数(pKa)が相対的に大きいガス」の流量(分圧)を、第2の現像ガスにおいて第1の現像ガスよりも増加させてもよい。例えば、工程ST120及び工程122において第1の現像ガス及び第2の現像ガスが、それぞれ、HBrガスとカルボン酸ガスとの混合ガスである場合、第2の現像ガスにおけるカルボン酸ガスの流量(分圧)を、第1の現像ガスにおけるカルボン酸ガスの流量(分圧)に比べて増加させてよい。
 (IV)工程ST122において、処理ガス中の現像ガスの濃度(分圧)を工程ST120における処理ガス中の現像ガスの濃度(分圧)よりも低くする。例えば、処理ガスとして現像ガス及びAr等の貴ガスを含む混合ガスを用いる場合、工程ST122における現像ガスの濃度(分圧)は、工程120における現像ガスの濃度(分圧)よりも低くする。
 工程ST122は、第2領域RM2が除去されて、下地膜UFが露出するまで実行されてよい。工程ST122は、下地膜UFの深さ方向に一部除去(オーバーエッチング)されるまで行ってもよい。
 図11は、工程ST122の処理後の基板Wの断面構造の一例を示す図である。図11に示す例では、レジスト膜RMの第2領域RM2が除去され、開口OPが形成されている。開口OPは、第1領域RM1の側面によって規定される。開口OPは、当該側面に囲まれた、下地膜UF上の空間である。開口OPは、基板Wの平面視において、第2領域RM2に対応する形状(結果的にEUV露光に用いた露光マスクパターンに対応する形状)を有する。当該形状は、例えば、円、楕円、矩形、線やこれらの1種類以上を組み合わせた形状であってよい。レジスト膜RMには、複数の開口OPが形成されてよい。複数の開口OPは、それぞれ線形状を有し、一定の間隔で並んでラインアンドスペースのパターンを構成してもよい。また複数の開口OPが格子状に配列され、ピラーパターンを構成してもよい。
 以上のとおり、第1の方法は、第1の選択比で現像を行う工程ST120と、第1の選択比と異なる第2の選択比で現像を行う工程ST122とを含む。これにより、現像パターンの形状を調整することができる。またレジスト膜RMにおいて、露光反応の強度が厚さ方向に異なる場合であっても、第1領域RM1に対して第2領域RM2を適切な選択比で除去することができ、パターン形状やラフネスの悪化を抑制し得る。
 図12は、第1の方法の変形例にかかるフローチャートである。図12に示すように、工程ST12は、工程ST120と工程ST122との間にレジスト膜を改質する工程ST121を含んでよい。
 工程ST121において、レジスト膜RMに対して改質処理が施される。一実施形態において、改質処理は、基板Wを加熱処理することによって行われる。基板Wの加熱処理は、例えば、熱処理装置100の各ヒータの1つ以上の出力を制御して基板支持部121の温度を調整することで行ってよい。基板Wは、例えば180℃以上に加熱されてよい。基板Wは、例えば190℃以上240℃以下の温度に加熱されてよい。基板Wは、例えば190℃以上220℃以下の温度に加熱されてよい。基板Wを加熱するチャンバ内は、大気、Nガス及び又はHOガスを含む雰囲気であってよい。改質処理により、第1領域RM1の金属膜密度が増加し現像耐性が向上し得る。工程ST121において第2領域RM2が一部除去されているため(図10参照)、第1領域RM1のうち露光反応が弱い部分RM1bについても改質が進行しやすい。これにより、レジスト膜RMの深さ方向に沿って現像コントラストが低下することが抑制され得る。
 なお、工程ST121における加熱処理は、工程ST120や工程ST122と別の熱処理装置100の処理チャンバ102で行われてもよい。また工程ST121における加熱処理は、熱処理装置100とは異なる装置を用いて行われてもよい。例えば、赤外光やマイクロ波等の電磁波を発生する装置を用いて、基板Wに電磁波を照射することで基板Wを加熱してもよい。
 一実施形態において、工程ST121における改質処理は、プラズマ処理により行われる。プラズマ処理は、例えば、基板Wを熱処理装置100からプラズマ処理装置1に搬送して、当該プラズマ処理装置1内で生成したプラズマに基板Wを暴露することで行ってよい。プラズマ処理は、例えば、リモートプラズマ源で励起した処理ガスを熱処理装置100の処理チャンバ102内に導入することで行ってもよい。プラズマ生成用の処理ガスは、不活性ガスであってよい。不活性ガスは、一例では、He、Ar、Ne、Kr、Xe等の貴ガスや窒素ガスである。
 一実施形態において、第1の方法は、プラズマ処理システム(図2及び図3参照)を用いて実行されてよい。例えば、プラズマ処理装置1の処理チャンバ10内の基板支持部11上に基板Wを提供し(工程ST11)、ガス供給部20から処理チャンバ10内に処理ガスを供給することで、レジスト膜RMのドライ現像を行う(工程ST12)ようにしてよい。処理ガスは、熱処理システムを用いる場合と同様でよい。工程ST120及び/又は工程ST122において、基板支持部11の下部電極及び/又はシャワーヘッド13の上部電極にソースRF信号が供給されてよい。また基板支持部11の下部電極にバイアス信号が供給されてもよい。この場合、チャンバ10内の処理ガスからプラズマが生成され、プラズマ中のイオン、ラジカル等の活性種が基板Wに引きよせられ、現像が促進され得る。
 プラズマ処理システムを用いる場合、工程ST122において、現像条件のいずれか1つ以上を工程ST120から変更することで、第1の選択比と異なる第2の選択比で現像を行ってよい。変更される現像条件は、例えば、基板W又は基板支持部11の設定温度、処理チャンバ10内の圧力、処理ガスの種類や濃度(分圧)、ソースRF信号の電力レベルやバイアス信号の電力レベル又は電圧レベルを含む。
 プラズマ処理システムを用いる場合、工程ST122において、例えば、以下の(I)~(IV)のいずれか1つ以上を実行することで、第2の選択比を第1の選択比より高くしてもよい。
 (I)工程ST122において、基板W又は基板支持部11の設定温度を、工程ST120よりも低くする。例えば、処理ガスとしてHBrガスを用いる場合、工程ST120における基板支持部11の設定温度を20℃以上60℃以下又は40℃以上60℃以下にしてよく、工程ST122における基板支持部11の設定温度を-20℃以上20℃未満にしてよい。例えば、処理ガスとしてBClガスを用いる場合、工程ST120における基板支持部11の設定温度を120℃以上180℃以下にしてよく、工程ST122における基板支持部121の設定度温度を60℃以上120℃未満にしてよい。なお、基板支持部11は、温調モジュールにより設定温度に調整されてよい。また基板支持部11は、静電チャック1111と基板Wの裏面との間の伝熱ガス(例えばHe)の圧力を制御することで設定温度に調整されてもよい。
 (II)工程ST122において、処理チャンバ10内の圧力を、工程ST120よりも低くする。例えば、工程ST120における処理チャンバ10内の圧力を1Torr以上10Torr以下にしてよく、工程ST122における処理チャンバ10内の圧力を0.01Torr以上1Torr以下にしてよい。
 (III)工程ST122において、第2の現像ガスの酸性度を第1の現像ガスの酸性度よりも小さくする。すなわち、工程ST122において、工程ST120で使用する第1の現像ガスより酸解離定数(pKa)が大きい第2の現像ガスを使用する。例えば、現像ガスを、ハロゲン含有無機酸を含むガス(工程ST120)から有機酸を含むガス(工程ST122)に変更してよい。一例では、現像ガスをHBrガス又はBCl(工程ST120)から酢酸ガス等のカルボン酸ガス(工程ST122)に変更してよい。また例えば、現像ガスを、酸性度の大きいハロゲン含有無機酸を含むガス(工程ST120)から酸性度の小さいハロゲン含有無機酸を含むガス(工程ST122)に変更してもよく、酸性度の大きい有機酸を含むガス(工程ST120)から酸性度の小さい有機酸を含むガス(工程ST122)に変更してもよい。一例では、現像ガスを、HBrガス(工程ST120)からBClガス(工程ST122)に変更してよい。また例えば、現像ガスとして混合ガスを用いる場合、混合ガス中で「酸解離定数(pKa)が相対的に大きいガス」の流量(分圧)を、第2の現像ガスにおいて第1の現像ガスよりも増加させてもよい。例えば、工程ST120及び工程122において第1の現像ガス及び第2の現像ガスが、それぞれ、HBrガスとカルボン酸ガスとの混合ガスである場合、第2の現像ガスにおけるカルボン酸ガスの流量(分圧)を、第1の現像ガスにおけるカルボン酸ガスの流量(分圧)に比べて増加させてよい。
 (IV)工程ST122において、処理ガス中の現像ガスの濃度(分圧)を工程ST120における処理ガス中の現像ガスの濃度(分圧)よりも低くする。例えば、処理ガスとして現像ガス及びAr等の貴ガスを含む混合ガスを用いる場合、工程ST122における現像ガスの濃度(分圧)は、工程120における現像ガスの濃度(分圧)よりも低くする。
 工程ST120及び工程ST122において処理ガスからプラズマを生成する場合、以下の(V)及び(VI)の少なくともいずれかを、上記(I)~(IV)に加えて又は代えて実行してよい。これにより、第2の選択比を第1の選択比よりも高くしてもよい。
 (V)工程ST122において、チャンバ10に供給するソースRF信号の電力レベルを工程ST120におけるソースRF信号の電力レベルよりも小さくする。
 (VI)工程ST122において、チャンバ10に供給するバイアス信号の電力または電圧レベルを工程ST120におけるバイアス信号の電力又は電圧レベルよりも小さくする。
 なお、熱処理システムやプラズマ処理システムを用いて基板Wをドライ現像する場合、第1の方法は、脱離(desorption)工程を含んでよい。脱離工程は、ヘリウム等の不活性ガス又は当該不活性ガスのプラズマにより、レジスト膜RMの表面からスカムを除去する(descum)又はレジスト膜RMの表面を滑らかにする(smoothing)ことを含む。脱離工程は、工程ST12の後で実行されてよい。脱離工程は、工程ST120と工程ST122との間に1又は複数回繰り返して実行されてもよい。また、脱離工程は、工程ST120と工程ST122との間に代えて、又は工程ST120と工程ST122の間とともに、工程ST12(工程ST122)と後述する下地膜UFをエッチングする工程の前に実行されてもよい。
 一実施形態において、第1の方法は、液処理システム(図4参照)で実行されてよい。すなわち、液処理装置300の処理チャンバ310内のスピンチャック311に基板を提供し(工程ST11)、処理液供給ノズル331から現像液を基板Wに供給することで、レジスト膜RMのウェット現像を行う(工程ST12)ようにしてよい。現像液は、例えば、ベンゼン、キシレン、トルエン等の芳香族化合物、プロピレングリコールモノメチルエステルアセテート、酢酸エチル、乳酸エチル、酢酸n-ブチル、ブチロラクトン等のエステル、4-メチル-2-ペンタノール、1-ブタノール、イソプロパノール、1-プロパノール、メタノール等のアルコール、メチルエチルケトン、アセトン、シクロヘキサノン、2-ヘプタノン、2-オクタノン等のケトン、テトラヒドロフラン、ジオキサン、アニソール等のエーテルを含んでよい。
 液処理システムを用いる場合、工程ST122において、例えば、現像液の溶解度、濃度及び温度のいずれか1つ以上を工程ST120から変更することで、第1の選択比と異なる第2の選択比で現像を行ってよい。
 液処理システムを用いる場合、工程ST122において、例えば、以下の(I)~(III)のいずれか1つ以上を実行することで、第2の選択比を第1の選択比より高くしてもよい。
 (I)工程ST122で用いる現像液に対するレジスト膜RMの溶解度を、工程ST120で用いる現像液に対するレジスト膜の溶解度よりも低くする。
(II)工程ST122で用いる現像液の濃度を、例えば当該現像液の希釈度を高くすることで、工程ST120で用いる現像液の濃度よりも低くする。
 (III)工程ST122で用いる現像液の温度を、工程ST120で用いる現像液の温度よりも低くする。例えば、工程ST120では、現像液の温度を30℃以上90℃以下に制御し、工程ST122では、現像液の温度を10℃以上60℃以下に制御してよい。
 一実施形態において、工程ST12における現像処理は、ドライ現像とウェット現像との双方で行われてよい。例えば、工程ST120を、液処理システム(図4参照)を用いてウェット現像により実行し、工程ST122を、熱処理システム(図1参照)やプラズマ処理システム(図2及び図3参照)を用いてドライ現像により実行してよい。ウェット現像をドライ現像の前に行う場合、現像液の下地膜UFへの染み込みによる汚染や現像液の表面張力によるレジスト膜のパターン倒れが生じることを抑制し得る。なお、工程ST120を、ドライ現像により実行し、工程ST122をウェット現像により実行してもよい。
 一実施形態において、工程ST12における現像処理は、熱処理とプラズマ処理との双方で行われてもよい。例えば、工程ST120を熱処理で実行し、工程ST122をプラズマ処理で実行してもよく、工程ST120をプラズマ処理で実行し、工程ST122を熱処理で実行してもよい。
 一実施形態において、工程ST12において、工程ST120と工程ST122とを含むサイクルを複数回繰り返してよい。この場合、工程ST120と工程ST122のサイクルをドライ現像のみで複数回繰り返してよく、またウェット現像のみで複数回繰り返してもよい。また工程ST120と工程ST122のサイクルをウェット現像で1回以上行った後、工程ST120と工程ST122のサイクルをドライ現像で1回以上行ってよい。またウェット現像により行う工程ST120とドライ現像により行う工程ST122のサイクルを複数回繰り返してもよい。なお、上記のとおり、工程ST120と工程ST122のサイクルを複数回繰り返す場合において、工程ST120及び/又は工程ST122の現像条件を、ある一つ又は複数のサイクルと他の一つ又は複数のサイクルとで異ならせてよい。例えば工程ST120における基板支持部の温度を、第1の深さまで現像する1又は複数のサイクルよりも、第1の深さより深い第2の深さまで現像する1又は複数のサイクルにおいて低くしてよい。
 一実施形態において、工程ST12の後で、下地膜UFがエッチング処理される。エッチング処理は、例えば、プラズマ処理装置1の処理チャンバ10内で処理ガスからプラズマを生成することで行われてよい。エッチング処理において、レジスト膜RMがマスクとして機能し、開口OPの形状に基づいて下地膜UFに凹部が形成される。なお、工程ST12でプラズマ処理装置1を用いて現像を行う場合、エッチング処理は、工程ST12と同一の処理チャンバ10内で連続して実行されてよく、また別のプラズマ処理装置1の処理チャンバ10内で実行されてもよい。
<基板処理方法の第2の実施形態>
 図13は、例示的な第2の実施形態に係る基板処理方法(以下「第2の方法」ともいう。)を示すフローチャートである。図13に示すように、第2の方法は、基板を提供する工程ST21と、基板を現像する工程ST22とを有する。
 一実施形態において、工程ST22における現像処理は、ドライ現像により行われる。一実施形態において、工程ST22における現像処理はウェット現像により行われる。一実施形態において、工程ST22における現像処理は、ウェット現像とドライ現像の両方を用いて行われる。
 第2の方法は、上述した熱処理システム(図1)で実行されてよい。以下では、制御部200が熱処理装置100の各部を制御して、基板Wに対して第2の方法を実行する場合を例に説明する。第2の方法は、熱処理システム(図1)と、プラズマ処理システム(図2及び図3)や液処理システム(図4)等の他の基板処理システムとを組み合わせて実行されてもよい。
(工程ST21:基板の提供)
 まず、工程ST21において、基板Wが、熱処理装置100の処理チャンバ102内に提供される。工程ST21は、第1の方法の工程ST11と同様であり、基板Wの構成は図6で示した構成と同様でよい。
(工程ST22:基板の現像)
 次に、工程ST22において、基板Wのレジスト膜RMが現像され、第1領域RM1が選択的に除去される。工程ST22は、第1の選択比で基板を現像する工程ST220と、第1の選択比と異なる第2の選択比で基板を現像する工程ST222とを有する。
(工程ST220:第1の選択比で現像)
 まず、工程ST220において、現像ガスを含む処理ガスがガスノズル141を介して処理チャンバ102内に供給される。現像ガスは、上述した第1の方法の工程ST120と異なり、第2領域に対して第1領域を選択的に除去可能なガスを用いてよい。これにより、レジスト膜RMの第1領域RM1が第2領域RM2に対して選択的に除去される。
 工程ST220では、レジスト膜RMの第1領域RM1が、第2領域RM2に対して第1の選択比で除去される。第2方法において「選択比」は、現像コントラストとも呼ばれ、第2領域RM2の現像速度に対する第1領域RM1の現像速度の比である。第1の選択比は、第1領域RM1が第2領域RM2に対して選択的に除去される範囲(すなわち1より大きい値)で適宜設定してよい。
 工程ST220は、第1領域RM1が所与の深さ除去されるまで、又は、現像により形成される開口が所与のアスペクト比になるまで実行されてよい。当該所与の深さ又はアスペクト比は、第1領域RMの露光反応の進行度合いに基づいて(一例では第1部分RM1a及びや第2部分RM1bの厚さに基づいて)設定されてよい。一実施形態において、工程ST220は、第1領域RM1の第2部分RM1bが除去される直前まで、又は一部除去されるまで実行されてよい。
 図14は、工程ST220の処理後の基板Wの断面構造の一例を示す図である。図14に示す例では、レジスト膜RMのうち第1領域RM1が第2領域RM2に対して選択的に除去され、第1領域の第2部分RM1bの上面が露出している。
(工程ST222:第2の選択比で現像)
 工程ST222において、現像ガスを含む処理ガスがガスノズル141を介して処理チャンバ102内に供給される。現像ガスは、工程ST220で用いる現像ガスと同一でも異なっていてもよい。これにより、レジスト膜RMの第1領域RM1が第2領域RM2に対して選択的にエッチングされる。
 工程ST222では、レジスト膜RMの第1領域RM1が、第2領域RM2に対して第1の選択比と異なる第2の選択比で除去される。選択比を第1の選択比と異ならせることは、例えば、基板W又は基板支持部11の設定温度、処理チャンバ102内の圧力、処理ガスの種類や濃度(分圧)等の現像条件のいずれか1つ以上を、工程ST220から変更することで行ってよい。
 一実施形態において、第2の選択比は第1の選択比より高い。例えば、工程ST122において、以下の(I)~(IV)のいずれか1つ以上を実行することで、第2の選択比を第1の選択比よりも高くしてよい。
 (I)工程ST222において、基板W又は基板支持部121の設定温度を、工程ST220よりも低くする。
 (II)工程ST222において、処理チャンバ102内の圧力を、工程ST220よりも高くする。
 (III)工程ST222において、現像ガスの酸性度を工程ST220における現像ガスの酸性度よりも大きくする。
 (IV)工程ST222において、処理ガス中の現像ガスの濃度(分圧)を工程ST220における処理ガス中の現像ガスの濃度(分圧)よりも高くする。
 工程ST222は、第1領域RM1が除去されて、下地膜UFが露出するまで実行されてよい。工程ST222は、下地膜UFの深さ方向に一部除去(オーバーエッチング)されるまで行ってもよい。
 図15は、工程ST222の処理後の基板Wの断面構造の一例を示す図である。図15に示す例では、レジスト膜RMの第1領域RM1が除去され、開口OPが形成されている。開口OPは、第2領域RM2の側面によって規定される。開口OPは、当該側面に囲まれた、下地膜UF上の空間である。開口OPは、基板Wの平面視において、第1領域RM1に対応する形状(結果的にEUV露光に用いた露光マスクの開口に対応する形状)を有する。当該形状は、例えば、円、楕円、矩形、線やこれらの1種類以上を組み合わせた形状であってよい。レジスト膜RMには、複数の開口OPが形成されてよい。複数の開口OPは、それぞれ穴形状を有し、一定の間隔で配列されたアレイパターンを構成してよい。また、複数の開口OPは、それぞれ線形状を有し、一定の間隔で並んでラインアンドスペースのパターンを構成してもよい。
 第2の方法によれば、現像処理により、露光されていない第2領域RM2からなる現像パターンを形成することができる。これにより、第1の方法とは異なるパターン(例えばホールアレイパターン)をレジスト膜RMに形成し得る。また第2の方法は、第1の選択比で現像を行う工程ST220と、第1の選択比と異なる第2の選択比で現像を行う工程ST222とを含む。これにより現像パターンの形状を調整することができる。またレジスト膜RMにおいて、露光反応の強度が厚さ方向に異なる場合であっても、第2領域RM2に対して第1領域RM1を適切な選択比で除去することができ、パターン形状やラフネスの悪化を抑制し得る。
 また工程ST22における現像処理は、工程ST12と同様、プラズマ処理装置システム(図2及び図3参照)及び/又は液処理システム(図4参照)を用いて実行されてよい。また熱処理システムやプラズマ処理システムを用いて、基板Wをドライ現像する場合、第2の方法は、第1の方法と同様に、脱離工程を含んでよい。脱離工程は、工程ST22の後で実行されてよく、また、工程ST22における現像と現像の間に1又は複数回繰り返して実行されてよい。
 一実施形態において、工程ST22における現像処理は、熱処理とプラズマ処理との双方で行われてもよい。例えば、工程ST220を熱処理で実行し、工程ST222をプラズマ処理で実行してもよく、工程ST220をプラズマ処理で実行し、工程ST222を熱処理で実行してもよい。
 一実施形態において、工程ST22において、工程ST220と工程ST222とを含むサイクルを複数回繰り返してよい。この場合、工程ST220と工程ST222のサイクルをドライ現像のみで複数回繰り返してよく、またウェット現像のみで複数回繰り返してもよい。また工程ST220と工程ST222のサイクルをウェット現像で1回以上行った後、工程ST220と工程ST222のサイクルをドライ現像で1回以上行ってよい。またウェット現像により行う工程ST220とドライ現像により行う工程ST222のサイクルを複数回繰り返してもよい。なお、上記のとおり、工程ST220と工程ST222のサイクルを複数回繰り返す場合において、工程ST220及び/又は工程ST222の現像条件を、ある一つ又は複数のサイクルと他の一つ又は複数のサイクルとで異ならせてよい。例えば工程ST220における基板支持部の温度を、第1の深さまで現像する1又は複数のサイクルよりも、第1の深さより深い第2の深さまで現像する1又は複数のサイクルにおいて低くしてよい。
 一実施形態において、工程ST22の後で、下地膜UFがエッチング処理される。エッチング処理は、例えば、プラズマ処理装置1の処理チャンバ10内で処理ガスからプラズマ生成して行われてよい。エッチング処理において、レジスト膜RMがマスクとして機能し、開口OPの形状に基づいて下地膜UFに凹部が形成される。なお、工程ST22でプラズマ処理装置1を用いて現像を行う場合、エッチング処理は、工程ST22と同一の処理チャンバ10内で連続して実行されてよく、また別のプラズマ処理装置1の処理チャンバ10内で実行されてもよい。
<基板処理システムの構成例>
 図16は、例示的な実施形態にかかる基板処理システムSSの構成例を説明するためのブロック図である。基板処理システムSSは、第1のキャリアステーションCS1と、第1の処理ステーションPS1と、第1のインターフェイスステーションIS1と、露光装置EXと、第2のインターフェイスステーションIS2と、第2の処理ステーションPS2と、第2のキャリアステーションCS2と、制御部CTとを備える。
 第1のキャリアステーションCS1は、第1のキャリアステーションCS1と基板処理システムSSの外部のシステムとの間で第1のキャリアC1の搬入及び搬出を行う。第1のキャリアステーションCS1は、複数の第1の載置板ST1を含む載置台を有する。各第1の載置板ST1上には、複数枚の基板Wを収容した状態又は空の状態の第1のキャリアC1が載置される。第1のキャリアC1は、複数枚の基板Wを内部に収容可能な筐体を有する。第1のキャリアC1は、一例では、FOUP(Front Opening Unified Pod)である。
 また、第1のキャリアステーションCS1は、第1のキャリアC1と第1の処理ステーションPS1との間で基板Wの搬送を行う。第1のキャリアステーションCS1は、第1の搬送装置HD1を更に備える。第1の搬送装置HD1は、第1のキャリアステーションCS1において、載置台と第1の処理ステーションPS1との間に位置するように、設けられている。第1の搬送装置HD1は、各第1の載置板ST1上の第1のキャリアC1と、第1の処理ステーションPS1の第2の搬送装置HD2との間で基板Wの搬送及び受け渡しを行う。基板処理システムSSは、ロードロックモジュールを更に備えてよい。ロードロックモジュールは、第1のキャリアステーションCS1と第1の処理ステーションPS1との間に設けられ得る。ロードロックモジュールは、その内部の圧力を、大気圧又は真空に切り替えることができる。「大気圧」は、第1の搬送装置HD1の内部の圧力でありうる。「真空」は、大気圧よりも低い圧力であって、例えば0.1Pa~100Paの中真空であり得る。第2の搬送装置HD2の内部は大気圧又は真空であり得る。ロードロックモジュールは、例えば、大気圧である第1の搬送装置HD1から真空である第2の搬送装置HD2へ基板Wを搬送し、また真空である第2の搬送装置HD2から大気圧である第1の搬送装置HD1へ基板Wを搬送してよい。
 第1の処理ステーションPS1は、基板Wに対して各種処理を行う。一実施形態において、第1の処理ステーションPS1は、前処理モジュールPM1、レジスト膜形成モジュールPM2及び第1の熱処理モジュールPM3(以下あわせて「第1の基板処理モジュールPMa」ともいう。)を備える。また、第1の処理ステーションPS1は、基板Wを搬送する第2の搬送装置HD2を有する。第2の搬送装置HD2は、指定された2つの第1の基板処理モジュールPMaの間、及び、第1の処理ステーションPS1と第1のキャリアステーションCS1又は第1のインターフェイスステーションIS1との間で基板Wの搬送及び受け渡しを行う。
 前処理モジュールPM1において、基板Wに前処理が施される。一実施形態において、前処理モジュールPM1は、基板Wの温度を調整する温度調整ユニット、基板Wの温度を高精度に調整する高精度温調ユニット等を含む。一実施形態において、前処理モジュールPM1は、基板Wに表面改質処理を行う表面改質処理ユニットを含む。前処理モジュールPM1の各処理ユニットは、熱処理装置100(図1参照)、プラズマ処理装置1(図2及び図3参照)及び/又は液処理装置300(図4参照)を含んで構成されてよい。
 レジスト膜形成モジュールPM2において、基板Wにレジスト膜が形成される。一実施形態において、レジスト膜形成モジュールPM2は、ドライコーティングユニットを備える。ドライコーティングユニットは、気相堆積法等のドライプロセスを使用して基板W上にレジスト膜を形成する。ドライコーティングユニットは、一例では、チャンバ内に配置された基板W上に、レジスト膜を化学蒸着させるCVD装置若しくはALD装置又はレジスト膜を物理蒸着させるPVD装置を含む。ドライコーティングユニットは、熱処理装置100(図1参照)又はプラズマ処理装置1(図2及び図3参照)であってもよい。
 一実施形態において、レジスト膜形成モジュールPM2は、ウェットコーティングユニットを備える。ウェットコーティングユニットは、液相堆積法等のウェットプロセスを使用して基板W上にレジスト膜を形成する。ウェットコーティングユニットは、一例では、液処理装置300(図4参照)であってよい。
 一実施形態において、レジスト膜形成モジュールPM2の例は、ウェットコーティングユニットとドライコーティングユニットの双方を含む。
 第1の熱処理モジュールPM3において、基板Wに熱処理が施される。一実施形態において、第1の熱処理モジュールPM3は、レジスト膜が形成された基板Wに加熱処理を行うプリベーク(PAB)ユニット、基板Wの温度を調整する温度調整ユニット及び基板Wの温度を高精度に調整する高精度温調ユニットのいずれか1つ以上を含む。これらの各ユニットは、それぞれ1又は複数の熱処理装置を有してよい。一例において、複数の熱処理装置は積層されていてよい。熱処理装置は、例えば、熱処理装置100(図1参照)であってよい。それぞれの熱処理は所定温度で所定のガスを用いて行われてよい。
 第1のインターフェイスステーションIS1は、第3の搬送装置HD3を有する。第3の搬送装置HD3は、第1の処理ステーションPS1と露光装置EXとの間で基板Wの搬送及び受け渡しを行う。第3の搬送装置HD3は、基板Wを収容する筐体を有し、当該筐体内の温度、湿度、圧力等が制御可能に構成されてよい。
 露光装置EXは、露光マスク(レチクル)を用いて基板W上のレジスト膜を露光する。露光装置EXは、例えば、EUV光を発生する光源を有するEUV露光装置でよい。
 第2のインターフェイスステーションIS2は、第4の搬送装置HD4を有する。第4の搬送装置HD4は、露光装置EXと第2の処理ステーションPS2との間で基板Wの搬送や受け渡しを行う。第4の搬送装置HD4は、基板Wを収容する筐体を有し、当該筐体内の温度、湿度、圧力等が制御可能に構成されてよい。
 第2の処理ステーションPS2は、基板Wに対して各種処理を行う。一実施形態において、第2の処理ステーションPS2は、第2の熱処理モジュールPM4、測定モジュールPM5、現像モジュールPM6及び第3の熱処理モジュールPM7(以下あわせて「第2の基板処理モジュールPMb」ともいう。)を備える。また、第2の処理ステーションPS2は、基板Wを搬送する第5の搬送装置HD5を有する。第5の搬送装置HD5は、指定された2つの第2の基板処理モジュールPMbの間、及び、第2の処理ステーションPS2と第2のキャリアステーションCS2又は第2のインターフェイスステーションIS2との間で基板Wの搬送及び受け渡しを行う。
 第2の熱処理モジュールPM4において、基板Wに熱処理が施される。一実施形態において、熱処理モジュールPM4は、露光後の基板Wに加熱処理をするポストエクスポージャーベーク(PEB)ユニット、基板Wの温度を調整する温度調整ユニット及び基板Wの温度を高精度に調整する高精度温調ユニットのいずれか1つ以上を含む。これらの各ユニットは、それぞれ1又は複数の熱処理装置を有してよい。一例において、複数の熱処理装置は積層されていてよい。熱処理装置は、例えば、熱処理装置100(図1参照)であってよい。それぞれの熱処理は所定温度で所定のガスを用いて行われてよい。
 測定モジュールPM5において、基板Wに対して各種測定が行われる。一実施形態において、測定モジュールPM5は、基板Wを載置する載置台、撮像装置、照明装置及び各種センサ(温度センサ、反射率測定センサ等)を含む撮像ユニットを備える。撮像装置は、例えば、基板Wの外観を撮像するCCDカメラでよい。或いは、撮像装置は、光を波長ごとに分光して撮影するハイパースペクトルカメラでもよい。ハイパースペクトルカメラは、レジスト膜のパターン形状、寸法、膜厚、組成及び膜密度のいずれか1つ以上を測定し得る。
 現像モジュールPM6において、基板Wに現像処理が施される。一実施形態において、現像モジュールPM6は基板Wに対するドライ現像を行うドライ現像ユニットを備える。ドライ現像ユニットは、例えば、熱処理装置100(図1参照)又はプラズマ処理装置1(図2及び図3参照)であってよい。一実施形態において、現像モジュールPM6は基板Wをウェット現像するウェット現像ユニットを備える。ウェット現像ユニットは、例えば、液処理装置300(図4)であってよい。一実施形態において、現像モジュールPM6は、ドライ現像ユニットとウェット現像ユニットの双方を備える。
 第3の熱処理モジュールPM7において、基板Wに熱処理が施される。一実施形態において、第3の熱処理モジュールPM7は、現像後の基板Wに加熱処理をするポストベーク(Post Bake:PB)ユニット、基板Wの温度を調整する温度調整ユニット及び基板Wの温度を高精度に調整する高精度温調ユニットのいずれか1つ以上を含む。これらの各ユニットは、それぞれ1又は複数の熱処理装置を有してよい。一例において、複数の熱処理装置は積層されていてよい。熱処理装置は、例えば、熱処理装置100(図1参照)であってよい。それぞれの熱処理は所定温度で所定のガスを用いて行われてよい。
 第2のキャリアステーションCS2は、第2のキャリステーションCS2と基板処理システムSSの外部のシステムとの間で第2のキャリアC2の搬入及び搬出を行う。第2のキャリアステーションCS2の構成及び機能は、上述した第1のキャリステーションCS1と同様であってよい。
 制御部CTは、基板処理システムSSの各構成を制御して、基板Wに所与の処理を実行する。制御部CTは、プロセスの手順、プロセスの条件、搬送条件等が設定されたレシピを格納しており、当該レシピに従って、基板Wに所与の処理を実行するように、基板処理システムSSの各構成を制御する。制御部CTは、各制御部(図1~図4に示す制御部200及び制御部2、並びに制御部400)の一部又は全部の機能を兼ねてよい。
<基板処理方法の一例>
 図17は、例示的な実施形態にかかる基板処理方法(以下「方法MT」ともいう。)を示すフローチャートである。図17に示すように、方法MTは、基板に前処理を施す工程ST100と、基板にレジスト膜を形成する工程ST200と、レジスト膜が形成された基板に加熱処理(プリベーク:PAB)を施す工程ST300と、基板に対するEUV露光を行う工程ST400と、露光後の基板に加熱処理(ポストエクスポージャーベーク:PEB)を施す工程ST500と、基板の測定を行う工程ST600と、基板のレジスト膜を現像する工程ST700と、現像後の基板に加熱処理(ポストベーク:PB)を施す工程ST800と、基板をエッチングする工程ST900と、を含む。方法MTは、上記各工程の1つ以上を含まなくてよい。例えば、方法MTは、工程ST600を含まなくてよく、工程ST500の後で工程ST700が実行されてよい。
 方法MTは、図16に示す基板処理システムSSを用いて実行されてよい。以下では、基板処理システムSSの制御部CTが基板処理システムSSの各部を制御して、基板Wに対して方法MTを実行する場合を例に説明する。
(工程ST100:前処理)
 まず、複数の基板Wを収容した第1のキャリアC1が、基板処理システムSSの第1のキャリアステーションCS1に搬入される。第1のキャリアC1は、第1の載置板ST1上に載置される。次に第1の搬送装置HD1により、第1のキャリアC1内の各基板Wが順次取り出され、第1の処理ステーションPS1の第2の搬送装置HD2に受け渡される。基板Wは、第2の搬送装置HD2により、前処理モジュールPM1に搬送される。前処理モジュールPM1により、基板Wに前処理が行われる。前処理は、例えば、基板Wの温度調整、基板Wの下地膜の一部又は全部の形成、基板Wの加熱処理及び基板Wの高精度温度調整の1つ以上を含んでよい。前処理は、基板Wの表面改質処理を含んでもよい。
(工程ST200:レジスト膜形成)
 次に、基板Wは、第2の搬送装置HD2により、レジスト膜形成モジュールPM2に搬送される。レジスト膜形成モジュールPM2により、基板W上にレジスト膜が形成される。一実施形態において、レジスト膜の形成は、液相堆積法等のウェットプロセスで行われる。例えば、レジスト膜形成モジュールPM2のウェットコーティングユニットを用いて、基板W上にレジスト膜をスピンコーティングすることで、レジスト膜が形成される。一実施形態において、基板Wへのレジスト膜の形成は、気相堆積法等のドライプロセスにより行われる。例えば、レジスト膜形成モジュールPM2のドライコーティングユニットを用いて、基板W上にレジスト膜を蒸着することで、レジスト膜が形成される。
 なお、基板Wへのレジスト膜の形成は、ドライプロセスとウェットプロセスの双方を用いて行われてもよい。例えば、ドライプロセスにより基板Wに第1のレジスト膜を形成した後で、ウェットプロセスにより第1のレジスト膜上に第2のレジスト膜を形成してよい。この場合、第1のレジスト膜と第2のレジスト膜の膜厚、材料及び/又は組成は、同一でも異なってもよい。
(工程ST300:PAB)
 次に、基板Wは、第2の搬送装置HD2により、第1の熱処理モジュールPM3に搬送される。第1の熱処理モジュールPM3により、基板Wに加熱処理(プリベーク:PAB)が施される。プリベークは、大気雰囲気で行ってもよく、不活性雰囲気でおこなってもよい。また、プリベークは、基板Wを50℃以上又は80℃以上に加熱することにより行ってよい。基板Wの加熱温度は、250℃以下、200℃以下又は150℃以下であってよい。一例において、基板の加熱温度は50℃以上、250℃以下であってよい。工程ST200においてドライプロセスでレジスト膜を形成する場合、一実施形態において、プリベークは工程ST200を実行したドライコーティングユニットで連続して実行されてよい。一実施形態において、プリベーク後に、基板Wの端部のレジスト膜を除去する処理(Edge Bead Removal:EBR)が施されてよい。
(工程ST400:EUV露光)
 次に、基板Wは、第2の搬送装置HD2により、第1のインターフェイスステーションIS1の第3の搬送装置HD3に受け渡される。そして基板Wは、第3の搬送装置HD3により、露光装置EXに搬送される。基板Wは、露光装置EXにおいて露光マスク(レチクル)を介してEUV露光を受ける。これにより、基板Wには、露光マスク(レチクル)のパターンに対応して、EUV露光がなされた第1領域と、EUV露光がなされていない第2領域とが形成される。
(工程ST500:PEB)
 次に、基板Wは、第2のインターフェイスステーションIS2の第4の搬送装置HD4から第2の処理ステーションPS2の第5搬送装置HD5に受け渡される。そして基板Wは、第5の搬送装置HD5により、第2の熱処理モジュールPM4に搬送される。そして、基板Wには、第2の熱処理モジュールPM4において加熱処理(ポストエクスポージャーベーク:PEB)が施される。ポストエクスポージャーベークは、大気雰囲気で行ってよい。また、ポストエクスポージャーベークは、基板Wを180℃以上、250℃以下に加熱することにより行ってよい。 
(工程ST600:測定)
 次に、基板Wは、第5の搬送装置HD5により測定モジュールPM5に搬送される。測定モジュールPM5により、基板Wの測定が行われる。測定は、光学的な測定であってもよく、他の測定であってもよい。一実施形態において、測定モジュールPM5による測定はCCDカメラを用いた基板Wの外観及び/又は寸法の測定を含む。一実施形態において、測定モジュールPM5による測定はハイパースペクトルカメラを用いたレジスト膜のパターン形状、寸法、膜厚、組成、膜密度のいずれか1つ以上(以下「パターン形状等」ともいう。)の測定を含む。
 一実施形態において、制御部CTは、測定された基板Wの外観や寸法、及び/又は、パターン形状等に基づいて、当該基板Wの露光異常の有無を判定する。一実施形態において、制御部CTにおいて露光異常があると判定された場合、工程ST700による現像行うことなく、基板Wのリワークまたは破棄が行われてよい。基板Wのリワークは、基板W上のレジストを除去し、再度、工程ST200に戻ってレジスト膜を形成することで行なってよい。現像後のリワークは基板Wへの損傷を伴うことがあるが、現像前にリワークを行うことで、基板Wへの損傷を回避又は抑制し得る。
(工程ST700:現像)
 次に、基板Wは、第5の搬送装置HD5により、現像モジュールPM6に搬送される。現像モジュールPM6において、基板Wのレジスト膜が現像される。現像処理は、ドライ現像で行ってよく、またウェット現像で行ってもよい。現像処理は、ドライ現像とウェット現像を組み合わせて行ってもよい。工程ST700における現像処理は、第1の方法(図5、図11参照)や第2の方法(図12参照)で行われてよい。現像処理の後で又は現像処理の間に、脱離(desorption)処理が1回以上実行されてよい。脱離処理は、ヘリウム等の不活性ガス又は当該不活性ガスのプラズマにより、レジスト膜の表面からスカムを除去する(descum)又は表面を滑らかにする(smoothing)ことを含む。また、現像モジュールPM6では、現像処理の後に、現像されたレジスト膜をマスクとして、下地膜の一部をエッチングしてよい。
(工程ST800:PB)
 次に、基板Wは、第5の搬送装置HD5により、第3の熱処理モジュールPM7に搬送され、加熱処理(ポストベーク)が施される。ポストベークは、大気雰囲気で行ってよく、N又はOを含む減圧雰囲気で行ってもよい。また、ポストベークは、基板Wを150℃以上250℃以下に加熱することにより行ってよい。ポストベークは、第3の熱処理モジュールPM7に代えて、第2の熱処理モジュールPM4で行ってもよい。一実施形態において、ポストベーク後に、測定モジュールPM4PM5により基板Wの光学的な測定がされてよい。かかる測定は、工程ST600における測定に加えてまたは工程ST600における測定に代えて実行されてよい。一実施形態において、制御部CTは、測定された基板Wの外観や寸法、及び/又は、パターン形状等に基づいて、当該基板Wの現像パターンの欠陥、傷、異物の付着等の異常の有無等を判定する。一実施形態において、制御部CTにおいて異常があると判定された場合、工程ST900によるエッチングを行うことなく、基板Wのリワークまたは破棄が行われてよい。一実施形態において、制御部CTにおいて異常があると判断された場合、ドライコーティングユニット(CVD装置、ALD装置等)を用いて基板Wのレジスト膜の開口寸法が調整されてよい。
(工程ST900:エッチング)
 工程ST800の実行後、基板Wは、第5の搬送装置HD5により第2のキャリアステーションCS2の第6の搬送装置HD6に受け渡され、第6の搬送装置HD6により第2の載置板ST2の第2のキャリアC2に搬送される。その後、第2のキャリアC2はプラズマ処理システム(図示せず)に搬送される。プラズマ処理システムは、例えば、図2及び図3で示したプラズマ処理システムであってよい。プラズマ処理システムにおいて、現像後のレジスト膜をマスクとして基板Wの下地膜UFがエッチングされる。以上により、方法MTが終了する。なお、工程ST700において、プラズマ処理装置を用いてレジスト膜を現像する場合、エッチングは、当該プラズマ処理装置のプラズマ処理チャンバ内で続けて実行されてよい。また、第2の処理ステーションPS2が、現像モジュールPM6に加えて、プラズマ処理モジュールを備える場合には、エッチングは、当該プラズマ処理モジュール内で実行されてもよい。エッチングの前に又はエッチングの間に上述した脱離処理が1回以上実行されてよい。
 本開示の実施形態は、以下の態様をさらに含む。
(付記1)
 基板処理方法であって、
 (a)下地膜と前記下地膜上の金属含有レジスト膜とを有する基板を基板支持部上に提供する工程であって、前記金属含有レジスト膜は、第1領域と第2領域とを含む、工程と、
 (b)前記金属含有レジスト膜を現像して当該金属含有レジスト膜から前記第2領域を選択的に除去する工程と、を含み、
 前記(b)の工程は、
  (b1)前記第1領域に対して前記第2領域を、第1の選択比で除去する工程と、
  (b2)前記第1領域に対して前記第2領域を、前記第1の選択比と異なる第2の選択比でさらに除去する工程と、を含む、
基板処理方法。
(付記2)
 前記第1領域は、露光された領域であり、前記第2領域は露光されていない領域である、付記1に記載の基板処理方法。
(付記3)
 前記第2の選択比は、前記第1の選択比よりも高い、付記1又は付記2に記載の基板処理方法。
(付記4)
 前記(b)の工程において、前記現像はウェット現像により行われ、
 前記(b)の工程は、
  (I)前記(b2)の工程で用いる現像液に対する前記金属含有レジスト膜の溶解度は、前記(b1)の工程で用いる現像液に対する前記金属含有レジスト膜の溶解度よりも低いこと、
  (II)前記(b2)の工程で用いる現像液の濃度は、前記(b1)の工程で用いる現像液の濃度よりも低いこと、及び、
  (III)前記(b2)の工程で用いる現像液の温度は、前記(b1)の工程で用いる現像液の温度よりも低いこと、
の少なくとも1つを満たす、付記1から付記3のいずれか1つに記載の基板処理方法。
(付記5)
 前記(b)の工程において、前記現像はチャンバ内でドライ現像により行われ、
 前記(b)の工程は、
  (I)前記(b2)の工程における前記基板支持部の温度は、前記(b1)の工程における前記基板支持部の温度よりも低いこと、
  (II)前記(b2)の工程におけるチャンバ内の圧力は、前記(b1)の工程におけるチャンバ内の圧力よりも低いこと、
  (III)前記(b2)の工程で用いる第2の現像ガスの酸性度は、前記(b1)の工程で用いる第1の現像ガスの酸性度よりも小さいこと、及び、
  (IV)前記(b2)の工程で用いる第2の現像ガスの濃度は、前記(b1)の工程で用いる第1の現像ガスの濃度よりも低いこと、
の少なくとも1つを満たす、付記1から付記3のいずれか1つに記載の基板処理方法。
(付記6)
 前記(b1)は、第1の現像ガスを含む第1の処理ガスを用いたドライ現像により行われ、
 前記(b2)は、第2の現像ガスを含む第2の処理ガスを用いたドライ現像により行われ、
 前記(b)の工程は、
  (I)前記(b2)の工程における前記基板支持部の温度は、前記(b1)の工程における前記基板支持部の温度よりも低いこと、
  (II)前記(b2)の工程におけるチャンバ内の圧力は、前記(b1)の工程におけるチャンバ内の圧力よりも低いこと、
  (III)前記第2の現像ガスの酸性度は、前記第1の現像ガスの酸性度よりも小さいこと、
  (IV)前記第2の現像ガスの濃度は、前記第1の現像ガスの濃度よりも低いこと、及び、
  (V)前記第2の処理ガスは、前記(b1)の工程及び前記(b2)の工程で露出した前記第1領域の側壁を保護する保護ガスを含み、前記第1の処理ガスは、前記保護ガスを含まないか、前記第2の処理ガスに含まれる前記保護ガス(の分圧)よりも低い分圧で前記保護ガスを含むこと、
の少なくとも1つを満たす、付記1から付記3のいずれか1つに記載の基板処理方法。
(付記7)
 前記(b)の工程において、前記現像はチャンバ内で生成したプラズマを用いたドライ現像により行われ、
 前記(b)の工程は、
  (I)前記(b2)の工程において前記チャンバに供給されるプラズマ生成用のソースRF信号の電力のレベルは、前記(b1)の工程におけるソースRF信号の電力のレベルよりも小さいこと、及び、
  (II)前記(b2)の工程において前記チャンバに供給されるバイアス信号の電力又は電圧のレベルは、前記(b1)の工程におけるバイアス信号の電力又は電圧のレベルよりも小さいこと、
の少なくとも1つを満たす、付記1から付記3のいずれか1つに記載の基板処理方法。
(付記8)
 前記(b)の工程は、前記(b1)の工程と前記(b2)の工程との間に、前記第1領域を改質する工程をさらに含む、付記1から付記7のいずれか1つに記載の基板処理方法。
(付記9)
 前記第1領域を改質する工程は、前記基板を加熱又はプラズマ処理する工程を含む、付記8に記載の基板処理方法。
(付記10)
 前記第1領域を改質する工程は、前記(b1)の工程と同一のチャンバで実行される、付記8又は付記9に記載の基板処理方法。
(付記11)
 前記第1領域を改質する工程は、前記(b1)の工程と異なるチャンバで実行される、付記8又は付記9に記載の基板処理方法。
(付記12)
 前記(b1)の工程において、前記現像はウェット現像により行われ、前記(b2)の工程において、前記現像はドライ現像により行われる、付記1から付記3のいずれか1つに記載の基板処理方法。
(付記13)
 前記(b)の工程において、前記(b1)の工程及び前記(b2)の工程を含むサイクルが複数回繰り返される、付記1から付記12のいずれか1つに記載の基板処理方法。
(付記14)
 前記金属含有レジスト膜は、Sn、Hf及びTiからなる群から選択される少なくとも1種の金属を含む、付記1から付記13のいずれか1つに記載の基板処理方法。
(付記15)
 前記第1領域はEUV露光されている、付記1から付記14のいずれか1項に記載の基板処理方法。
(付記16)
 前記(b1)の工程から前記(b2)の工程への切り替えは、前記現像により前記金属含有レジスト膜に形成される開口の深さ又はアスペクト比に基づいて行われる、付記1から付記15のいずれか1つに記載の基板処理方法。
(付記17)
 前記第1領域は、第1部分と、第1部分の下方で前記下地膜上の第2部分とを含み、
 前記(b1)の工程は、前記第2部分が露出する直前まで、又は前記第2部分の一部が露出するまで実行される、付記1から付記16のいずれか1つに記載の基板処理方法。
(付記18)
 (c)前記(b)の工程の後に、前記金属含有レジスト膜をマスクとして、前記下地膜をエッチングする工程をさらに含む、付記1から付記17のいずれか1つに記載の基板処理方法。
(付記19)
 前記(b1)の工程の後かつ前記(b2)の工程の前に、前記(b1)の工程で生じた前記1領域又は前記第2領域の残渣を除去する工程と、
 前記(b2)の工程の後かつ前記(c)の工程の前に、前記(b1)及び/又は前記(b2)で生じた前記第1領域又は前記第2領域の残渣を除去する工程と、
の少なくとも一方を更に含む、付記18に記載の基板処理方法。
(付記20)
 前記(c)の工程は、前記(b)の工程で用いたチャンバと同一のチャンバで実行される、付記18又は付記19に記載の基板処理方法。
(付記21)
 前記(c)の工程は、前記(b)の工程で用いたチャンバと異なるチャンバで実行される、付記18又は付記19に記載の基板処理方法。
(付記22)
 基板処理方法であって、
 (a)下地膜と前記下地膜上に形成された金属含有レジスト膜とを有する基板を基板支持部上に提供する工程であって、前記金属含有レジスト膜は露光された第1領域と露光されていない第2領域とを有する工程と、
 (b)前記金属含有レジスト膜をドライ現像して当該金属含有レジスト膜から前記第2領域を選択的に除去する工程と、を含み、
 前記(b)の工程は、
  (b1)前記基板支持部の温度を、第1の温度に制御して、前記第2領域を除去する工程と、
  (b2)前記基板支持部の温度を、前記第1の温度よりも低い第2の温度に制御して、前記第2領域を除去する工程と、を含む、
基板処理方法。
(付記23)
 前記(b)の工程は、HBrを用いて前記ドライ現像する工程であり、前記第1の温度は、20℃以上60℃以下であり、前記第2の温度は、-20℃以上20℃以下である、付記22に記載の基板処理方法。
(付記24)
 基板処理方法であって、
 (a)下地膜と前記下地膜上に形成された金属含有レジスト膜とを有する基板を基板支持部上に提供する工程であって、前記金属含有レジスト膜は露光された第1領域と露光されていない第2領域とを有する工程と、
 (b)前記金属含有レジスト膜をドライ現像して当該金属含有レジスト膜から前記第2領域を選択的に除去する工程と、を含み、
 前記(b)の工程は、
  (b1)第1の処理ガスを用いて、前記第2領域を除去する工程と、
  (b2)前記第1の処理ガスよりも酸性度が小さい第2の処理ガスを用いて、前記第2領域を除去する工程と、を含む、
基板処理方法。
(付記25)
 前記第1の処理ガスは、ハロゲン含有無機酸を含み、
 前記第2の処理ガスは、有機酸を含む、
付記24に記載の基板処理方法。
(付記26)
 前記第1の処理ガスは、ハロゲン含有無機酸と、前記ハロゲン含有無機酸よりも低流量の有機酸とを含み、
 前記第2の処理ガスは、ハロゲン含有無機酸と、前記ハロゲン含有無機酸よりも高流量の有機酸とを含む、
付記24又は付記25に記載の基板処理方法。
(付記27)
 前記ハロゲン含有無機酸は、HBrガス、HClガス、BClガス、及びHFガス及びHIガスからなる群から選択される少なくとも1種を含む、付記25又は付記26に記載の基板処理方法。
(付記28)
 前記有機酸は、カルボン酸、β-ジカルボニル化合物及びアルコールからなる群から選択される少なくとも1種を含む、付記25から付記27のいずれか1つに記載の基板処理方法。
(付記29)
 前記(b)の工程は、
  (I)前記(b2)の工程における前記基板支持部の温度は、前記(b1)の工程における前記基板支持部の温度よりも低いこと、及び
  (II)前記(b2)の工程におけるチャンバ内の圧力は、前記(b1)の工程におけるチャンバ内の圧力よりも低いこと
の少なくとも1つを満たす、付記24から付記28のいずれか1つに記載の基板処理方法。
(付記30)
 前記(b)の工程において、前記(b1)の工程と前記(b2)の工程とが繰り返される、付記24から付記29のいずれか1つに記載の基板処理方法。
(付記31)
 前記(b)の工程において、前記(b1)の工程と前記(b2)の工程とを含むサイクルが1回以上実施された後、さらに前記(b1)の工程が実施される、付記24から付記30のいずれか1つに記載の基板処理方法。
(付記32)
 前記(b)の工程は、前記(b1)の工程と前記(b2)の工程とを含むサイクルがプラズマを用いずに1回以上に実施された後に、前記第1の処理ガス及び/又は前記第2の処理ガスから生成したプラズマを用いて前記第2領域を除去する工程を含む、
付記24から付記31のいずれか1つに記載の基板処理方法。
(付記33)
 1又は複数の基板処理装置と制御部とを有する基板処理システムであって、
 前記制御部は、前記1又は複数の基板処理装置に対して、
 (a)下地膜と前記下地膜上の金属含有レジスト膜とを有する基板を基板支持部上に提供する制御であって、前記金属含有レジスト膜は第1領域と第2領域とを含む、制御と、
 (b)前記金属含有レジスト膜を現像して当該金属含有レジスト膜から前記第2領域を選択的に除去する制御と、を実行するように構成され、
 前記(b)の制御は、
  (b1)前記第1領域に対して前記第2領域を、第1の選択比で除去する制御と、
  (b2)前記第1領域に対して前記第2領域を、前記第1の選択比と異なる第2の選択比でさらに除去する制御と、を含む、
基板処理システム。
 以上の各実施形態は、説明の目的で記載されており、本開示の範囲を限定することを意図するものではない。各実施形態は、本開示の範囲及び趣旨から逸脱することなく種々の変形をなし得る。例えば、ある実施形態における一部の構成要素を、他の実施形態に追加することができる。また、ある実施形態における一部の構成要素を、他の実施形態の対応する構成要素と置換することができる。
1……プラズマ処理装置、2……制御部、10……プラズマ処理チャンバ、1……基板支持部、20……ガス供給部、30……電源、100……熱処理装置、102……処理チャンバ、120……ステージヒータ、121……基板支持部、141……ガスノズル、200……制御部、300……液処理装置、311……スピンチャック、321……カップ、331……処理液供給ノズル、351……洗浄液供給ノズル、400……制御部、OP……開口、RM……レジスト膜、RM1……第1領域、RM2……第2領域、UF……下地膜、W……基板

Claims (33)

  1.  基板処理方法であって、
     (a)下地膜と前記下地膜上の金属含有レジスト膜とを有する基板を基板支持部上に提供する工程であって、前記金属含有レジスト膜は、第1領域と第2領域とを含む、工程と、
     (b)前記金属含有レジスト膜を現像して当該金属含有レジスト膜から前記第2領域を選択的に除去する工程と、を含み、
     前記(b)の工程は、
      (b1)前記第1領域に対して前記第2領域を、第1の選択比で除去する工程と、
      (b2)前記第1領域に対して前記第2領域を、前記第1の選択比と異なる第2の選択比でさらに除去する工程と、を含む、
    基板処理方法。
  2.  前記第1領域は露光された領域であり、前記第2領域は露光されていない領域である、請求項1に記載の基板処理方法。
  3.  前記第2の選択比は、前記第1の選択比よりも高い、請求項1に記載の基板処理方法。
  4.  前記(b)の工程において、前記現像はウェット現像により行われ、
     前記(b)の工程は、
      (I)前記(b2)の工程で用いる現像液に対する前記金属含有レジスト膜の溶解度は、前記(b1)の工程で用いる現像液に対する前記金属含有レジスト膜の溶解度よりも低いこと、
      (II)前記(b2)の工程で用いる現像液の濃度は、前記(b1)の工程で用いる現像液の濃度よりも低いこと、及び、
      (III)前記(b2)の工程で用いる現像液の温度は、前記(b1)の工程で用いる現像液の温度よりも低いこと、
    の少なくとも1つを満たす、請求項1に記載の基板処理方法。
  5.  前記(b)の工程において、前記現像はチャンバ内でドライ現像により行われ、
     前記(b)の工程は、
      (I)前記(b2)の工程における前記基板支持部の温度は、前記(b1)の工程における前記基板支持部の温度よりも低いこと、
      (II)前記(b2)の工程におけるチャンバ内の圧力は、前記(b1)の工程におけるチャンバ内の圧力よりも低いこと、
      (III)前記(b2)の工程で用いる第2の現像ガスの酸性度は、前記(b1)の工程で用いる第1の現像ガスの酸性度よりも小さいこと、及び、
      (IV)前記(b2)の工程で用いる第2の現像ガスの濃度は、前記(b1)の工程で用いる第1の現像ガスの濃度よりも低いこと、
    の少なくとも1つを満たす、請求項1に記載の基板処理方法。
  6.  前記(b1)は、第1の現像ガスを含む第1の処理ガスを用いたドライ現像により行われ、
     前記(b2)は、第2の現像ガスを含む第2の処理ガスを用いたドライ現像により行われ、
     前記(b)の工程は、
      (I)前記(b2)の工程における前記基板支持部の温度は、前記(b1)の工程における前記基板支持部の温度よりも低いこと、
      (II)前記(b2)の工程におけるチャンバ内の圧力は、前記(b1)の工程におけるチャンバ内の圧力よりも低いこと、
      (III)前記第2の現像ガスの酸性度は、前記第1の現像ガスの酸性度よりも小さいこと、
      (IV)前記第2の現像ガスの濃度は、前記第1の現像ガスの濃度よりも低いこと、及び、
      (V)前記第2の処理ガスは、前記(b1)の工程及び前記(b2)の工程で露出した前記第1領域の側壁を保護する保護ガスを含み、前記第1の処理ガスは、前記保護ガスを含まないか、前記第2の処理ガスに含まれる前記保護ガス(の分圧)よりも低い分圧で前記保護ガスを含むこと、
    の少なくとも1つを満たす、請求項1に記載の基板処理方法。
  7.  前記(b)の工程において、前記現像はチャンバ内で生成したプラズマを用いたドライ現像により行われ、
     前記(b)の工程は、
      (I)前記(b2)の工程において前記チャンバに供給されるプラズマ生成用のソースRF信号の電力のレベルは、前記(b1)の工程におけるソースRF信号の電力のレベルよりも小さいこと、及び、
      (II)前記(b2)の工程において前記チャンバに供給されるバイアス信号の電力又は電圧のレベルは、前記(b1)の工程におけるバイアス信号の電力又は電圧のレベルよりも小さいこと、
    の少なくとも1つを満たす、請求項1に記載の基板処理方法。
  8.  前記(b)の工程は、前記(b1)の工程と前記(b2)の工程との間に、前記第1領域を改質する工程をさらに含む、請求項1に記載の基板処理方法。
  9.  前記第1領域を改質する工程は、前記基板を加熱又はプラズマ処理する工程を含む、請求項8に記載の基板処理方法。
  10.  前記第1領域を改質する工程は、前記(b1)の工程と同一のチャンバで実行される、請求項8に記載の基板処理方法。
  11.  前記第1領域を改質する工程は、前記(b1)の工程と異なるチャンバで実行される、請求項8に記載の基板処理方法。
  12.  前記(b1)の工程において、前記現像はウェット現像により行われ、前記(b2)の工程において、前記現像はドライ現像により行われる、請求項1に記載の基板処理方法。
  13.  前記(b)の工程において、前記(b1)の工程及び前記(b2)の工程を含むサイクルが複数回繰り返される、請求項1に記載の基板処理方法。
  14.  前記金属含有レジスト膜は、Sn、Hf及びTiからなる群から選択される少なくとも1種の金属を含む、請求項1から請求項13のいずれか1項に記載の基板処理方法。
  15.  前記第1領域はEUV露光されている、請求項1から請求項13のいずれか1項に記載の基板処理方法。
  16.  前記(b1)の工程から前記(b2)の工程への切り替えは、前記現像により前記金属含有レジスト膜に形成される開口の深さ又はアスペクト比に基づいて行われる、請求項1から請求項13のいずれか1項に記載の基板処理方法。
  17.  前記第1領域は、第1部分と、第1部分の下方で前記下地膜上の第2部分とを含み、
     前記(b1)の工程は、前記第2部分が露出する直前まで、又は前記第2部分の一部が露出するまで実行される、請求項1から請求項13のいずれか一項に記載の基板処理方法。
  18.  (c)前記(b)の工程の後に、前記金属含有レジスト膜をマスクとして、前記下地膜をエッチングする工程をさらに含む、請求項1から請求項13のいずれか1項に記載の基板処理方法。
  19.  前記(b1)の工程の後かつ前記(b2)の工程の前に、前記(b1)の工程で生じた前記1領域又は前記第2領域の残渣を除去する工程と、
     前記(b2)の工程の後かつ前記(c)の工程の前に、前記(b1)及び/又は前記(b2)で生じた前記第1領域又は前記第2領域の残渣を除去する工程と、
    の少なくとも一方を更に含む、請求項18に記載の基板処理方法。
  20.  前記(c)の工程は、前記(b)の工程で用いたチャンバと同一のチャンバで実行される、請求項18に記載の基板処理方法。
  21.  前記(c)の工程は、前記(b)の工程で用いたチャンバと異なるチャンバで実行される、請求項18に記載の基板処理方法。
  22.  基板処理方法であって、
     (a)下地膜と前記下地膜上に形成された金属含有レジスト膜とを有する基板を基板支持部上に提供する工程であって、前記金属含有レジスト膜は露光された第1領域と露光されていない第2領域とを有する工程と、
     (b)前記金属含有レジスト膜をドライ現像して当該金属含有レジスト膜から前記第2領域を選択的に除去する工程と、を含み、
     前記(b)の工程は、
      (b1)前記基板支持部の温度を、第1の温度に制御して、前記第2領域を除去する工程と、
      (b2)前記基板支持部の温度を、前記第1の温度よりも低い第2の温度に制御して、前記第2領域を除去する工程と、を含む、
    基板処理方法。
  23.  前記(b)の工程は、HBrを用いて前記ドライ現像する工程であり、前記第1の温度は、20℃以上60℃以下であり、前記第2の温度は、-20℃以上20℃以下である、請求項22に記載の基板処理方法。
  24.  基板処理方法であって、
     (a)下地膜と前記下地膜上に形成された金属含有レジスト膜とを有する基板を基板支持部上に提供する工程であって、前記金属含有レジスト膜は露光された第1領域と露光されていない第2領域とを有する工程と、
     (b)前記金属含有レジスト膜をドライ現像して当該金属含有レジスト膜から前記第2領域を選択的に除去する工程と、を含み、
     前記(b)の工程は、
      (b1)第1の処理ガスを用いて、前記第2領域を除去する工程と、
      (b2)前記第1の処理ガスよりも酸性度が小さい第2の処理ガスを用いて、前記第2領域を除去する工程と、を含む、
    基板処理方法。
  25.  前記第1の処理ガスは、ハロゲン含有無機酸を含み、
     前記第2の処理ガスは、有機酸を含む、
    請求項24に記載の基板処理方法。
  26.  前記第1の処理ガスは、ハロゲン含有無機酸と、前記ハロゲン含有無機酸よりも低流量の有機酸とを含み、
     前記第2の処理ガスは、ハロゲン含有無機酸と、前記ハロゲン含有無機酸よりも高流量の有機酸とを含む、
    請求項24に記載の基板処理方法。
  27.  前記ハロゲン含有無機酸は、HBrガス、HClガス、BClガス、及びHFガス及びHIガスからなる群から選択される少なくとも1種を含む、請求項25又は請求項26に記載の基板処理方法。
  28.  前記有機酸は、カルボン酸、β-ジカルボニル化合物及びアルコールからなる群から選択される少なくとも1種を含む、請求項25又は請求項26に記載の基板処理方法。
  29.  前記(b)の工程は、
      (I)前記(b2)の工程における前記基板支持部の温度は、前記(b1)の工程における前記基板支持部の温度よりも低いこと、及び
      (II)前記(b2)の工程におけるチャンバ内の圧力は、前記(b1)の工程におけるチャンバ内の圧力よりも低いこと
    の少なくとも1つを満たす、請求項24に記載の基板処理方法。
  30.  前記(b)の工程において、前記(b1)の工程と前記(b2)の工程とが繰り返される、請求項24に記載の基板処理方法。
  31.  前記(b)の工程において、前記(b1)の工程と前記(b2)の工程とを含むサイクルが1回以上実施された後、さらに前記(b1)の工程が実施される、請求項24に記載の基板処理方法。
  32.  前記(b)の工程は、前記(b1)の工程と前記(b2)の工程とを含むサイクルがプラズマを用いずに1回以上に実施された後に、前記第1の処理ガス及び/又は前記第2の処理ガスから生成したプラズマを用いて前記第2領域を除去する工程を含む、
    請求項24に記載の基板処理方法。
  33.  1又は複数の基板処理装置と制御部とを有する基板処理システムであって、
     前記制御部は、前記1又は複数の基板処理装置に対して、
     (a)下地膜と前記下地膜上の金属含有レジスト膜とを有する基板を基板支持部上に提供する制御であって、前記金属含有レジスト膜は第1領域と第2領域とを含む、制御と、
     (b)前記金属含有レジスト膜を現像して当該金属含有レジスト膜から前記第2領域を選択的に除去する制御と、を実行するように構成され、
     前記(b)の制御は、
      (b1)前記第1領域に対して前記第2領域を、第1の選択比で除去する制御と、
      (b2)前記第1領域に対して前記第2領域を、前記第1の選択比と異なる第2の選択比でさらに除去する制御と、を含む、
    基板処理システム。
     
     
PCT/JP2023/027676 2022-07-29 2023-07-28 基板処理方法及び基板処理システム WO2024024919A1 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202263393638P 2022-07-29 2022-07-29
US63/393,638 2022-07-29

Publications (1)

Publication Number Publication Date
WO2024024919A1 true WO2024024919A1 (ja) 2024-02-01

Family

ID=89706630

Family Applications (3)

Application Number Title Priority Date Filing Date
PCT/JP2023/027676 WO2024024919A1 (ja) 2022-07-29 2023-07-28 基板処理方法及び基板処理システム
PCT/JP2023/027687 WO2024024925A1 (ja) 2022-07-29 2023-07-28 基板処理方法及び基板処理システム
PCT/JP2023/027682 WO2024024922A1 (ja) 2022-07-29 2023-07-28 基板処理方法及び基板処理装置

Family Applications After (2)

Application Number Title Priority Date Filing Date
PCT/JP2023/027687 WO2024024925A1 (ja) 2022-07-29 2023-07-28 基板処理方法及び基板処理システム
PCT/JP2023/027682 WO2024024922A1 (ja) 2022-07-29 2023-07-28 基板処理方法及び基板処理装置

Country Status (2)

Country Link
TW (2) TW202414112A (ja)
WO (3) WO2024024919A1 (ja)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07120934A (ja) * 1993-10-25 1995-05-12 Sony Corp 現像方法
JP2017215561A (ja) * 2016-05-30 2017-12-07 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ ギャップフィリング組成物、およびポリマーを含んでなる組成物を用いたパターン形成方法
WO2020264557A1 (en) * 2019-06-28 2020-12-30 Lam Research Corporation Photoresist with multiple patterning radiation-absorbing elements and/or vertical composition gradient

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness
US7459363B2 (en) * 2006-02-22 2008-12-02 Micron Technology, Inc. Line edge roughness reduction
JP2007324384A (ja) * 2006-06-01 2007-12-13 Sharp Corp 半導体装置の製造方法
US8277670B2 (en) * 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
JP2012212760A (ja) * 2011-03-31 2012-11-01 Hoya Corp レジストパターン形成方法およびモールド製造方法
JP6631536B2 (ja) * 2014-12-02 2020-01-15 Jsr株式会社 フォトレジスト組成物及びその製造方法並びにレジストパターン形成方法
TWI757334B (zh) * 2016-09-06 2022-03-11 日商東京威力科創股份有限公司 準原子層蝕刻方法
JP6813326B2 (ja) * 2016-10-06 2021-01-13 東京応化工業株式会社 レジストパターンのラフネスを低減させるために用いられる被覆剤、及びラフネスが低減されたレジストパターンの製造方法
US10672619B2 (en) * 2016-12-15 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
JP2020056889A (ja) * 2018-10-01 2020-04-09 Jsr株式会社 レジスト下層膜形成用組成物、レジスト下層膜及びレジストパターン形成方法
US10845704B2 (en) * 2018-10-30 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance
WO2020137309A1 (ja) * 2018-12-26 2020-07-02 Dic株式会社 レジスト組成物
US20200285148A1 (en) * 2019-03-06 2020-09-10 Brookhaven Science Associates, Llc Inorganic-Infiltrated Polymer Hybrid Thin Film Resists for Advanced Lithography
TWI837391B (zh) * 2019-06-26 2024-04-01 美商蘭姆研究公司 利用鹵化物化學品的光阻顯影
JP2021102604A (ja) * 2019-12-24 2021-07-15 国立研究開発法人産業技術総合研究所 有機修飾金属酸化物ナノ粒子、有機修飾金属酸化物ナノ粒子含有溶液、有機修飾金属酸化物ナノ粒子含有レジスト組成物及びレジストパターン形成方法
JP7333752B2 (ja) * 2019-12-25 2023-08-25 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07120934A (ja) * 1993-10-25 1995-05-12 Sony Corp 現像方法
JP2017215561A (ja) * 2016-05-30 2017-12-07 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ ギャップフィリング組成物、およびポリマーを含んでなる組成物を用いたパターン形成方法
WO2020264557A1 (en) * 2019-06-28 2020-12-30 Lam Research Corporation Photoresist with multiple patterning radiation-absorbing elements and/or vertical composition gradient

Also Published As

Publication number Publication date
WO2024024925A1 (ja) 2024-02-01
TW202414112A (zh) 2024-04-01
TW202414534A (zh) 2024-04-01
WO2024024922A1 (ja) 2024-02-01

Similar Documents

Publication Publication Date Title
US20220244645A1 (en) Photoresist development with halide chemistries
US20130048606A1 (en) Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
US20150243489A1 (en) Cleaning method for plasma processing apparatus
TWI518217B (zh) Etching method and etching device
US20230314954A1 (en) Dry backside and bevel edge clean of photoresist
US20230416606A1 (en) Photoresist development with organic vapor
WO2021262371A1 (en) Surface modification for metal-containing photoresist deposition
WO2024024919A1 (ja) 基板処理方法及び基板処理システム
KR20240108547A (ko) 금속-함유 포토레지스트의 재작업
WO2024058135A1 (ja) 基板処理方法及び基板処理システム
WO2024070834A1 (ja) 基板処理方法及び基板処理システム
WO2024024373A1 (ja) 基板処理方法及び基板処理システム
WO2024070833A1 (ja) 基板処理方法及び基板処理システム
JP4405236B2 (ja) 基板処理方法および基板処理装置
WO2024101166A1 (ja) 基板処理方法、金属含有レジスト形成用組成物、金属含有レジスト及び基板処理システム
US10504741B2 (en) Semiconductor manufacturing method and plasma processing apparatus
TW202422647A (zh) 基板處理方法及基板處理裝置
TW202422230A (zh) 基板處理方法及基板處理系統
WO2024111454A1 (ja) ドライ現像方法及びドライ現像装置
US20240036474A1 (en) Control of metallic contamination from metal-containing photoresist
WO2024123632A2 (en) Endpoint detection and tracking of photoresist processes
TW202240660A (zh) 基板處理方法及基板處理裝置
WO2024076679A1 (en) Dry chamber clean using thermal and plasma processes
WO2023009336A1 (en) Multi-step post-exposure treatment to improve dry development performance of metal-containing resist
WO2022260788A1 (en) Metal oxide resist patterning with electrical field guided post-exposure bake

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 23846649

Country of ref document: EP

Kind code of ref document: A1