WO2024024373A1 - 基板処理方法及び基板処理システム - Google Patents

基板処理方法及び基板処理システム Download PDF

Info

Publication number
WO2024024373A1
WO2024024373A1 PCT/JP2023/023830 JP2023023830W WO2024024373A1 WO 2024024373 A1 WO2024024373 A1 WO 2024024373A1 JP 2023023830 W JP2023023830 W JP 2023023830W WO 2024024373 A1 WO2024024373 A1 WO 2024024373A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
gas
carboxylic acid
processing
pressure
Prior art date
Application number
PCT/JP2023/023830
Other languages
English (en)
French (fr)
Inventor
由太 中根
健太 小野
翔 熊倉
哲也 西塚
昌伸 本田
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Priority to TW112126507A priority Critical patent/TW202405582A/zh
Publication of WO2024024373A1 publication Critical patent/WO2024024373A1/ja

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma

Definitions

  • Exemplary embodiments of the present disclosure relate to substrate processing methods and substrate processing systems.
  • Patent Document 1 discloses a technique for forming a thin film patterned using extreme ultraviolet light (hereinafter referred to as "EUV") on a semiconductor substrate.
  • EUV extreme ultraviolet light
  • the present disclosure provides a technique for appropriately dry developing an exposed metal-containing resist.
  • a method of processing a substrate includes (a) providing a substrate having a base film and a resist film formed from a metal-containing resist on the base film on a substrate support in a processing chamber, the process comprising: The metal-containing resist has a first region and a second region.
  • the substrate processing method includes (b) supplying a processing gas containing carboxylic acid into a processing chamber, exposing the substrate to the carboxylic acid, and selectively removing the second region with respect to the first region, thereby removing the resist.
  • the method further includes the step of dry developing the film.
  • the pressure or partial pressure of the carboxylic acid is 0.3 Torr (40 Pa) or more and less than 100 Torr (13332 Pa).
  • a technique can be provided to properly dry develop an exposed metal-containing resist.
  • FIG. 2 is a diagram for explaining a configuration example of a heat treatment system.
  • 1 is a diagram for explaining a configuration example of a plasma processing system.
  • FIG. 2 is a diagram for explaining a configuration example of a capacitively coupled plasma processing apparatus.
  • 3 is a flowchart showing a substrate processing method according to the first embodiment.
  • 5 is a diagram showing an example of a cross-sectional structure of a substrate W provided in step ST11 of the substrate processing method shown in FIG. 4.
  • FIG. 3 is a diagram showing an example of a base film UF of a substrate W.
  • FIG. 3 is a diagram showing an example of a base film UF of a substrate W.
  • FIG. It is a figure showing an example of the cross-sectional structure of substrate W after development.
  • FIG. 7 is a flowchart showing a substrate processing method according to a second embodiment.
  • FIG. 10(a), FIG. 10(b), and FIG. 10(c) is an example timing chart showing the substrate processing method according to the third embodiment.
  • 7 is a flowchart showing a substrate processing method according to a fourth embodiment.
  • FIGS. 12(a) and 12(b) is a diagram showing an example of the cross-sectional structure of the substrate W after processing in step ST42.
  • FIG. 13A is a diagram showing an example of the cross-sectional structure of the substrate W after the process ST43.
  • FIG. 13(b) is a diagram showing an example of the cross-sectional structure of the substrate W after the process ST45.
  • FIG. 14(a) is a schematic sectional view showing another example of the structure of the heat treatment system
  • FIG. 14(b) is a schematic plan view showing another example of the structure of the heat treatment system.
  • FIG. 3 is a schematic diagram showing a configuration example of a substrate support section. 1 is a block diagram for explaining a configuration example of a substrate processing system SS.
  • FIG. 3 is a flowchart illustrating method MT.
  • FIG. 18(a) and FIG. 18(b) is a diagram showing the results of the experiment.
  • FIG. 19(a) to FIG. 19(d) is a diagram showing the results of the experiment. It is a figure showing the result of an experiment. It is a figure showing the result of an experiment. It is a figure showing the result of an experiment. It is a figure showing the result of an experiment. It is a figure showing the result of an experiment.
  • FIG. 23(a) to FIG. 23(c) is a diagram showing the results of the experiment.
  • FIG. 24(a) and FIG. 24(b) is a diagram showing the results of the experiment. It is a figure showing the result of an experiment. It is a figure showing the result of an experiment.
  • a substrate processing method includes (a) a base film and a resist film provided on the base film and formed from a metal-containing resist on a substrate support in a processing chamber; providing a substrate having a metal-containing resist having a first region and a second region.
  • the substrate processing method includes (b) supplying a processing gas containing carboxylic acid into a processing chamber, exposing the substrate to the carboxylic acid, and selectively removing the second region with respect to the first region, thereby removing the resist.
  • the method further includes the step of dry developing the film.
  • the pressure or partial pressure of the carboxylic acid is 0.3 Torr (40 Pa) or more and less than 100 Torr (13332 Pa).
  • FIG. 1 is a diagram for explaining a configuration example of a heat treatment system.
  • the heat treatment system includes a heat treatment apparatus 100 and a controller 200.
  • the heat processing system is an example of a substrate processing system
  • the heat processing apparatus 100 is an example of a substrate processing apparatus.
  • the heat treatment apparatus 100 has a treatment chamber 102 that is configured to be airtight.
  • the processing chamber 102 is, for example, an airtight cylindrical container, and is configured to be able to adjust the internal atmosphere.
  • a side wall heater 104 is provided on the side wall of the processing chamber 102 .
  • a ceiling heater 130 is provided on the ceiling wall (top plate) of the processing chamber 102 .
  • a ceiling surface 140 of the ceiling wall (top plate) of the processing chamber 102 is formed as a horizontal flat surface, and its temperature is adjusted by the ceiling heater 130.
  • a substrate support section 121 is provided on the lower side inside the processing chamber 102.
  • the substrate support part 121 constitutes a placing part on which the substrate W is placed.
  • the substrate support part 121 is formed, for example, in a circular shape in a plan view, and the substrate W is placed on the horizontally formed surface (upper surface) thereof.
  • a stage heater 120 is embedded within the substrate support portion 121 . This stage heater 120 can heat the substrate W placed on the substrate support section 121.
  • a ring assembly (not shown) may be arranged in the substrate support section 121 so as to surround the substrate W.
  • the ring assembly may include one or more annular members. By arranging the ring assembly around the substrate W, temperature controllability in the outer peripheral region of the substrate W can be improved.
  • the ring assembly may be constructed from inorganic or organic materials depending on the intended heat treatment.
  • the substrate support part 121 is supported within the processing chamber 102 by a support 122 provided on the bottom surface of the processing chamber 102.
  • a plurality of lifting pins 123 that can be vertically moved up and down are provided on the outer side of the support column 122 in the circumferential direction.
  • Each of the plurality of lifting pins 123 is inserted into a through hole provided in the substrate support section 121.
  • the plurality of lifting pins 123 are arranged at intervals in the circumferential direction.
  • the elevating motion of the plurality of elevating pins 123 is brought about by an elevating mechanism 124.
  • An exhaust port 131 having an opening is provided in the side wall of the processing chamber 102.
  • the exhaust port 131 is connected to an exhaust mechanism 132 via an exhaust pipe.
  • the exhaust mechanism 132 is composed of a vacuum pump, a valve, and the like, and adjusts the exhaust flow rate from the exhaust port 131. By adjusting the exhaust flow rate and the like by the exhaust mechanism 132, the pressure inside the processing chamber 102 is adjusted.
  • a transport port for the substrate W (not shown) is formed in the side wall of the processing chamber 102 at a position different from the position where the exhaust port 131 opens so as to be openable and closable.
  • a gas nozzle 141 is provided on the side wall of the processing chamber 102 at a position different from the exhaust port 131 and the substrate W transport port. Gas nozzle 141 supplies processing gas into processing chamber 102 .
  • the gas nozzle 141 is provided on the side wall of the processing chamber 102 on the opposite side of the exhaust port 131 when viewed from the center of the substrate support 121 . That is, the gas nozzle 141 is provided on the side wall of the processing chamber 102 symmetrically with the exhaust port 131 with respect to a vertical imaginary plane passing through the center of the substrate support 121 .
  • the gas nozzle 141 is formed into a rod shape that protrudes from the side wall of the processing chamber 102 toward the center of the processing chamber 102 .
  • the tip of the gas nozzle 141 extends, for example, horizontally from the side wall of the processing chamber 102.
  • the processing gas is discharged into the processing chamber 102 from a discharge port that opens at the tip of the gas nozzle 141, flows in the direction of the dashed-dotted arrow shown in FIG. 1, and is exhausted from the exhaust port 131.
  • the tip of the gas nozzle 141 may have a shape extending diagonally downward toward the substrate W, or may have a shape extending diagonally upward toward the ceiling surface 140 of the processing chamber 102.
  • gas nozzle 141 may be provided, for example, on the ceiling wall of the processing chamber 102. Further, the exhaust port 131 may be provided on the bottom surface of the processing chamber 102.
  • the heat treatment apparatus 100 has a gas supply pipe 152 connected to the gas nozzle 141 from the outside of the processing chamber 102.
  • a pipe heater 160 for heating the gas in the gas supply pipe 152 is provided around the gas supply pipe 152 .
  • Gas supply pipe 152 is connected to gas supply section 170.
  • Gas supply 170 includes at least one gas source and at least one flow controller.
  • the gas supply may include a vaporizer that vaporizes the material in liquid form.
  • the control unit 200 processes computer-executable instructions that cause the heat treatment apparatus 100 to perform various steps described in this disclosure.
  • Control unit 200 may be configured to control each element of heat treatment apparatus 100 to perform the various steps described herein. In one embodiment, part or all of the control unit 200 may be included in the heat treatment apparatus 100.
  • the control unit 200 may include a processing unit 200a1, a storage unit 200a2, and a communication interface 200a3.
  • the control unit 200 is realized by, for example, a computer 200a.
  • the processing unit 200a1 may be configured to read a program from the storage unit 200a2 and perform various control operations by executing the read program. This program may be stored in advance in the storage unit 200a2, or may be acquired via a medium when necessary.
  • the acquired program is stored in the storage unit 200a2, and is read out from the storage unit 200a2 and executed by the processing unit 200a1.
  • the medium may be any of various storage media readable by the computer 200a, or may be a communication line connected to the communication interface 200a3.
  • the processing unit 200a1 may be a CPU (Central Processing Unit).
  • the storage unit 200a2 includes a RAM (Random Access Memory), a ROM (Read Only Memory), an HDD (Hard Disk Drive), an SSD (Solid State Drive), or a combination thereof. You can.
  • the communication interface 200a3 may communicate with the heat treatment apparatus 100 via a communication line such as a LAN (Local Area Network).
  • FIG. 2 is a diagram for explaining a configuration example when a plasma processing system is used as a development processing system.
  • a plasma processing system includes a plasma processing apparatus 1 and a controller 2.
  • the plasma processing system is an example of a substrate processing system
  • the plasma processing apparatus 1 is an example of a substrate processing apparatus.
  • the plasma processing apparatus 1 includes a plasma processing chamber (hereinafter also simply referred to as a "processing chamber") 10, a substrate support section 11, and a plasma generation section 12.
  • the plasma processing chamber 10 has a plasma processing space.
  • the plasma processing chamber 10 also includes at least one gas supply port for supplying at least one processing gas to the plasma processing space, and at least one gas exhaust port for discharging gas from the plasma processing space.
  • the gas supply port is connected to a gas supply section 20, which will be described later, and the gas discharge port is connected to an exhaust system 40, which will be described later.
  • the substrate support section 11 is disposed within the plasma processing space and has a substrate support surface for supporting a substrate.
  • the plasma generation unit 12 is configured to generate plasma from at least one processing gas supplied into the plasma processing space.
  • the plasmas formed in the plasma processing space are capacitively coupled plasma (CCP), inductively coupled plasma (ICP), and ECR plasma (Electron-Cyclotron-resonance plasma).
  • CCP capacitively coupled plasma
  • ICP inductively coupled plasma
  • ECR plasma Electro-Cyclotron-resonance plasma
  • HWP Helicon wave excited plasma
  • SWP surface wave plasma
  • various types of plasma generation sections may be used, including an AC (Alternating Current) plasma generation section and a DC (Direct Current) plasma generation section.
  • the AC signal (AC power) used in the AC plasma generator has a frequency in the range of 100 kHz to 10 GHz. Therefore, the AC signal includes an RF (Radio Frequency) signal and a microwave signal.
  • the RF signal has a frequency within the range of 100kHz to 150MHz.
  • the control unit 2 processes computer-executable instructions that cause the plasma processing apparatus 1 to perform various steps described in this disclosure.
  • the control unit 2 may be configured to control each element of the plasma processing apparatus 1 to perform the various steps described herein. In one embodiment, part or all of the control unit 2 may be included in the plasma processing apparatus 1.
  • the control unit 2 is realized by, for example, a computer 2a.
  • the control unit 2 may include a processing unit 2a1, a storage unit 2a2, and a communication interface 2a3. Each configuration of the control unit 2 may be similar to each configuration of the control unit 200 (see FIG. 1) described above.
  • FIG. 3 is a diagram for explaining a configuration example of a capacitively coupled plasma processing apparatus.
  • the capacitively coupled plasma processing apparatus 1 includes a plasma processing chamber 10, a gas supply section 20, a power supply 30, and an exhaust system 40. Further, the plasma processing apparatus 1 includes a substrate support section 11 and a gas introduction section. The gas inlet is configured to introduce at least one processing gas into the plasma processing chamber 10 .
  • the gas introduction section includes a shower head 13.
  • Substrate support 11 is arranged within plasma processing chamber 10 .
  • the shower head 13 is arranged above the substrate support section 11 . In one embodiment, showerhead 13 forms at least a portion of the ceiling of plasma processing chamber 10 .
  • the plasma processing chamber 10 has a plasma processing space 10s defined by a shower head 13, a side wall 10a of the plasma processing chamber 10, and a substrate support 11. Plasma processing chamber 10 is grounded.
  • the shower head 13 and the substrate support section 11 are electrically insulated from the casing of the plasma processing chamber 10.
  • the substrate support section 11 includes a main body section 111 and a ring assembly 112.
  • the main body portion 111 has a central region 111a for supporting the substrate W and an annular region 111b for supporting the ring assembly 112.
  • a wafer is an example of a substrate W.
  • the annular region 111b of the main body 111 surrounds the central region 111a of the main body 111 in plan view.
  • the substrate W is placed on the central region 111a of the main body 111, and the ring assembly 112 is placed on the annular region 111b of the main body 111 so as to surround the substrate W on the central region 111a of the main body 111. Therefore, the central region 111a is also called a substrate support surface for supporting the substrate W, and the annular region 111b is also called a ring support surface for supporting the ring assembly 112.
  • the main body 111 includes a base 1110 and an electrostatic chuck 1111.
  • Base 1110 includes a conductive member.
  • the conductive member of the base 1110 can function as a bottom electrode.
  • Electrostatic chuck 1111 is placed on base 1110.
  • Electrostatic chuck 1111 includes a ceramic member 1111a and an electrostatic electrode 1111b disposed within ceramic member 1111a.
  • Ceramic member 1111a has a central region 111a. In one embodiment, ceramic member 1111a also has an annular region 111b. Note that another member surrounding the electrostatic chuck 1111, such as an annular electrostatic chuck or an annular insulating member, may have the annular region 111b.
  • ring assembly 112 may be placed on the annular electrostatic chuck or the annular insulation member, or may be placed on both the electrostatic chuck 1111 and the annular insulation member.
  • at least one RF/DC electrode coupled to an RF power source 31 and/or a DC power source 32, which will be described later, may be disposed within the ceramic member 1111a.
  • at least one RF/DC electrode functions as a bottom electrode.
  • An RF/DC electrode is also referred to as a bias electrode if a bias RF signal and/or a DC signal, as described below, is supplied to at least one RF/DC electrode.
  • the conductive member of the base 1110 and at least one RF/DC electrode may function as a plurality of lower electrodes.
  • the electrostatic electrode 1111b may function as a lower electrode. Therefore, the substrate support 11 includes at least one lower electrode.
  • Ring assembly 112 includes one or more annular members.
  • the one or more annular members include one or more edge rings and at least one cover ring.
  • the edge ring is made of a conductive or insulating material
  • the cover ring is made of an insulating material.
  • the substrate support unit 11 may include a temperature control module configured to adjust at least one of the electrostatic chuck 1111, the ring assembly 112, and the substrate to a target temperature.
  • the temperature control module may include a heater, a heat transfer medium, a flow path 1110a, or a combination thereof.
  • a heat transfer fluid such as brine or gas flows through the flow path 1110a.
  • a channel 1110a is formed within the base 1110 and one or more heaters are disposed within the ceramic member 1111a of the electrostatic chuck 1111.
  • the substrate support section 11 may include a heat transfer gas supply section configured to supply heat transfer gas to the gap between the back surface of the substrate W and the central region 111a.
  • the shower head 13 is configured to introduce at least one processing gas from the gas supply section 20 into the plasma processing space 10s.
  • the shower head 13 has at least one gas supply port 13a, at least one gas diffusion chamber 13b, and a plurality of gas introduction ports 13c.
  • the processing gas supplied to the gas supply port 13a passes through the gas diffusion chamber 13b and is introduced into the plasma processing space 10s from the plurality of gas introduction ports 13c.
  • the showerhead 13 also includes at least one upper electrode.
  • the gas introduction section may include one or more side gas injectors (SGI) attached to one or more openings formed in the side wall 10a.
  • SGI side gas injectors
  • the gas supply section 20 may include at least one gas source 21 and at least one flow rate controller 22.
  • the gas supply 20 is configured to supply at least one process gas from a respective gas source 21 to the showerhead 13 via a respective flow controller 22 .
  • Each flow controller 22 may include, for example, a mass flow controller or a pressure-controlled flow controller.
  • gas supply 20 may include at least one flow modulation device that modulates or pulses the flow rate of at least one process gas.
  • Power supply 30 includes an RF power supply 31 coupled to plasma processing chamber 10 via at least one impedance matching circuit.
  • RF power source 31 is configured to supply at least one RF signal (RF power) to at least one bottom electrode and/or at least one top electrode.
  • RF power supply 31 can function as at least a part of the plasma generation section 12. Further, by supplying a bias RF signal to at least one lower electrode, a bias potential is generated in the substrate W, and ion components in the formed plasma can be drawn into the substrate W.
  • the RF power supply 31 includes a first RF generation section 31a and a second RF generation section 31b.
  • the first RF generation section 31a is coupled to at least one lower electrode and/or at least one upper electrode via at least one impedance matching circuit, and generates a source RF signal (source RF power) for plasma generation. It is configured as follows.
  • the source RF signal has a frequency within the range of 10 MHz to 150 MHz.
  • the first RF generator 31a may be configured to generate multiple source RF signals having different frequencies. The generated one or more source RF signals are provided to at least one bottom electrode and/or at least one top electrode.
  • the second RF generating section 31b is coupled to at least one lower electrode via at least one impedance matching circuit, and is configured to generate a bias RF signal (bias RF power).
  • the frequency of the bias RF signal may be the same or different than the frequency of the source RF signal.
  • the bias RF signal has a lower frequency than the frequency of the source RF signal.
  • the bias RF signal has a frequency within the range of 100kHz to 60MHz.
  • the second RF generator 31b may be configured to generate multiple bias RF signals having different frequencies.
  • the generated one or more bias RF signals are provided to at least one bottom electrode. Also, in various embodiments, at least one of the source RF signal and the bias RF signal may be pulsed.
  • Power source 30 may also include a DC power source 32 coupled to plasma processing chamber 10 .
  • the DC power supply 32 includes a first DC generation section 32a and a second DC generation section 32b.
  • the first DC generator 32a is connected to at least one lower electrode and configured to generate a first DC signal.
  • the generated first DC signal is applied to at least one bottom electrode.
  • the second DC generator 32b is connected to the at least one upper electrode and configured to generate a second DC signal.
  • the generated second DC signal is applied to the at least one top electrode.
  • the first and second DC signals may be pulsed.
  • a sequence of voltage pulses is applied to at least one lower electrode and/or at least one upper electrode.
  • the voltage pulse may have a pulse waveform that is rectangular, trapezoidal, triangular, or a combination thereof.
  • a waveform generator for generating a sequence of voltage pulses from a DC signal is connected between the first DC generator 32a and the at least one bottom electrode. Therefore, the first DC generation section 32a and the waveform generation section constitute a voltage pulse generation section.
  • the voltage pulse generation section is connected to at least one upper electrode.
  • the voltage pulse may have positive polarity or negative polarity.
  • the sequence of voltage pulses may include one or more positive voltage pulses and one or more negative voltage pulses within one period.
  • the first and second DC generation sections 32a and 32b may be provided in addition to the RF power source 31, or the first DC generation section 32a may be provided in place of the second RF generation section 31b. good.
  • the exhaust system 40 may be connected to a gas exhaust port 10e provided at the bottom of the plasma processing chamber 10, for example.
  • Evacuation system 40 may include a pressure regulating valve and a vacuum pump. The pressure within the plasma processing space 10s is adjusted by the pressure regulating valve.
  • the vacuum pump may include a turbomolecular pump, a dry pump, or a combination thereof.
  • FIG. 4 is a flowchart showing the substrate processing method (hereinafter also referred to as "method MT1") according to the first embodiment.
  • method MT1 includes a step ST11 of providing a substrate and a step ST12 of developing the substrate.
  • the development process in step ST12 is performed by a dry process (hereinafter also referred to as "dry development”) using a processing gas.
  • Method MT1 may be performed using any one of the substrate processing systems described above (see FIGS. 1 to 3), or may be performed using two or more of these substrate processing systems.
  • method MT1 may be performed in a heat treatment system (see FIG. 1).
  • the method MT1 will be described below, taking as an example a case where the control unit 200 controls each part of the heat treatment apparatus 100 and applies the method MT1 to the substrate W.
  • the substrate W is provided in the processing chamber 102 of the heat processing apparatus 100.
  • the substrate W is provided on the substrate support part 121 via the lifting pins 123.
  • the temperature of the substrate support 121 is adjusted to a set temperature.
  • the temperature of the substrate support part 121 can be adjusted by controlling the output of one or more heaters among the side wall heater 104, the stage heater 120, the ceiling heater 130, and the pipe heater 160 (hereinafter also referred to as "each heater"). It may be done.
  • the temperature of the substrate support part 121 may be adjusted to a set temperature before step ST11. That is, the substrate W may be provided on the substrate support 121 after the temperature of the substrate support 121 is adjusted to the set temperature.
  • FIG. 5 is a diagram showing an example of the cross-sectional structure of the substrate W provided in step ST11 of the substrate processing method shown in FIG. 4.
  • the substrate W includes a base film UF and a resist film RM formed on the base film UF.
  • the substrate W may be used for manufacturing semiconductor devices.
  • Semiconductor devices include, for example, memory devices such as DRAMs and 3D-NAND flash memories, and logic devices.
  • the resist film RM is a metal-containing resist film containing metal.
  • the metal may include at least one metal selected from the group consisting of Sn, Hf, and Ti.
  • the resist film RM contains Sn, and may include tin oxide (SnO bond) and tin hydroxide (Sn-OH bond).
  • the resist film RM may further contain an organic substance.
  • the resist film RM has an exposed first region RM1 and an unexposed second region RM2.
  • the first region RM1 is a region exposed to EUV light, that is, an EUV exposure region.
  • the second region RM2 is a region not exposed to EUV light, that is, an unexposed region.
  • the base film UF may be an organic film, a dielectric film, a metal film, a semiconductor film, or a laminated film of these formed on a silicon wafer.
  • the base film UF may be composed of a first film UF1, a second film UF2, and a third film UF3.
  • the base film UF may be composed of a second film UF2 and a third film UF3.
  • the first film UF1 is, for example, a spin-on-glass (SOG) film, a SiC film, a SiON film, a Si-containing antireflection film (SiARC), or an organic film.
  • the second film UF2 is, for example, a spin-on carbon (SOC) film, an amorphous carbon film, or a silicon-containing film.
  • the third film UF3 is, for example, a silicon-containing film.
  • the silicon-containing film is, for example, a silicon oxide film, a silicon nitride film, a silicon oxynitride film, a silicon carbonitride film, a polycrystalline silicon film, or a carbon-containing silicon film.
  • the third film UF3 may be composed of a plurality of stacked silicon-containing films.
  • the third film UF3 may be composed of a silicon oxide film and a silicon nitride film that are alternately stacked.
  • the third film UF3 may be composed of a silicon oxide film and a polycrystalline silicon film that are alternately stacked.
  • the third film UF3 may be a laminated film including a silicon nitride film, a silicon oxide film, and a polycrystalline silicon film.
  • the third film UF3 may be composed of a stacked silicon oxide film and silicon carbonitride film.
  • the third film UF3 may be a laminated film including a silicon oxide film, a silicon nitride film, and a silicon carbonitride film.
  • the substrate W is formed as follows. First, a metal-containing photoresist film is formed on a base film that has been subjected to adhesion improvement treatment and the like. Film formation may be performed by a dry process, a wet process such as a solution coating method, or both a dry process and a wet process. Note that the base film may be subjected to surface modification treatment before the photoresist film is formed. After the photoresist film has been formed, the substrate is subjected to heat treatment, that is, pre-bake (Post Apply Bake: PAB). The substrate after prebaking may be subjected to additional heat treatment.
  • pre-bake Post Apply Bake: PAB
  • the substrate after the heat treatment is transported to an exposure device, and the photoresist film is irradiated with EUV light through an exposure mask (reticle).
  • a substrate W including the base film UF and the resist film RM having the exposed first region RM1 and the unexposed second region RM2 is formed.
  • the first region RM1 is a region corresponding to an opening provided in an exposure mask (reticle).
  • the second region RM2 is a region corresponding to a pattern provided on an exposure mask (reticle).
  • EUV light has a wavelength in the range of 10-20 nm, for example. EUV light may have a wavelength in the range of 11-14 nm, in one example having a wavelength of 13.5 nm.
  • the exposed substrate W is transported from the exposure apparatus to the heat treatment apparatus under controlled atmosphere, and undergoes heat treatment, that is, post-exposure bake (PEB).
  • PEB post-exposure bake
  • the substrate W after PEB may be subjected to additional heat treatment.
  • step ST12 the second region RM2 of the substrate W is selectively removed by exposing the substrate W to a processing gas, and the resist film RM is developed.
  • a processing gas is supplied into the processing chamber 102 via the gas nozzle 141.
  • the process gas includes a carboxylic acid.
  • the carboxylic acid may be formic acid (HCOOH).
  • the carboxylic acid may be acetic acid (CH 3 COOH).
  • the carboxylic acid may contain a halogen element, and the halogen element may include fluorine and/or chlorine.
  • the fluorine-containing carboxylic acid may be, for example, at least one selected from the group consisting of monofluoroacetic acid (CFH 2 COOH), difluoroacetic acid (CF 2 HCOOH), and trifluoroacetic acid (CF 3 COOH); It may be fluoroacetic acid.
  • the chlorine-containing carboxylic acid may be, for example, at least one selected from the group consisting of monochloroacetic acid (CClH 2 COOH), dichloroacetic acid (CCl 2 HCOOH), and trifluoroacetic acid (CCl 3 COOH).
  • the carboxylic acid containing fluorine and chlorine may be, for example, chlorofluoroacetic acid.
  • the carboxylic acid may be at least one selected from the group consisting of formic acid, acetic acid, and trifluoroacetic acid, and may be formic acid and/or trifluoroacetic acid, and may be trifluoroacetic acid. .
  • the processing gas may further contain an inert gas.
  • the inert gas may be nitrogen gas or a noble gas such as Ar.
  • the processing gas may further contain an inorganic acid, or may further contain an organic acid other than a carboxylic acid.
  • the processing gas may include an oxidizing gas such as O 2 gas and/or CO 2 gas.
  • step ST12 the pressure of the processing gas in the processing chamber 102 is adjusted. More specifically, the pressure of carboxylic acid in the processing gas is adjusted.
  • the pressure of the carboxylic acid in the processing gas is the pressure of the processing gas.
  • the pressure of the carboxylic acid in the processing gas is the partial pressure of the carboxylic acid in the processing gas.
  • the pressure of the processing gas when the processing gas is a single gas and the partial pressure of the carboxylic acid in the processing gas when the processing gas is a mixed gas may be referred to as "carboxylic acid pressure.”
  • the pressure of the carboxylic acid may be adjusted by the flow rate of the carboxylic acid supplied into the processing chamber 102 and/or the flow rate of the carboxylic acid exhausted from the processing chamber 102.
  • the pressure of the carboxylic acid is determined by the flow rate of the carboxylic acid gas supplied into the processing chamber 102, the flow rate of a gas other than the carboxylic acid, and/or the carboxylic acid gas exhausted from the processing chamber 102. can be adjusted by the flow rate of gas other than carboxylic acid and the flow rate of gas other than carboxylic acid.
  • the partial pressure of the carboxylic acid in step ST12 may be 0.3 Torr (40 Pa) or higher, 0.5 Torr (66.6 Pa) or higher, or 1 Torr (133 Pa) or higher. Typically, the pressure may be 1.5 Torr (200 Pa) or higher, 2 Torr (266 Pa) or higher, or 5 Torr (666 Pa) or higher. Further, the partial pressure of the carboxylic acid may be less than 100 Torr (13332 Pa), may be less than 90 Torr (12000 Pa), may be less than 80 Torr (13332 Pa), may be less than 70 Torr (9333 Pa), and may be less than 60 Torr. (8000 Pa) or less, and may be 10 Torr (1333 Pa) or less.
  • the pressure of the carboxylic acid may be greater than or equal to 1 Torr and less than 100 Torr. If the pressure of the carboxylic acid is 1 Torr or higher, higher development rates can be achieved and higher throughput can be achieved. On the other hand, if the pressure of the carboxylic acid is less than 100 Torr, a higher development contrast (selectivity) can be achieved along with a high development rate.
  • the temperature of the substrate W or the substrate support portion 121 may be controlled.
  • the temperature of the substrate support part 121 in step ST12 may be -20°C or higher, 0°C or higher, 20°C or higher, 90°C or higher, and 120°C or higher. The temperature may be higher than °C.
  • the temperature of the substrate support portion 121 in step ST12 may be 300°C or lower, 220°C or lower, 210°C or lower, or 200°C or lower.
  • the temperature of the substrate support part 121 is controlled to be -20°C or more and 220°C or less. If the temperature of the substrate support part 121 is 0° C.
  • the temperature of the substrate support portion 121 is less than 200° C., high development speed and high development contrast (selectivity) can be achieved.
  • the temperature of the substrate support section 121 can be controlled by the power supplied to the stage heater 120. Further, the temperature of the substrate support portion 121 may be controlled by power supplied to the side wall heater 104 and/or the ceiling heater 130 together with the stage heater 120 or instead of the stage heater 120. Further, the temperature of the substrate support part 121 may be controlled by an infrared lamp, microwave, or the like together with the power supplied to each of the heaters described above, or in place of the power supplied to each heater described above.
  • step ST12 when the carboxylic acid in the processing gas is formic acid, the temperature of the substrate support portion 121 may be 120° C. or higher. In step ST12, when the carboxylic acid in the processing gas is formic acid, the pressure of the carboxylic acid may be 0.3 Torr or more or 0.5 Torr or more. In step ST12, when the carboxylic acid in the processing gas is trifluoroacetic acid, the temperature of the substrate support portion 121 may be 90°C or higher, or 120°C or higher. In step ST12, when the carboxylic acid in the processing gas is trifluoroacetic acid, the pressure of the carboxylic acid may be 0.3 Torr or more or 0.5 Torr or more.
  • step ST12 when the carboxylic acid in the processing gas is acetic acid, the temperature of the substrate support portion 121 may be 120°C or higher, 150°C or higher, or 180°C or higher.
  • the pressure of the carboxylic acid when the carboxylic acid in the processing gas is acetic acid, the pressure of the carboxylic acid may be 0.3 Torr or more or 0.5 Torr or more.
  • Step ST12 may be performed until the second region RM2 is removed and the base film UF is exposed.
  • FIG. 8 is a diagram showing an example of the cross-sectional structure of the substrate W after development.
  • the second region RM2 of the resist film RM is removed to form an opening OP.
  • the opening OP is defined by the side surface of the first region RM1.
  • the opening OP is a space surrounded by the side surface on the base film UF.
  • the opening OP has a shape corresponding to the second region RM2 (resultingly a shape corresponding to the exposure mask pattern used for EUV exposure) in a plan view of the substrate W.
  • the shape may be, for example, a circle, an ellipse, a rectangle, a line, or a combination of one or more of these.
  • a plurality of openings OP may be provided in the resist film RM after development.
  • the plurality of openings OP each have a linear shape, and may be lined up at regular intervals to form a line-and-space pattern. Further, a plurality of openings OP may be arranged in a grid pattern to form a pillar pattern.
  • the second region RM1 has a high selection ratio (ratio of the development speed of the second region RM2 to the development speed of the first region RM1, hereinafter also referred to as "development contrast"). Region RM2 can be removed. Furthermore, according to the first embodiment, it is possible to suppress the generation of residues due to development.
  • FIG. 9 is a flowchart showing a substrate processing method (hereinafter also referred to as "method MT2") according to the second embodiment.
  • Method MT2 includes step ST21 and step ST22.
  • step ST21 a substrate W is provided similarly to step ST11 of method MT1.
  • step ST22 the resist film RM is developed.
  • Step ST22 includes a step ST22-1 of developing a part of the resist film under first conditions, and a step ST22-2 of developing the resist film RM under second conditions different from the first conditions.
  • the combination of the first condition and the second condition may satisfy any one or more of the following conditions (A) to (C), or may satisfy any two or more of the following conditions.
  • the pressure of carboxylic acid is the pressure of carboxylic acid when the processing gas is a single gas, and is the partial pressure of carboxylic acid when the processing gas is a mixed gas. .
  • step ST22-1 the resist film RM is developed using a first processing gas, and in step ST22-2, the resist film RM is developed using a second processing gas different from the first processing gas.
  • step ST22-1 the pressure of carboxylic acid is set to a first pressure to develop the resist film RM, and in step ST22-2, the pressure of carboxylic acid is set to a second pressure different from the first pressure. Then, the resist film RM is developed.
  • the substrate support 121 is set to a first temperature to develop the resist film RM, and in step ST22-2, the substrate support 121 is set to a second temperature different from the first temperature. Then, the resist film RM is developed.
  • a gas containing an inorganic acid may be used as the first processing gas, and a gas containing a carboxylic acid may be used as the second processing gas.
  • the inorganic acid may be a gas that is more acidic than the carboxylic acid.
  • the inorganic acid may be, for example, at least one selected from the group consisting of HBr, BCl 3 , HCl, HF, and HI.
  • the carboxylic acid may be any one or more of the carboxylic acids mentioned above.
  • a gas containing an organic acid other than carboxylic acid may be used as the first processing gas, and a gas containing carboxylic acid may be used as the second processing gas.
  • a gas containing carboxylic acid may be used as the first processing gas, and a gas containing an organic acid other than carboxylic acid may be used as the second processing gas.
  • a gas containing a carboxylic acid is used as the first processing gas, and a gas containing a carboxylic acid having an acidity lower than the acidity of the first processing gas or an organic acid other than the carboxylic acid is used as the second processing gas. may also be used.
  • Organic acids other than carboxylic acids include acetylacetone ( CH3C (O)CH2C(O) CH3 ), trichloroacetylacetone (CCl3C ( O) CH2C (O) CH3 ), and hexachloroacetylacetone (CCl3 ) .
  • the carboxylic acid may be any one or more of the carboxylic acids mentioned above.
  • a gas containing a first carboxylic acid is used as the first processing gas, and a gas containing a second carboxylic acid different from the first carboxylic acid is used as the second processing gas.
  • the acidity of the second carboxylic acid may be lower than the acidity of the first carboxylic acid.
  • Trifluoroacetic acid may be used as the first carboxylic acid and formic acid or acetic acid may be used as the second carboxylic acid, or formic acid may be used as the first carboxylic acid and acetic acid may be used as the second carboxylic acid.
  • a mixed gas containing carboxylic acid may be used as the processing gas.
  • the processing gas includes a carboxylic acid and an inert gas.
  • the partial pressure of carboxylic acid may be set to a first pressure in step ST22-1, and the partial pressure of carboxylic acid may be set to a second pressure different from the first pressure in step ST22-2.
  • the second pressure may be lower than the first pressure.
  • the first pressure may be greater than or equal to 60 Torr and less than or equal to 90 Torr, and the second pressure may be greater than or equal to 1.5 Torr and less than or equal to 20 Torr.
  • the processing gas contains a carboxylic acid and an inorganic acid such as HBr.
  • the partial pressure of carboxylic acid may be set to a first pressure in step ST22-1, and the partial pressure of carboxylic acid may be set to a second pressure different from the first pressure in step ST22-2.
  • the second pressure may be higher than the first pressure.
  • the first pressure may be greater than or equal to 30 Torr and less than or equal to 50 Torr, and the second pressure may be greater than or equal to 80 Torr and less than or equal to 90 Torr.
  • the second temperature may be lower than the first temperature.
  • the first temperature may be greater than or equal to 110°C and less than or equal to 130°C
  • the second temperature may be greater than or equal to 70°C and less than or equal to 90°C.
  • the development conditions are changed depending on the development depth of the resist film RM. Therefore, even if the intensity of the exposure reaction differs in the thickness direction, the second region RM2 can be removed with a high selection ratio with respect to the first region RM1.
  • the change from the first condition to the second condition may be continuous or stepwise. Further, the cycle including step ST22-1 and step ST22-2 may be repeated multiple times.
  • FIG. 10(a), FIG. 10(b), and FIG. 10(c) is an example of timing showing the substrate processing method according to the third embodiment (hereinafter also referred to as "method MT3"). It is a chart.
  • Method MT3 includes step ST31 and step ST32.
  • step ST31 a substrate W is provided similarly to step ST11 of method MT1.
  • step ST32 the resist film RM is developed.
  • the period in which step ST32 is performed is a first period and a second period alternating with the first period. period.
  • the combination of the processing conditions for the first period and the processing conditions for the second period may satisfy any one or more of the following conditions (A) to (C), and any two or more of the following conditions may be satisfied. May be satisfied.
  • the pressure of carboxylic acid is the pressure of carboxylic acid when the processing gas is a single gas, and is the partial pressure of carboxylic acid when the processing gas is a mixed gas. .
  • the resist film RM is developed using a first processing gas, and in the second period, the resist film RM is developed using a second processing gas different from the first processing gas. (See FIG. 10(a)).
  • the pressure of the carboxylic acid is set to a first pressure to develop the resist film RM, and in the second period, the pressure of the carboxylic acid is set to a second pressure different from the first pressure.
  • the resist film RM is developed by setting (see (b) in FIG. 10).
  • the resist film RM is developed by setting the second temperature (see (c) in FIG. 10).
  • a gas containing an inorganic acid may be used as the first processing gas, and a gas containing a carboxylic acid may be used as the second processing gas.
  • the inorganic acid may be, for example, at least one selected from the group consisting of HBr, BCl3, HCl, and HF.
  • the carboxylic acid may be any one or more of the carboxylic acids mentioned above.
  • a gas containing an organic acid other than carboxylic acid may be used as the first processing gas, and a gas containing carboxylic acid may be used as the second processing gas.
  • a gas containing carboxylic acid may be used as the first processing gas, and a gas containing an organic acid other than carboxylic acid may be used as the second processing gas.
  • the gas containing an organic acid other than carboxylic acid may be, for example, the above-mentioned ⁇ -dicarbonyl compound or alcohol.
  • the carboxylic acid may be any one or more of the carboxylic acids mentioned above.
  • a gas containing a first carboxylic acid is used as the first processing gas, and a gas containing a second carboxylic acid different from the first carboxylic acid is used as the second processing gas.
  • the first carboxylic acid and the second carboxylic acid may each be any one or more of the carboxylic acids mentioned above.
  • the first pressure may be 0 Torr or more and 0.1 Torr or less, and the second pressure may be 1 Torr or more and 10 Torr or less.
  • the first temperature may be 110°C or more and 130°C or less
  • the second temperature may be 170°C or more and 190°C or less.
  • the developing step (ST32) includes a first period and a second period alternating with the first period. Therefore, the volatilization of the reaction by-products generated in step ST32 is promoted, and the generation of residue in step ST32 can be suppressed.
  • the pressure of the carboxylic acid and the temperature of the substrate support part 121 are both "Low” in the first period and “Low” in the second period. Although it is controlled to be “High”, it may be controlled to be “High” in the first period and "Low” in the second period.
  • FIG. 11 is a flowchart showing a substrate processing method (hereinafter also referred to as "method MT4") according to the fourth embodiment.
  • Method MT4 includes a step of providing a substrate W (step ST41), a step of removing a part of the second region (step ST42), a step of forming a deposited film (step ST43), a descum step (step ST44), and a step of removing a part of the second region (step ST42).
  • a step of removing the region (step ST45) is included.
  • the plasma processing apparatus 1 shown in FIG. 3 may be used as the development processing system.
  • Method MT4 can also use the heat treatment apparatus 100 as shown in FIG. (not shown). The method MT4 will be described below, taking as an example the case where the plasma processing system shown in FIG. 3 is used as the development processing system.
  • step ST41 the substrate W is provided similarly to step ST11.
  • a part of the second region RM2 is removed by developing the resist film RM.
  • step ST42 development may be stopped before the base film UF is exposed.
  • a part of the second region RM2 may be removed by a process similar to the process in step ST12 described above.
  • the processing gas used in step ST42 is the same processing gas as the processing gas used in step ST11.
  • FIGS. 12(a) and 12(b) is a diagram showing an example of the cross-sectional structure of the substrate W after step ST42. In the example shown in FIG. 12(b), there are residues (scum) S1 to S3 that cannot be removed by the process ST42.
  • the scum S1 to S3 can be removed in step ST44 (descum step), which will be described later.
  • a deposited film DF is formed.
  • the deposited film DF may be a carbon-containing film or a silicon-containing film.
  • the deposited film DF may be formed by plasma generated from a third processing gas containing a carbon-containing gas or a silicon-containing gas.
  • the third processing gas is supplied from the gas supply section 20 into the plasma processing space 10s.
  • a source RF signal is then provided to the top or bottom electrode.
  • a high frequency electric field is generated within the plasma processing space 10s, and plasma is generated from the third processing gas.
  • radicals containing carbon or silicon contained in the plasma may be deposited on at least a portion of the resist film RM.
  • FIG. 13(a) is a diagram showing an example of the cross-sectional structure of the substrate W after step ST43.
  • the deposited film DF is formed on the upper surface TS1 of the first region RM1 of the resist film RM (hereinafter, "first region RM1 of resist film RM” is referred to as “first region RM1").
  • the deposited film DF may be further formed on at least a portion of the side surface SS1 of the first region RM1. In this case, the deposited film DF may be formed thicker on the top surface TS1 than on the side surface SS1 of the first region RM1.
  • the deposited film DF may be further deposited on at least a portion of the upper surface TS2 of the second region RM2.
  • the deposited film DF may be formed on a portion of the upper surface TS2 of the second region RM2 over which the space provided by the first region RM1 is wide. Further, the deposited film DF may be formed thicker on the upper surface TS1 of the first region RM1 than on the upper surface TS2 of the second region RM2.
  • the third processing gas may contain a gas containing carbon and hydrogen.
  • the gas may be, for example, hydrocarbon (C x H y : x and y are positive integers. Also referred to as CH-based gas), and examples include CH 4 gas, C 2 H 2 gas, and C 2 H 4 gas. Alternatively, C 3 H 6 gas may be used.
  • the gas may be fluorocarbon (CxFz: x and z are positive integers; also referred to as CF-based gas), and in one example, may be C 4 F 6 or C 4 F 8 .
  • the gas may be a hydrofluorocarbon (C x H y F z : x, y, and z are positive integers. Also referred to as CHF-based gas), and in one example, CH 2 F 2 gas or CH 3 It may be F gas.
  • the third processing gas is, for example, a mixed gas of a silicon-containing gas such as SiCl 4 gas or SiF 4 gas, and an oxidizing gas or hydrogen-containing gas. It's fine.
  • the oxidizing gas may be, for example, at least one selected from the group consisting of O 2 gas, CO gas, and CO 2 gas.
  • the hydrogen-containing gas may be, for example, H2 gas.
  • the deposited film DF may be formed by an atomic layer deposition method (hereinafter also referred to as "ALD method").
  • the silicon-containing gas described above is supplied as a precursor to form a precursor layer on the upper surface TS1 of the first region RM1, and then the oxidizing gas or hydrogen-containing gas is may be supplied to cause the oxidizing gas or hydrogen-containing gas to react with the precursor layer.
  • a silicon-containing film may be formed as the deposited film DF, and then a carbon-containing film may be formed.
  • step ST44 which will be described later, may be performed simultaneously with the formation of the carbon-containing film.
  • a silicon-containing film may be formed as the deposited film DF after forming a carbon-containing film.
  • step ST44 which will be described later, may be performed simultaneously with the formation of the silicon-containing film. Note that in step ST43, the bias signal (bias RF signal or pulsed first DC signal) does not need to be supplied to the lower electrode of the substrate support section 11.
  • a descum step may be performed to remove the scum.
  • the scum includes a scum S1 formed on the side wall of the first region RM1, and a scum S1 extending from the lower part of the exposed part of the first region RM1 toward the surface of the second region RM2.
  • the scum S2 and the scum S3 formed on the surface of the second region RM2 may be included.
  • the scum S1 to S3 may be removed by plasma generated from the fourth processing gas.
  • the fourth processing gas is supplied from the gas supply unit 20 into the plasma processing space 10s.
  • a source RF signal is then provided to the top or bottom electrode.
  • a high frequency electric field is generated within the plasma processing space 10s, and plasma is generated from the fourth processing gas.
  • a bias signal may be supplied to the lower electrode of the substrate support part 11. Then, the scum S1 to S3 are removed by plasma generated from the fourth processing gas.
  • the fourth processing gas may include at least one selected from the group consisting of helium-containing gas, hydrogen-containing gas, bromine-containing gas, and chlorine-containing gas.
  • the fourth processing gas may include at least one selected from the group consisting of helium gas, hydrogen gas, hydrogen bromide gas, and boron trichloride gas.
  • the fourth processing gas may further contain a noble gas such as Ar gas or an inert gas such as N 2 gas.
  • a part of the deposited film DF may be removed together with the scum S1 to S3.
  • a part of the deposited film DF formed on the upper surface TS1 of the first region RM1 may also be removed.
  • the deposited film DF can be removed in both its thickness direction and width direction.
  • the remaining part or all of the second region RM2 may be removed together with the scum S1 to S3. That is, step ST44 and step ST45, which will be described later, may be performed simultaneously.
  • the second region RM2 is further removed.
  • the second region RM2 may be removed by plasma generated from the fifth processing gas.
  • the fifth processing gas is supplied from the gas supply unit 20 into the plasma processing space 10s.
  • a source RF signal is then provided to the top or bottom electrode.
  • a bias signal may be supplied to the lower electrode of the substrate support part 11.
  • the second region RM2 is removed by radicals contained in the plasma generated from the fifth processing gas.
  • a step of heating the substrate W may be performed after step ST42 and before step ST45.
  • step ST43 and step ST44 may be omitted.
  • the temperature of the substrate W in the step of heating the substrate W may be 180° C. or higher or 190° C. or higher.
  • the temperature of the substrate W in the step of heating the substrate W may be 240° C. or higher or 220° C. or lower.
  • the step of heating the substrate W and step ST45 may be performed using a single chamber, or may be performed using two or more different chambers. That is, the chamber used to perform the step of heating the substrate W and the chamber used in step ST45 may be the same chamber, that is, a single chamber, or may be different chambers from each other. .
  • FIG. 13(b) is a diagram showing an example of the cross-sectional structure of the substrate W after processing in step ST45.
  • the second region RM2 is removed in step ST45.
  • the entire deposited film DF formed on the second region RM2 may be removed.
  • an opening OP defined by the side surface SS1 of the first region RM1 is formed on the base film UF.
  • the upper surface of the base film UF is exposed at the opening OP.
  • the parameters of the plasma treatment for removing the second region RM2 may be appropriately set according to the critical dimension (CD) of the first region RM1.
  • the dimension may be a target dimension after execution of step ST14.
  • the fifth processing gas may be at least one type selected from the gases listed as the above-mentioned first processing gas, and may be the same gas as the first processing gas.
  • the fifth processing gas may be at least one type selected from the gases listed as the fourth processing gas described above, and may be the same gas as the fourth processing gas.
  • Step ST45 can also be performed by the heat treatment apparatus 100 without generating plasma.
  • the fifth processing gas may be supplied into the processing chamber 102, the pressure within the processing chamber may be controlled to a predetermined pressure, and the temperature of the substrate W or the substrate support portion 121 may be adjusted to a predetermined temperature.
  • step ST43, step ST44, and step ST45 may be performed simultaneously. That is, after execution of step ST42, the third processing gas, the fourth processing gas, and the fifth processing gas are supplied from the gas supply section 20 into the plasma processing space 10s. A source RF signal is then provided to the top or bottom electrode. As a result, a high frequency electric field is generated within the plasma processing space 10s, and plasma is generated from the third processing gas, the fourth processing gas, and the fifth processing gas. At this time, a bias signal may be supplied to the lower electrode of the substrate support part 11. Then, a deposited film DF is formed in the first region RM1 from radicals generated from the third processing gas.
  • the scums S1 to S3 and the second region RM2 are removed by radicals generated from the fourth processing gas and the fifth processing gas. That is, the second region RM2 can be removed while protecting the first region RM1 with the deposited film DF. Thereby, the second region RM2 can be removed while appropriately controlling the dimensions of the first region RM1.
  • step ST43, step ST44, and step ST45 may be performed in this order, and step ST43 , step ST44, and step ST45 may be performed simultaneously.
  • the first region is appropriately protected by the deposited film DF.
  • the remainder of the second region RM2 or the residue of the second region is removed, so that the side surface SS1 of the first region RM1 and the deposited film DF are removed. Surface roughness caused by unevenness on the side surface can be reduced.
  • the heat treatment system may include a heat treatment apparatus 100a shown in FIGS. 14(a) and 14(b) instead of the heat treatment apparatus 100 shown in FIG. 1.
  • FIG. 14(a) is a schematic cross-sectional view showing a configuration example of the heat treatment apparatus 100a
  • FIG. 14(b) is a schematic plan view showing a configuration example of the heat treatment apparatus 100a.
  • the heat treatment apparatus 100a includes a shower head 141a and a plurality of gas nozzles 141b on the side wall.
  • the shower head 141a is provided on the ceiling of the processing chamber 102.
  • the shower head 141a may be arranged to face the substrate support section 121.
  • the plurality of gas nozzles 141b are provided on the side wall of the processing chamber 102.
  • the plurality of gas nozzles 141b may be arranged at equal intervals along the circumferential direction, for example, on the side wall of the processing chamber 102.
  • the plurality of gas nozzles 141b may include a first gas nozzle 141b1 and a second gas nozzle 141b2.
  • the first gas nozzles 141b1 and the second gas nozzles 141b2 may be arranged alternately along the circumferential direction.
  • the types of gases supplied into the processing chamber 102 from each of the shower head 141a, the first gas nozzle 141b1, and the second gas nozzle 141b2 may be the same or different.
  • the flow rates of the gases supplied into the processing chamber 102 from each of the shower head 141a, the first gas nozzle 141b1, and the second gas nozzle 141b2 may be the same or different.
  • heaters may be arranged on each of the side walls of the substrate support section 121 and the processing chamber 102, similarly to the heat processing apparatus 100.
  • a gas exhaust port (not shown) may be arranged on the bottom side of the processing chamber 102.
  • the gas density in the processing chamber 102 can be easily controlled, and the in-plane uniformity in developing the resist film RM can be improved.
  • a substrate support section 121a shown in FIG. 15 may be used instead of the substrate support section 121 shown in FIG.
  • the substrate support section 121a shown in FIG. 15 has a plurality of zones, and each zone is provided with a heater electrode.
  • the plurality of zones are arranged along a plane perpendicular to the central axis of the substrate support part 121a or a plane parallel to the substrate W.
  • the substrate support portion 121a has zones Z1 to Z14, and each zone has a heater electrode.
  • the heater electrodes in each zone are configured to be able to be supplied with power independently. That is, the substrate support portion 121a is configured to be able to independently control the temperature for each zone. According to the substrate support portion 121a, the in-plane uniformity in developing the resist film RM can be improved.
  • parts inside the processing chamber 102 such as the side wall of the processing chamber 102 and/or the substrate support section 121 are processed.
  • pre-coated may be performed by atomic layer deposition (hereinafter also referred to as "ALD method"), chemical vapor deposition (hereinafter also referred to as "CVD method"), or the like.
  • ALD method atomic layer deposition
  • CVD method chemical vapor deposition
  • the gas for forming the precoat a gas capable of forming a film that is resistant to a processing gas containing carboxylic acid may be selected.
  • a silicon-containing gas such as aminosilane or SiCl4 can be used.
  • a silicon oxide film may be formed as a precoat on the sidewalls of the processing chamber 102 and/or parts within the chamber. Thereby, corrosion of the side wall of the processing chamber 102 and/or the substrate support portion 121, etc. due to the processing gas can be suppressed.
  • the side wall of the processing chamber 102 and/or the parts inside the chamber may be made of a material that is resistant to processing gas containing carboxylic acid or the like.
  • the inside of the processing chamber 102 may be cleaned after substrate processing (development).
  • the cleaning gas is supplied into the processing chamber 102 after heating the processing chamber 102 and the parts within the chamber.
  • a gas containing hydrogen halide such as HBr or HF can be used.
  • the cleaning may be performed by thermal atomic layer etching (hereinafter also referred to as "thermal ALE method").
  • thermal ALE method thermal atomic layer etching
  • the base film UF is etched using the resist film RM developed by any of methods MT1 to MT4 as a mask.
  • the etching conditions for the base film UF may be selected based on the type of the base film UF.
  • the etching of the base film UF is performed by the plasma processing apparatus 1 shown in FIG. 3.
  • FIG. 16 is a block diagram for explaining a configuration example of the substrate processing system SS according to the exemplary embodiment.
  • the substrate processing system SS includes a first carrier station CS1, a first processing station PS1, a first interface station IS1, an exposure apparatus EX, a second interface station IS2, and a second processing station PS2. , a second carrier station CS2, and a controller CT.
  • the first carrier station CS1 carries in and out the first carrier C1 between the first carrier station CS1 and a system outside the substrate processing system SS.
  • the first carrier station CS1 has a mounting table having a plurality of first mounting plates ST1. On each first mounting plate ST1, a first carrier C1 containing a plurality of substrates W or empty is mounted.
  • the first carrier C1 has a casing that can house a plurality of substrates W therein.
  • the first carrier C1 is, for example, a FOUP (Front Opening Unified Pod).
  • the first carrier station CS1 transports the substrate W between the first carrier C1 and the first processing station PS1.
  • the first carrier station CS1 further includes a first transport device HD1.
  • the first transport device HD1 is provided in the first carrier station CS1 so as to be located between the mounting table and the first processing station PS1.
  • the first transport device HD1 transports and transfers the substrate W between the first carrier C1 on each first mounting plate ST1 and the second transport device HD2 of the first processing station PS1.
  • the substrate processing system SS may further include a load lock module.
  • a load lock module may be provided between the first carrier station CS1 and the first processing station PS1.
  • the load lock module can switch its internal pressure to atmospheric pressure or vacuum. "Atmospheric pressure" may be the pressure inside the first transport device HD1.
  • “Vacuum” is a pressure lower than atmospheric pressure, and may be a medium vacuum of, for example, 0.1 Pa to 100 Pa.
  • the interior of the second transport device HD2 may be at atmospheric pressure or vacuum.
  • the load lock module transports the substrate W from the first transport device HD1 at atmospheric pressure to the second transport device HD2 at vacuum, and from the second transport device HD2 at vacuum to the second transport device HD2 at atmospheric pressure.
  • the substrate W may be transported to the No. 1 transport device HD1.
  • the first processing station PS1 performs various processing on the substrate W.
  • the first processing station PS1 includes a pre-processing module PM1, a resist film forming module PM2, and a first heat processing module PM3 (hereinafter also referred to as "first substrate processing module PMa").
  • the first processing station PS1 includes a second transport device HD2 that transports the substrate W.
  • the second transport device HD2 transfers substrates between two specified first substrate processing modules PMa, and between the first processing station PS1 and the first carrier station CS1 or the first interface station IS1. Transports and delivers W.
  • the substrate W is subjected to pre-processing.
  • the preprocessing module PM1 includes a temperature adjustment unit that adjusts the temperature of the substrate W, a high-precision temperature adjustment unit that adjusts the temperature of the substrate W with high precision, and the like.
  • the pretreatment module PM1 includes a surface modification treatment unit that performs a surface modification treatment on the substrate W.
  • Each processing unit of the pre-processing module PM1 may be configured to include a heat processing apparatus 100 (see FIG. 1), a plasma processing apparatus 1 (see FIGS. 2 and 3), and/or a liquid processing apparatus.
  • the resist film forming module PM2 includes a dry coating unit.
  • the dry coating unit forms a resist film on the substrate W using a dry process such as a vapor deposition method.
  • the dry coating unit includes, for example, a CVD device or an ALD device that chemically vapor deposits a resist film, or a PVD device that physically vapor deposits a resist film on a substrate W disposed in a chamber.
  • the dry coating unit may be a heat treatment apparatus 100 (see FIG. 1) or a plasma treatment apparatus 1 (see FIGS. 2 and 3).
  • the resist film forming module PM2 includes a wet coating unit.
  • the wet coating unit forms a resist film on the substrate W using a wet process such as a solution coating method.
  • the wet coating unit may be a liquid processing device in one example.
  • the example resist film forming module PM2 includes both a wet coating unit and a dry coating unit.
  • the substrate W is subjected to heat treatment.
  • the first heat treatment module PM3 includes a pre-bake (PAB) unit that performs heat treatment on the substrate W on which a resist film is formed, a temperature adjustment unit that adjusts the temperature of the substrate W, and a temperature adjustment unit that adjusts the temperature of the substrate W with high precision.
  • the temperature control unit includes one or more high-precision temperature control units. Each of these units may each have one or more heat treatment devices. In one example, multiple heat treatment devices may be stacked.
  • the heat treatment apparatus may be, for example, the heat treatment apparatus 100 (see FIG. 1). Each heat treatment may be performed at a predetermined temperature using a predetermined gas.
  • the first interface station IS1 has a third transport device HD3.
  • the third transport device HD3 transports and transfers the substrate W between the first processing station PS1 and the exposure apparatus EX.
  • the third transport device HD3 may have a casing that accommodates the substrate W, and may be configured such that the temperature, humidity, pressure, etc. inside the casing can be controlled.
  • the exposure apparatus EX exposes the resist film on the substrate W using an exposure mask (reticle).
  • the exposure apparatus EX may be, for example, an EUV exposure apparatus having a light source that generates EUV light.
  • the second interface station IS2 has a fourth transport device HD4.
  • the fourth transport device HD4 transports and transfers the substrate W between the exposure apparatus EX and the second processing station PS2.
  • the fourth transport device HD4 has a casing that accommodates the substrate W, and may be configured such that the temperature, humidity, pressure, etc. inside the casing can be controlled.
  • the second processing station PS2 performs various processing on the substrate W.
  • the second processing station PS2 includes a second heat treatment module PM4, a measurement module PM5, a development module PM6, and a third heat treatment module PM7 (hereinafter also collectively referred to as "second substrate processing module PMb").
  • the second processing station PS2 includes a fifth transport device HD5 that transports the substrate W.
  • the fifth transport device HD5 transports substrates between two specified second substrate processing modules PMb and between the second processing station PS2 and the second carrier station CS2 or the second interface station IS2. Transports and delivers W.
  • the substrate W is subjected to heat treatment.
  • the second heat treatment module PM4 includes a post-exposure bake (PEB) unit that heat-treats the substrate W after exposure, a temperature adjustment unit that adjusts the temperature of the substrate W, and a temperature adjustment unit that adjusts the temperature of the substrate W with high precision. It includes any one or more of high-precision temperature control units to be adjusted. Each of these units may each have one or more heat treatment devices. In one example, multiple heat treatment devices may be stacked.
  • the heat treatment apparatus may be, for example, the heat treatment apparatus 100 (see FIG. 1). Each heat treatment may be performed at a predetermined temperature using a predetermined gas.
  • the measurement module PM5 includes an imaging unit including a mounting table on which the substrate W is placed, an imaging device, an illumination device, and various sensors (temperature sensor, reflectance measurement sensor, etc.).
  • the imaging device may be, for example, a CCD camera that images the appearance of the substrate W.
  • the imaging device may be a hyperspectral camera that separates light into wavelengths and photographs the images.
  • the hyperspectral camera can measure any one or more of the pattern shape, dimensions, film thickness, composition, and film density of the resist film.
  • the substrate W is subjected to development processing.
  • the development module PM6 includes a dry development unit that performs dry development on the substrate W.
  • the dry development unit may be, for example, a thermal processing apparatus 100 (see FIG. 1) or a plasma processing apparatus 1 (see FIGS. 2 and 3).
  • the development module PM6 includes a wet development unit that performs wet development on the substrate W.
  • the wet development unit may be, for example, a liquid processing device.
  • development module PM6 includes both a dry development unit and a wet development unit.
  • the substrate W is subjected to heat treatment.
  • the third heat treatment module PM7 includes a post bake (PB) unit that heats the substrate W after development, a temperature adjustment unit that adjusts the temperature of the substrate W, and a temperature adjustment unit that increases the temperature of the substrate W. It includes one or more high-precision temperature control units that adjust accurately. Each of these units may each have one or more heat treatment devices. In one example, multiple heat treatment devices may be stacked.
  • the heat treatment apparatus may be, for example, the heat treatment apparatus 100 (see FIG. 1). Each heat treatment may be performed at a predetermined temperature using a predetermined gas.
  • the second carrier station CS2 carries in and out the second carrier C2 between the second carrier station CS2 and a system external to the substrate processing system SS.
  • the configuration and function of the second carrier station CS2 may be similar to the first carrier station CS1 described above.
  • the control unit CT controls each component of the substrate processing system SS to perform a given process on the substrate W.
  • the control unit CT stores recipes in which process procedures, process conditions, transport conditions, etc. Control configuration.
  • the control unit CT may serve as part or all of the functions of each control unit (the control unit 200 and the control unit 2 shown in FIGS. 1 to 3, and the control unit of the liquid processing apparatus).
  • FIG. 17 is a flowchart illustrating a substrate processing method (hereinafter also referred to as "method MT") according to an exemplary embodiment.
  • the method MT includes a step ST100 of pre-processing the substrate, a step ST200 of forming a resist film on the substrate, and a step ST200 of performing heat treatment (pre-bake: PAB) on the substrate on which the resist film is formed.
  • PEB post-exposure bake
  • the process includes ST700, a step ST800 of performing heat treatment (post-bake: PB) on the substrate after development, and a step ST900 of etching the substrate.
  • Method MT may not include one or more of the above steps.
  • method MT may not include step ST600, and step ST700 may be performed after step ST500.
  • Method MT may be performed using the substrate processing system SS shown in FIG. 16.
  • the control unit CT of the substrate processing system SS controls each part of the substrate processing system SS to execute the method MT on the substrate W will be described as an example.
  • the first carrier C1 containing a plurality of substrates W is carried into the first carrier station CS1 of the substrate processing system SS.
  • the first carrier C1 is mounted on the first mounting plate ST1.
  • each substrate W in the first carrier C1 is sequentially taken out by the first transport device HD1 and delivered to the second transport device HD2 of the first processing station PS1.
  • the substrate W is transported to the preprocessing module PM1 by the second transport device HD2.
  • the preprocessing module PM1 performs preprocessing on the substrate W.
  • the pretreatment may include, for example, one or more of temperature adjustment of the substrate W, formation of part or all of the base film of the substrate W, heat treatment of the substrate W, and high-precision temperature adjustment of the substrate W.
  • the pretreatment may include surface modification treatment of the substrate W.
  • a resist film is formed on the substrate W by the resist film forming module PM2.
  • the formation of the resist film is performed by a wet process such as liquid deposition.
  • a resist film is formed by spin coating a resist film on the substrate W using the wet coating unit of the resist film forming module PM2.
  • the resist film is formed on the substrate W by a dry process such as a vapor deposition method.
  • a resist film is formed by depositing a resist film on the substrate W using the dry coating unit of the resist film forming module PM2.
  • the formation of the resist film on the substrate W may be performed using both a dry process and a wet process.
  • a second resist film may be formed on the first resist film by a wet process.
  • the film thickness, material, and/or composition of the first resist film and the second resist film may be the same or different.
  • the first heat treatment module PM3 performs heat treatment (pre-bake: PAB) on the substrate W.
  • Prebaking may be performed in an air atmosphere or in an inert atmosphere. Further, the prebaking may be performed by heating the substrate W to a temperature of 50° C. or higher and 250° C. or lower, 50° C. or higher and 200° C. or lower, or 80° C. or higher and 150° C. or lower.
  • prebaking may be performed continuously in the dry coating unit that performed step ST200.
  • a process of removing the resist film at the edge of the substrate W (Edge Bead Removal: EBR) may be performed.
  • the substrate W is transferred by the second transport device HD2 to the third transport device HD3 of the first interface station IS1.
  • the substrate W is then transported to the exposure apparatus EX by the third transport device HD3.
  • the substrate W receives EUV exposure through an exposure mask (reticle) in the exposure apparatus EX.
  • a first region exposed to EUV light and a second region not exposed to EUV light are formed on the substrate W, corresponding to the pattern of the exposure mask (reticle).
  • the substrate W is transferred from the fourth transport device HD4 of the second interface station IS2 to the fifth transport device HD5 of the second processing station PS2.
  • the substrate W is then transported to the second heat treatment module PM4 by the fifth transport device HD5.
  • the substrate W is subjected to heat treatment (post-exposure bake: PEB) in the second heat treatment module PM4.
  • the post-exposure bake may be performed in an atmospheric atmosphere. Further, the post-exposure bake may be performed by heating the substrate W to a temperature of 180° C. or higher and 250° C. or lower.
  • the measurement module PM5 measures the substrate W.
  • the measurements may be optical measurements or other measurements.
  • the measurements by the measurement module PM5 include measurements of the appearance and/or dimensions of the substrate W using a CCD camera.
  • the measurement module PM5 measures any one or more of the pattern shape, dimensions, film thickness, composition, and film density (hereinafter also referred to as "pattern shape, etc.") of the resist film using a hyperspectral camera. Including measurements.
  • control unit CT determines whether or not there is an exposure abnormality in the substrate W based on the measured appearance, dimensions, and/or pattern shape of the substrate W. In one embodiment, if the control unit CT determines that there is an exposure abnormality, the substrate W may be reworked or discarded without performing development in step ST700. Rework of the substrate W may be performed by removing the resist on the substrate W and returning to step ST200 again to form a resist film. Although rework after development may involve damage to the substrate W, damage to the substrate W can be avoided or suppressed by performing rework before development.
  • the substrate W is transported to the developing module PM6 by the fifth transport device HD5.
  • the development module PM6 the resist film on the substrate W is developed.
  • the development process may be performed by dry development or wet development.
  • the development process may be performed using a combination of dry development and wet development.
  • the development process in step ST700 may be performed by the first method (see FIGS. 5 and 11) or the second method (see FIGS. 12(a) and 12(b)).
  • a desorption process may be performed one or more times after or during the development process.
  • the desorption process includes descuming or smoothing the surface of the resist film with an inert gas such as helium or a plasma of the inert gas.
  • an inert gas such as helium or a plasma of the inert gas.
  • the substrate W is transported to the third heat treatment module PM7 by the fifth transport device HD5, and is subjected to heat treatment (post-bake).
  • Post-baking may be performed in an atmospheric atmosphere or in a reduced pressure atmosphere containing N 2 or O 2 . Further, post-baking may be performed by heating the substrate W to a temperature of 150° C. or more and 250° C. or less. Post-baking may be performed by the second heat treatment module PM4 instead of the third heat treatment module PM7.
  • optical measurements of the substrate W may be made by the measurement module PM5 after post-baking. Such measurements may be performed in addition to or in place of the measurements in step ST600.
  • the control unit CT determines whether or not there are abnormalities such as defects, scratches, and adhesion of foreign substances in the developed pattern of the substrate W based on the measured appearance, dimensions, and/or pattern shape of the substrate W. etc. are determined. In one embodiment, if the controller CT determines that there is an abnormality, the substrate W may be reworked or discarded without performing etching in step ST900. In one embodiment, if the control unit CT determines that there is an abnormality, the opening size of the resist film of the substrate W may be adjusted using a dry coating unit (CVD device, ALD device, etc.).
  • the substrate W is transferred by the fifth transfer device HD5 to the sixth transfer device HD6 of the second carrier station CS2, and the substrate W is transferred to the sixth transfer device HD6 of the second carrier station CS2 by the sixth transfer device HD6. It is transported to the second carrier C2.
  • the second carrier C2 is then transported to a plasma processing system (not shown).
  • the base film UF of the substrate W is etched using the developed resist film as a mask.
  • the method MT ends. Note that when the resist film is developed using a plasma processing apparatus in step ST700, etching may be continuously performed within the plasma processing chamber of the plasma processing apparatus.
  • the second processing station PS2 includes a plasma processing module in addition to the development module PM6, the etching may be performed within the plasma processing module.
  • the desorption process described above may be performed one or more times before or during etching.
  • These figures show the results of various experiments.
  • "Unexposed” indicates the results of an experiment in which development was performed on an unexposed resist film
  • “Exposed” indicates the results in which development was performed on a resist film that had been exposed to EUV light. Shows the results of the experiment.
  • Thickness indicates the thickness of the resist film
  • Normalized Thickness indicates the thickness of the resist film normalized by the thickness of the resist film in the state before development.
  • the resist film was a resist film containing tin oxide.
  • the heat treatment system shown in FIG. 1 was used. In the experiments whose results are shown in these figures, the relationship between the development time and the thickness of the resist film was determined.
  • Experiment 1 an unexposed resist film and an exposed resist film were developed using a processing gas that was a mixed gas of HBr gas and Ar gas.
  • the partial pressure of HBr gas was set to 0.2 Torr (26.6 Pa).
  • the temperature of the substrate support during development was set at 10°C or 20°C.
  • FIG. 18(a) shows the results of an experiment when the temperature of the substrate support part during development is 10°C
  • FIG. 18(b) shows the result of an experiment when the temperature of the substrate support part during development is 60°C. It shows the results of an experiment in a certain case.
  • During development when the temperature of the substrate support part was 10° C. and HBr gas was used, it was confirmed that unexposed resist film residues tended to be easily formed, as shown in FIG. 18(a). Ta. Furthermore, when the temperature of the substrate support part is 60° C. and HBr gas is used during development, as shown in FIG.
  • the unexposed resist film can be removed; It has been determined that the difference between the rate of thickness reduction of an exposed resist film and the rate of thickness reduction of an exposed resist film can be small. That is, when HBr gas is used, by setting the temperature of the substrate support part during development to a high temperature, the unexposed area of the resist film can be removed, but the selectivity during development may become low. was confirmed.
  • an unexposed resist film and an exposed resist film were developed using a processing gas containing only carboxylic acid.
  • the pressure of the carboxylic acid that is, the pressure of the processing gas
  • the temperature of the substrate support during development was set at 120°C.
  • formic acid (H-COOH), trifluoroacetic acid (CF 3 -COOH), or acetic acid (CH 3 -COOH) was used as the carboxylic acid.
  • FIG. 19(b), FIG. 19(c), and FIG. 19(d) show the results when formic acid, trifluoroacetic acid, and acetic acid were used as the carboxylic acid, respectively.
  • FIG. 19(a) shows the same results as FIG. 18(b).
  • a carboxylic acid is used in development, a high selectivity can be obtained by suppressing the decrease in the thickness of the exposed resist film even when the temperature of the substrate support is high. It was confirmed that this is possible. Furthermore, it was confirmed that a particularly high development rate can be obtained when formic acid or trifluoroacetic acid is used.
  • Experiment 3 an unexposed resist film and an exposed resist film were developed using a processing gas containing only formic acid (H—COOH).
  • the pressure of formic acid that is, the pressure of the processing gas, was set to 0.5 Torr (66.6 Pa) or 5 Torr (666 Pa).
  • the temperature of the substrate support during development was set at 60°C, 120°C, or 180°C.
  • FIG. 20 shows the results of Experiment 3.
  • formic acid was used, as shown in FIG. 20, it was confirmed that development with a high selectivity was possible at a temperature of 120° C. or higher.
  • formic acid is used when the temperature of the substrate support part is 180°C, compared to the case where formic acid is used when the temperature of the substrate support part is 120°C, the exposed resist film It was confirmed that the decrease in thickness was further suppressed.
  • FIG. 21 shows the results of Experiment 4.
  • trifluoroacetic acid was used, as shown in FIG. 21, it was confirmed that development with a high selectivity was possible at a temperature of 120° C. or higher. Furthermore, when trifluoroacetic acid is used when the temperature of the substrate support part is 180°C, compared to when trifluoroacetic acid is used when the temperature of the substrate support part is 120°C, the exposed It was confirmed that the decrease in the thickness of the resist film was further suppressed. Furthermore, it was confirmed that when trifluoroacetic acid was used, the decrease in the thickness of the exposed resist film was more suppressed than when formic acid was used.
  • Experiment 5 an unexposed resist film and an exposed resist film were developed using a processing gas containing only acetic acid (CH 3 --COOH).
  • the pressure of acetic acid that is, the pressure of the processing gas, was set to 0.5 Torr (66.6 Pa) or 5 Torr (666 Pa).
  • the temperature of the substrate support during development was set at 120°C or 180°C.
  • FIG. 22 shows the results of Experiment 5.
  • acetic acid was used, as shown in FIG. 22, it was confirmed that development with a high selectivity was possible at a temperature of 120° C. or higher.
  • the decrease in the thickness of the exposed resist film is more suppressed than when formic acid or trifluoroacetic acid is used, but the thickness of the unexposed resist film is reduced. It was confirmed that the rate of decrease was relatively low.
  • Experiment 6 an unexposed resist film and an exposed resist film were developed using a processing gas that was a mixed gas of trifluoroacetic acid (CF 3 -COOH) and Ar gas.
  • CF 3 -COOH trifluoroacetic acid
  • Ar gas a mixed gas of trifluoroacetic acid
  • the partial pressure of trifluoroacetic acid was set at 3 Torr (400 Pa).
  • the temperature of the substrate support during development was set at 90°C, 120°C, or 180°C.
  • FIG. 23(a), FIG. 23(b), and FIG. 23(c) show the results when the temperature of the substrate support part during development was 90°C, 120°C, and 180°C, respectively. As shown in these figures, it has been confirmed that when trifluoroacetic acid is used, development that selectively removes unexposed areas is possible when the temperature of the substrate support at the time of development is 90°C or higher. It was done.
  • Experiment 7 an unexposed resist film and an exposed resist film were developed using a processing gas that was a mixed gas of trifluoroacetic acid (CF 3 -COOH) and Ar gas.
  • CF 3 -COOH trifluoroacetic acid
  • the temperature of the substrate support during development was set at 120°C.
  • the partial pressure of trifluoroacetic acid was set to 0.36 (48 Pa), 0.6 Torr (80 Pa), 3 Torr (400 Pa), or 6 Torr (798 Pa).
  • FIG. 24(a) shows the results regarding the development of an unexposed resist film
  • FIG. 24(b) shows the results regarding the development of the exposed resist film.
  • trifluoroacetic acid when trifluoroacetic acid is used, by setting the partial pressure of trifluoroacetic acid to 0.36 Torr or higher, it is possible to perform development that selectively removes unexposed areas. was confirmed. Furthermore, when trifluoroacetic acid was used, it was confirmed that development with a high selectivity was possible by setting the partial pressure of trifluoroacetic acid to 0.6 Torr or higher.
  • an unexposed resist film and an exposed resist film were developed using a processing gas that was a mixed gas of acetic acid (CH 3 -COOH) and Ar gas.
  • a processing gas that was a mixed gas of acetic acid (CH 3 -COOH) and Ar gas.
  • the temperature of the substrate support during development was set at 120°C, 150°C, 180°C, or 210°C.
  • the partial pressure of acetic acid was set to 0.6 Torr (80 Pa), 3 Torr (400 Pa), or 6 Torr (800 Pa).
  • FIG. 25 shows the results of Experiment 8. As shown in FIG. 25, it was confirmed that when acetic acid was used, development could be performed to selectively remove unexposed areas by setting the partial pressure of acetic acid to 0.6 Torr or higher. Furthermore, when acetic acid was used, it was confirmed that by setting the temperature of the substrate supporting portion to 120° C. or higher, it was possible to perform development that selectively removed unexposed areas. Furthermore, when acetic acid was used, it was confirmed that by setting the temperature of the substrate supporting portion to a temperature of 180° C. or higher, it was possible to remove the unexposed area at high speed, that is, to obtain a high development speed.
  • an unexposed resist film and an exposed resist film were developed using a processing gas that was a mixed gas of acetic acid (CH 3 -COOH) and Ar gas.
  • the temperature of the substrate support during development was set at 120°C or 150°C.
  • the partial pressure of acetic acid was set to 6 Torr (800 Pa), 12 Torr (1600 Pa), 21 Torr (2800 Pa), 30 Torr (4000 Pa), or 60 Torr (8000 Pa).
  • FIG. 26 shows the results of Experiment 9. As shown in FIG. 26, it was confirmed that when acetic acid was used, it was possible to selectively remove unexposed areas by setting the partial pressure of acetic acid in the range of 6 Torr to 60 Torr. . Furthermore, when acetic acid was used, it was confirmed that by setting the temperature of the substrate supporting portion to 120° C. or higher, it was possible to perform development that selectively removed unexposed areas. Furthermore, when acetic acid is used, if the partial pressure of acetic acid is the same, the higher the temperature of the substrate support, the faster the unexposed area can be removed, that is, the higher the development speed can be obtained. was confirmed.
  • [E1] (a) providing a substrate on a substrate support within a processing chamber, the substrate having a base film and a resist film disposed on the base film and formed from a metal-containing resist; The resist film has a first region and a second region; (b) supplying a processing gas containing a carboxylic acid into the processing chamber, exposing the substrate to the carboxylic acid, and selectively removing the second region with respect to the first region; A step of dry developing the film; including; In the substrate processing method according to (b) above, the pressure or partial pressure of the carboxylic acid is 40 Pa or more and less than 13332 Pa.
  • the carboxylic acid is formic acid
  • the temperature of the substrate support portion is 120° C. or higher
  • the pressure or partial pressure of the carboxylic acid is 40 Pa or higher.
  • the carboxylic acid is trifluoroacetic acid, In (b) above, the temperature of the substrate support portion is 90° C. or higher, and the pressure or partial pressure of the carboxylic acid is 40 Pa or higher.
  • the carboxylic acid is acetic acid
  • the temperature of the substrate support portion is 120° C. or higher
  • the pressure or partial pressure of the carboxylic acid is 40 Pa or higher.
  • the above (b) is (b-1) dry developing the resist film under first conditions; (b-2) dry developing the resist film under second conditions different from the first conditions; including; The combination of the first condition and the second condition satisfies one or more of condition (A), condition (B), and condition (C),
  • condition (A) is that in (b-1), the resist is developed using a first processing gas, and in (b-2), a second processing gas different from the first processing gas is used.
  • Condition (B) is that in (b-1) the pressure of the carboxylic acid is set to a first pressure to develop the resist film, and in (b-2) the pressure of the carboxylic acid is set to the first pressure.
  • Developing the resist film at a second pressure different from the pressure of The condition (C) is that in (b-1), the substrate support part is set to a first temperature to develop the resist film, and in (b-2), the substrate support part is set to a first temperature. developing the resist film at a second temperature different from The substrate processing method according to any one of E1 to E13.
  • the period during which (b) is performed includes a first period and a second period alternating with the first period, The combination of the processing conditions for the first period and the processing conditions for the second period satisfies one or more of condition (A), condition (B), and condition (C),
  • condition (A) is that in the first period, the resist film is developed using a first processing gas, and in the second period, a second processing gas different from the first processing gas is used.
  • condition (A) at least one of the first processing gas and the second processing gas contains the carboxylic acid;
  • condition (B) is that in the first period, the pressure of the carboxylic acid is set to a first pressure to develop the resist film, and in the second period, the pressure of the carboxylic acid is set to the first pressure.
  • condition (C) is that in the first period, the temperature of the substrate support part is set to a first temperature to develop the resist film, and in the second period, the temperature of the substrate support part is set to a first temperature. developing the resist film at a second temperature different from the first temperature;
  • the substrate processing method according to any one of E1 to E13.
  • the substrate processing method includes: After the step (b), forming a deposited film on the first region; removing the residue generated in the step (b) while protecting the first region with the deposited film; removing the remainder of the second region;
  • the substrate processing method according to any one of E1 to E13, further comprising:
  • the deposited film is a carbon-containing film or a silicon-containing film,
  • the residue is removed by plasma of a processing gas containing at least one selected from the group consisting of helium-containing gas, hydrogen-containing gas, bromine-containing gas, and chlorine-containing gas.
  • a processing gas containing at least one selected from the group consisting of helium-containing gas, hydrogen-containing gas, bromine-containing gas, and chlorine-containing gas.
  • the second region is partially removed;
  • the substrate processing method includes: After the step (b), heating the substrate; removing the remainder of the second region;
  • the temperature of the substrate in the step of heating the substrate may be 180° C. or higher or 190° C. or higher. Further, in the embodiment E18, the temperature of the substrate in the step of heating the substrate may be 240° C. or higher or 220° C. or lower. Also, in the E18 embodiment, heating the substrate and removing the remainder may be performed using a single chamber, or may be performed using two or more different chambers. That is, the chamber used in the step of heating the substrate and the chamber used in the step of removing the remaining portion may be the same chamber, that is, a single chamber, or may be different chambers. Good too.
  • a processing chamber a substrate support provided within the processing chamber; a gas supply unit configured to supply a processing gas containing carboxylic acid into the chamber; an exhaust mechanism connected to the processing chamber; a control unit; Equipped with The control unit supplies the processing gas into the chamber to increase the pressure or partial pressure of the carboxylic acid to 40 Pa or more, 13332 Pa, for dry development of the resist film on the substrate placed on the substrate support unit. configured to control the gas supply unit and the exhaust mechanism to adjust the gas supply unit and the exhaust mechanism to less than or equal to Substrate processing system.
  • SYMBOLS 1 Plasma processing apparatus, 2... Control part, 10... Plasma processing chamber, 11... Substrate support part, 20... Gas supply part, 30... Power supply, 100... Heat treatment apparatus, 102... Processing chamber, 120... Stage heater, 121... Substrate support part, 141...Gas nozzle, 200...Control unit, OP...Opening, RM...Resist film, RM1...First region, RM2...Second region, UF...Underlying film, W...Substrate.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

基板処理方法が提供される。基板処理方法は、(a)処理チャンバ内の基板支持部上に、下地膜及び該下地膜上に設けられており金属含有レジストから形成されたレジスト膜を有する基板を提供する工程であって、該金属含有レジストは第1領域及び第2領域を有する、該工程を含む。基板処理方法は、(b)処理チャンバ内にカルボン酸を含む処理ガスを供給し、基板を該カルボン酸に晒して、第1領域に対して第2領域を選択的に除去することにより、レジスト膜に対してドライ現像を行う工程を更に含む。(b)において、カルボン酸の圧力又は分圧は、0.3Torr(40Pa)以上、100Torr(13332Pa)未満である。

Description

基板処理方法及び基板処理システム 関連出願の相互参照
 本出願は、2022年7月29日に出願された「SUBSTRATE TREATMENT METHOD AND SUBSTRATE TREATMENT SYSTEM」と題する米国仮特許出願第63/393,377号の優先権を主張し、同米国仮特許出願の全体を参照することにより本明細書に援用する。
 本開示の例示的実施形態は、基板処理方法及び基板処理システムに関する。
 特許文献1には、半導体基板上に極端紫外光(Extreme Ultra Violet、以下「EUV」と表記する)を用いてパターニングされる薄膜を形成する技術が開示されている。
特表2021-523403号公報
 本開示は、露光された金属含有レジストに対して適切にドライ現像を行う技術を提供する。
 本開示の一つの例示的実施形態において、基板処理方法が提供される。基板処理方法は、(a)処理チャンバ内の基板支持部上に、下地膜及び該下地膜上に設けられており金属含有レジストから形成されたレジスト膜を有する基板を提供する工程であって、該金属含有レジストは第1領域及び第2領域を有する、該工程を含む。基板処理方法は、(b)処理チャンバ内にカルボン酸を含む処理ガスを供給し、基板を該カルボン酸に晒して、第1領域に対して第2領域を選択的に除去することにより、レジスト膜に対してドライ現像を行う工程を更に含む。(b)において、カルボン酸の圧力又は分圧は、0.3Torr(40Pa)以上、100Torr(13332Pa)未満である。
 本開示の一つの例示的実施形態によれば、露光された金属含有レジストに対して適切にドライ現像を行う技術を提供することができる。
熱処理システムの構成例を説明するための図である。 プラズマ処理システムの構成例を説明するための図である。 容量結合型のプラズマ処理装置の構成例を説明するための図である。 第1の実施形態に係る基板処理方法を示すフローチャートである。 図4に示す基板処理方法の工程ST11で提供される基板Wの断面構造の一例を示す図である。 基板Wの下地膜UFの一例を示す図である。 基板Wの下地膜UFの一例を示す図である。 現像後の基板Wの断面構造の一例を示す図である。 第2の実施形態に係る基板処理方法を示すフローチャートである。 図10の(a)、図10の(b)、及び図10の(c)の各々は、第3の実施形態に係る基板処理方法を示す一例のタイミングチャートである。 第4の実施形態に係る基板処理方法を示すフローチャートである。 図12の(a)及び図12の(b)の各々は、工程ST42の処理後の基板Wの断面構造の一例を示す図である。 図13の(a)は、工程ST43の処理後の基板Wの断面構造の一例を示す図であり。図13の(b)は、工程ST45の処理後の基板Wの断面構造の一例を示す図である。 図14の(a)は、熱処理システムの他の構成例を示す概略断面図であり、図14の(b)は、熱処理システムの他の構成例を示す概略平面図である。 基板支持部の構成例を示す模式図である。 基板処理システムSSの構成例を説明するためのブロック図である。 方法MTを示すフローチャートである。 図18の(a)及び図18の(b)の各々は実験の結果を示す図である。 図19の(a)~図19の(d)の各々は実験の結果を示す図である。 実験の結果を示す図である。 実験の結果を示す図である。 実験の結果を示す図である。 図23の(a)~図23の(c)の各々は実験の結果を示す図である。 図24の(a)及び図24の(b)の各々は実験の結果を示す図である。 実験の結果を示す図である。 実験の結果を示す図である。
 本開示の一つの例示的実施形態に係る基板処理方法は、(a)処理チャンバ内の基板支持部上に、下地膜及び該下地膜上に設けられており金属含有レジストから形成されたレジスト膜を有する基板を提供する工程であって、該金属含有レジストは第1領域及び第2領域を有する、該工程を含む。基板処理方法は、(b)処理チャンバ内にカルボン酸を含む処理ガスを供給し、基板を該カルボン酸に晒して、第1領域に対して第2領域を選択的に除去することにより、レジスト膜に対してドライ現像を行う工程を更に含む。(b)において、カルボン酸の圧力又は分圧は、0.3Torr(40Pa)以上、100Torr(13332Pa)未満である。
 以下、図面を参照して、本開示の各実施形態について詳細に説明する。なお、各図面において同一または同様の要素には同一の符号を付し、重複する説明を省略する。特に断らない限り、図面に示す位置関係に基づいて上下左右等の位置関係を説明する。図面の寸法比率は実際の比率を示すものではなく、また、実際の比率は図示の比率に限られるものではない。
 <熱処理システムの構成例>
 図1は、熱処理システムの構成例を説明するための図である。一実施形態において、熱処理システムは、熱処理装置100及び制御部200を含む。熱処理システムは、基板処理システムの一例であり、熱処理装置100は、基板処理装置の一例である。
 熱処理装置100は、密閉可能に構成された処理チャンバ102を有する。処理チャンバ102は、例えば気密な筒状容器であり、内部の雰囲気を調整可能に構成される。処理チャンバ102の側壁には、側壁ヒータ104が設けられている。処理チャンバ102の天井壁(天板)には、天井ヒータ130が設けられている。処理チャンバ102の天井壁(天板)の天井面140は、水平な平坦面として形成されており、天井ヒータ130によりその温度が調整される。
 処理チャンバ102内の下部側には、基板支持部121が設けられている。基板支持部121は、その上に基板Wが載置される載置部を構成する。基板支持部121は、例えば平面視で円形に形成されており、水平に形成されたその表面(上面)の上に基板Wが載置される。基板支持部121の中には、ステージヒータ120が埋設されている。このステージヒータ120は、基板支持部121に載置された基板Wを加熱することができる。なお、基板支持部121には、基板Wを囲むようにリングアセンブリ(図示せず)が配置されてもよい。リングアセンブリは、1又は複数の環状部材を含んでよい。リングアセンブリを基板Wの周囲に配置することにより、基板Wの外周領域の温度制御性を向上させることができる。リングアセンブリは、目的とする熱処理に応じて、無機材料又は有機材料から構成されてよい。
 基板支持部121は、処理チャンバ102の底面上に設けられた支柱122によって、処理チャンバ102内で支持されている。支柱122の周方向の外側には、垂直に昇降可能な複数の昇降ピン123が設けられている。複数の昇降ピン123はそれぞれ、基板支持部121に設けられた貫通孔に挿通されている。複数の昇降ピン123は周方向に間隔を空けて配列されている。複数の昇降ピン123の昇降動作は、昇降機構124によりもたらされる。昇降ピン123が基板支持部121の表面に突出すると、図示しない搬送機構と基板支持部121との間での基板Wの受け渡しが可能となる。
 処理チャンバ102の側壁には、開口を有する排気口131が設けられている。排気口131は、排気管を介して排気機構132に接続されている。排気機構132は、真空ポンプ及びバルブなどにより構成されており、排気口131からの排気流量を調整する。この排気機構132による排気流量等の調整により、処理チャンバ102内の圧力が調整される。なお、処理チャンバ102の側壁には、排気口131が開口する位置とは異なる位置に、図示しない基板Wの搬送口が開閉自在に形成されている。
 また、処理チャンバ102の側壁には、排気口131及び基板Wの搬送口とは異なる位置に、ガスノズル141が設けられている。ガスノズル141は、処理ガスを処理チャンバ102内に供給する。ガスノズル141は、処理チャンバ102の側壁において、基板支持部121の中心部から見て、排気口131の反対側に設けられている。即ち、ガスノズル141は、処理チャンバ102の側壁において、基板支持部121の中心部を通過する垂直仮想面に対して排気口131と対称に設けられている。
 ガスノズル141は、処理チャンバ102の側壁から処理チャンバ102の中心側に向けて突出する棒状に形成されている。ガスノズル141の先端部は、処理チャンバ102の側壁から例えば水平に延びている。処理ガスは、ガスノズル141の先端において開口する吐出口から処理チャンバ102内に吐出され、図1に示す一点鎖線の矢印の方向に流れて、排気口131から排気される。なお、ガスノズル141の先端部は、基板Wに向けて斜め下方に延びる形状を有していてもよく、処理チャンバ102の天井面140に向けて斜め上方に延びる形状を有していてもよい。
 なお、ガスノズル141は、例えば、処理チャンバ102の天井壁に設けられていてもよい。また排気口131は、処理チャンバ102の底面に設けられていてもよい。
 熱処理装置100は、処理チャンバ102の外側からガスノズル141に接続されるガス供給管152を有する。ガス供給管152周囲には、ガス供給管152内のガスを加熱するための配管ヒータ160が設けられる。ガス供給管152は、ガス供給部170に接続されている。ガス供給部170は、少なくとも1つのガスソース及び少なくとも1つの流量制御器を含む。ガス供給部は、液体の状態の材料を気化させる気化器を含んでよい。
 制御部200は、本開示において述べられる種々の工程を熱処理装置100に実行させるコンピュータ実行可能な命令を処理する。制御部200は、ここで述べられる種々の工程を実行するように熱処理装置100の各要素を制御するように構成され得る。一実施形態において、制御部200の一部又は全てが熱処理装置100に含まれてもよい。制御部200は、処理部200a1、記憶部200a2及び通信インターフェース200a3を含んでもよい。制御部200は、例えばコンピュータ200aにより実現される。処理部200a1は、記憶部200a2からプログラムを読み出し、読み出されたプログラムを実行することにより種々の制御動作を行うように構成され得る。このプログラムは、予め記憶部200a2に格納されていてもよく、必要なときに、媒体を介して取得されてもよい。取得されたプログラムは、記憶部200a2に格納され、処理部200a1によって記憶部200a2から読み出されて実行される。媒体は、コンピュータ200aに読み取り可能な種々の記憶媒体であってもよく、通信インターフェース200a3に接続されている通信回線であってもよい。処理部200a1は、CPU(Central Processing Unit)であってもよい。記憶部200a2は、RAM(Random Access Memory)、ROM(Read Only Memory)、HDD(Hard Disk Drive)、SSD(Solid State Drive)、又はこれらの組み合わせを含んでもよい。通信インターフェース200a3は、LAN(Local Area Network)等の通信回線を介して熱処理装置100との間で通信してもよい。
<プラズマ処理システムの構成例>
 図2は、プラズマ処理システムを現像処理システムとして用いる場合の構成例を説明するための図である。一実施形態において、プラズマ処理システムは、プラズマ処理装置1及び制御部2を含む。プラズマ処理システムは、基板処理システムの一例であり、プラズマ処理装置1は、基板処理装置の一例である。プラズマ処理装置1は、プラズマ処理チャンバ(以下、単に「処理チャンバ」ともいう。)10、基板支持部11及びプラズマ生成部12を含む。プラズマ処理チャンバ10は、プラズマ処理空間を有する。また、プラズマ処理チャンバ10は、少なくとも1つの処理ガスをプラズマ処理空間に供給するための少なくとも1つのガス供給口と、プラズマ処理空間からガスを排出するための少なくとも1つのガス排出口とを有する。ガス供給口は、後述するガス供給部20に接続され、ガス排出口は、後述する排気システム40に接続される。基板支持部11は、プラズマ処理空間内に配置され、基板を支持するための基板支持面を有する。
 プラズマ生成部12は、プラズマ処理空間内に供給された少なくとも1つの処理ガスからプラズマを生成するように構成される。プラズマ処理空間において形成されるプラズマは、容量結合プラズマ(CCP;Capacitively Coupled Plasma)、誘導結合プラズマ(ICP;Inductively Coupled Plasma)、ECRプラズマ(Electron-Cyclotron-resonance plasma)、ヘリコン波励起プラズマ(HWP:Helicon Wave Plasma)、又は、表面波プラズマ(SWP:Surface Wave Plasma)等であってもよい。また、AC(Alternating Current)プラズマ生成部及びDC(Direct Current)プラズマ生成部を含む、種々のタイプのプラズマ生成部が用いられてもよい。一実施形態において、ACプラズマ生成部で用いられるAC信号(AC電力)は、100kHz~10GHzの範囲内の周波数を有する。従って、AC信号は、RF(Radio Frequency)信号及びマイクロ波信号を含む。一実施形態において、RF信号は、100kHz~150MHzの範囲内の周波数を有する。
 制御部2は、本開示において述べられる種々の工程をプラズマ処理装置1に実行させるコンピュータ実行可能な命令を処理する。制御部2は、ここで述べられる種々の工程を実行するようにプラズマ処理装置1の各要素を制御するように構成され得る。一実施形態において、制御部2の一部又は全てがプラズマ処理装置1に含まれてもよい。制御部2は、例えばコンピュータ2aにより実現される。制御部2は、処理部2a1、記憶部2a2及び通信インターフェース2a3を含んでもよい。制御部2の各構成は、上述した制御部200(図1参照)の各構成と同様であってよい。
 以下に、プラズマ処理装置1の一例としての容量結合型のプラズマ処理装置の構成例について説明する。図3は、容量結合型のプラズマ処理装置の構成例を説明するための図である。
 容量結合型のプラズマ処理装置1は、プラズマ処理チャンバ10、ガス供給部20、電源30及び排気システム40を含む。また、プラズマ処理装置1は、基板支持部11及びガス導入部を含む。ガス導入部は、少なくとも1つの処理ガスをプラズマ処理チャンバ10内に導入するように構成される。ガス導入部は、シャワーヘッド13を含む。基板支持部11は、プラズマ処理チャンバ10内に配置される。シャワーヘッド13は、基板支持部11の上方に配置される。一実施形態において、シャワーヘッド13は、プラズマ処理チャンバ10の天部(ceiling)の少なくとも一部を構成する。プラズマ処理チャンバ10は、シャワーヘッド13、プラズマ処理チャンバ10の側壁10a及び基板支持部11により規定されたプラズマ処理空間10sを有する。プラズマ処理チャンバ10は接地される。シャワーヘッド13及び基板支持部11は、プラズマ処理チャンバ10の筐体とは電気的に絶縁される。
 基板支持部11は、本体部111及びリングアセンブリ112を含む。本体部111は、基板Wを支持するための中央領域111aと、リングアセンブリ112を支持するための環状領域111bとを有する。ウェハは基板Wの一例である。本体部111の環状領域111bは、平面視で本体部111の中央領域111aを囲んでいる。基板Wは、本体部111の中央領域111a上に配置され、リングアセンブリ112は、本体部111の中央領域111a上の基板Wを囲むように本体部111の環状領域111b上に配置される。従って、中央領域111aは、基板Wを支持するための基板支持面とも呼ばれ、環状領域111bは、リングアセンブリ112を支持するためのリング支持面とも呼ばれる。
 一実施形態において、本体部111は、基台1110及び静電チャック1111を含む。基台1110は、導電性部材を含む。基台1110の導電性部材は下部電極として機能し得る。静電チャック1111は、基台1110の上に配置される。静電チャック1111は、セラミック部材1111aとセラミック部材1111a内に配置される静電電極1111bとを含む。セラミック部材1111aは、中央領域111aを有する。一実施形態において、セラミック部材1111aは、環状領域111bも有する。なお、環状静電チャックや環状絶縁部材のような、静電チャック1111を囲む他の部材が環状領域111bを有してもよい。この場合、リングアセンブリ112は、環状静電チャック又は環状絶縁部材の上に配置されてもよく、静電チャック1111と環状絶縁部材の両方の上に配置されてもよい。また、後述するRF電源31及び/又はDC電源32に結合される少なくとも1つのRF/DC電極がセラミック部材1111a内に配置されてもよい。この場合、少なくとも1つのRF/DC電極が下部電極として機能する。後述するバイアスRF信号及び/又はDC信号が少なくとも1つのRF/DC電極に供給される場合、RF/DC電極はバイアス電極とも呼ばれる。なお、基台1110の導電性部材と少なくとも1つのRF/DC電極とが複数の下部電極として機能してもよい。また、静電電極1111bが下部電極として機能してもよい。従って、基板支持部11は、少なくとも1つの下部電極を含む。
 リングアセンブリ112は、1又は複数の環状部材を含む。一実施形態において、1又は複数の環状部材は、1又は複数のエッジリングと少なくとも1つのカバーリングとを含む。エッジリングは、導電性材料又は絶縁材料で形成され、カバーリングは、絶縁材料で形成される。
 また、基板支持部11は、静電チャック1111、リングアセンブリ112及び基板のうち少なくとも1つをターゲット温度に調節するように構成される温調モジュールを含んでもよい。温調モジュールは、ヒータ、伝熱媒体、流路1110a、又はこれらの組み合わせを含んでもよい。流路1110aには、ブラインやガスのような伝熱流体が流れる。一実施形態において、流路1110aが基台1110内に形成され、1又は複数のヒータが静電チャック1111のセラミック部材1111a内に配置される。また、基板支持部11は、基板Wの裏面と中央領域111aとの間の間隙に伝熱ガスを供給するように構成された伝熱ガス供給部を含んでもよい。
 シャワーヘッド13は、ガス供給部20からの少なくとも1つの処理ガスをプラズマ処理空間10s内に導入するように構成される。シャワーヘッド13は、少なくとも1つのガス供給口13a、少なくとも1つのガス拡散室13b、及び複数のガス導入口13cを有する。ガス供給口13aに供給された処理ガスは、ガス拡散室13bを通過して複数のガス導入口13cからプラズマ処理空間10s内に導入される。また、シャワーヘッド13は、少なくとも1つの上部電極を含む。なお、ガス導入部は、シャワーヘッド13に加えて、側壁10aに形成された1又は複数の開口部に取り付けられる1又は複数のサイドガス注入部(SGI:Side Gas Injector)を含んでもよい。
 ガス供給部20は、少なくとも1つのガスソース21及び少なくとも1つの流量制御器22を含んでもよい。一実施形態において、ガス供給部20は、少なくとも1つの処理ガスを、それぞれに対応のガスソース21からそれぞれに対応の流量制御器22を介してシャワーヘッド13に供給するように構成される。各流量制御器22は、例えばマスフローコントローラ又は圧力制御式の流量制御器を含んでもよい。さらに、ガス供給部20は、少なくとも1つの処理ガスの流量を変調又はパルス化する少なくとも1つの流量変調デバイスを含んでもよい。
 電源30は、少なくとも1つのインピーダンス整合回路を介してプラズマ処理チャンバ10に結合されるRF電源31を含む。RF電源31は、少なくとも1つのRF信号(RF電力)を少なくとも1つの下部電極及び/又は少なくとも1つの上部電極に供給するように構成される。これにより、プラズマ処理空間10sに供給された少なくとも1つの処理ガスからプラズマが形成される。従って、RF電源31は、プラズマ生成部12の少なくとも一部として機能し得る。また、バイアスRF信号を少なくとも1つの下部電極に供給することにより、基板Wにバイアス電位が発生し、形成されたプラズマ中のイオン成分を基板Wに引き込むことができる。
 一実施形態において、RF電源31は、第1のRF生成部31a及び第2のRF生成部31bを含む。第1のRF生成部31aは、少なくとも1つのインピーダンス整合回路を介して少なくとも1つの下部電極及び/又は少なくとも1つの上部電極に結合され、プラズマ生成用のソースRF信号(ソースRF電力)を生成するように構成される。一実施形態において、ソースRF信号は、10MHz~150MHzの範囲内の周波数を有する。一実施形態において、第1のRF生成部31aは、異なる周波数を有する複数のソースRF信号を生成するように構成されてもよい。生成された1又は複数のソースRF信号は、少なくとも1つの下部電極及び/又は少なくとも1つの上部電極に供給される。
 第2のRF生成部31bは、少なくとも1つのインピーダンス整合回路を介して少なくとも1つの下部電極に結合され、バイアスRF信号(バイアスRF電力)を生成するように構成される。バイアスRF信号の周波数は、ソースRF信号の周波数と同じであっても異なっていてもよい。一実施形態において、バイアスRF信号は、ソースRF信号の周波数よりも低い周波数を有する。一実施形態において、バイアスRF信号は、100kHz~60MHzの範囲内の周波数を有する。一実施形態において、第2のRF生成部31bは、異なる周波数を有する複数のバイアスRF信号を生成するように構成されてもよい。生成された1又は複数のバイアスRF信号は、少なくとも1つの下部電極に供給される。また、種々の実施形態において、ソースRF信号及びバイアスRF信号のうち少なくとも1つがパルス化されてもよい。
 また、電源30は、プラズマ処理チャンバ10に結合されるDC電源32を含んでもよい。DC電源32は、第1のDC生成部32a及び第2のDC生成部32bを含む。一実施形態において、第1のDC生成部32aは、少なくとも1つの下部電極に接続され、第1のDC信号を生成するように構成される。生成された第1のDC信号は、少なくとも1つの下部電極に印加される。一実施形態において、第2のDC生成部32bは、少なくとも1つの上部電極に接続され、第2のDC信号を生成するように構成される。生成された第2のDC信号は、少なくとも1つの上部電極に印加される。
 種々の実施形態において、第1及び第2のDC信号がパルス化されてもよい。この場合、電圧パルスのシーケンスが少なくとも1つの下部電極及び/又は少なくとも1つの上部電極に印加される。電圧パルスは、矩形、台形、三角形又はこれらの組み合わせのパルス波形を有してもよい。一実施形態において、DC信号から電圧パルスのシーケンスを生成するための波形生成部が第1のDC生成部32aと少なくとも1つの下部電極との間に接続される。従って、第1のDC生成部32a及び波形生成部は、電圧パルス生成部を構成する。第2のDC生成部32b及び波形生成部が電圧パルス生成部を構成する場合、電圧パルス生成部は、少なくとも1つの上部電極に接続される。電圧パルスは、正の極性を有してもよく、負の極性を有してもよい。また、電圧パルスのシーケンスは、1周期内に1又は複数の正極性電圧パルスと1又は複数の負極性電圧パルスとを含んでもよい。なお、第1及び第2のDC生成部32a,32bは、RF電源31に加えて設けられてもよく、第1のDC生成部32aが第2のRF生成部31bに代えて設けられてもよい。
 排気システム40は、例えばプラズマ処理チャンバ10の底部に設けられたガス排出口10eに接続され得る。排気システム40は、圧力調整弁及び真空ポンプを含んでもよい。圧力調整弁によって、プラズマ処理空間10s内の圧力が調整される。真空ポンプは、ターボ分子ポンプ、ドライポンプ又はこれらの組み合わせを含んでもよい。
<基板処理方法>
 以下、本開示における基板処理方法の種々の実施形態について説明する。
 [第1の実施形態]
 図4は、第1の実施形態に係る基板処理方法(以下「方法MT1」ともいう。)を示すフローチャートである。図4に示すように、方法MT1は、基板を提供する工程ST11と、基板を現像する工程ST12を含む。一実施形態において、工程ST12における現像処理は、処理ガスを用いたドライプロセス(以下「ドライ現像」ともいう。)により行われる。
 方法MT1は、上述した基板処理システム(図1~図3参照)のいずれか1つを用いて実行されてよく、またこれらの基板処理システムの2つ以上を用いて実行されてもよい。例えば、方法MT1は熱処理システム(図1参照)で実行されてよい。以下では、制御部200が熱処理装置100の各部を制御して、基板Wに対して方法MT1を適用する場合を例にとって方法MT1について説明する。
(工程ST11:基板の提供)
 まず、工程ST11において、基板Wが、熱処理装置100の処理チャンバ102内に提供される。基板Wは、昇降ピン123を介して基板支持部121上に提供される。基板Wが基板支持部121に配置された後、基板支持部121の温度が設定温度に調整される。基板支持部121の温度調整は、側壁ヒータ104、ステージヒータ120、天井ヒータ130及び配管ヒータ160(以下併せて「各ヒータ」ともいう。)のうち1つ以上のヒータの出力を制御することで行なわれてもよい。方法MT1において、基板支持部121の温度は、工程ST11の前に設定温度に調整されてよい。すなわち、基板支持部121の温度が設定温度に調整された後に、基板支持部121上に基板Wが提供されてよい。
 図5は、図4に示す基板処理方法の工程ST11で提供される基板Wの断面構造の一例を示す図である。基板Wは、下地膜UFと、下地膜UF上に形成されたレジスト膜RMと、を含む。基板Wは、半導体デバイスの製造に用いられてよい。半導体デバイスは、例えば、DRAM、3D-NANDフラッシュメモリ等のメモリデバイス及びロジックデバイスを含む。
 レジスト膜RMは、金属を含有する金属含有レジスト膜である。当該金属は、一例では、Sn、Hf及びTiからなる群から選択される少なくとも1種の金属を含んでよい。一例では、レジスト膜RMは、Snを含有し、酸化スズ(SnO結合)、水酸化スズ(Sn-OH結合)を含んでよい。レジスト膜RMは有機物を更に含んでもよい。
 図5に示すように、レジスト膜RMは、露光された第1領域RM1と露光されていない第2領域RM2とを有する。第1領域RM1は、EUV光で露光された領域、即ちEUV露光領域である。第2領域RM2は、EUV光で露光されていない領域、即ち未露光領域である。
 下地膜UFは、シリコンウェハ上に形成された有機膜、誘電体膜、金属膜又は半導体膜又はこれらの積層膜でよい。
 図6及び図7は、それぞれ、基板Wの下地膜UFの一例を示す図である。図6に示すように、下地膜UFは、第1膜UF1、第2膜UF2及び第3膜UF3から構成されてよい。図7に示すように下地膜UFは、第2膜UF2及び第3膜UF3から構成されてよい。
 第1膜UF1は、例えば、スピンオングラス(SOG)膜、SiC膜、SiON膜、Si含有反射防止膜(SiARC)又は有機膜である。第2膜UF2は、例えば、スピンオンカーボン(SOC)膜、アモルファスカーボン膜又はシリコン含有膜である。第3膜UF3は、例えば、シリコン含有膜である。シリコン含有膜は、例えば、シリコン酸化膜、シリコン窒化膜、シリコン酸窒化膜、シリコン炭窒化膜、多結晶シリコン膜又は炭素含有シリコン膜である。第3膜UF3は、積層された複数の種類のシリコン含有膜から構成されてよい。例えば、第3膜UF3は、交互に積層されたシリコン酸化膜とシリコン窒化膜とから構成されてよい。また、第3膜UF3は、交互に積層されたシリコン酸化膜と多結晶シリコン膜とから構成されてもよい。また、第3膜UF3は、シリコン窒化膜、シリコン酸化膜及び多結晶シリコン膜を含む積層膜でもよい。また、第3膜UF3は、積層されたシリコン酸化膜とシリコン炭窒化膜とから構成されてよい。また、第3膜UF3は、シリコン酸化膜、シリコン窒化膜、シリコン炭窒化膜を含む積層膜でもよい。
 一実施形態において、基板Wは次のように形成される。まず、密着性向上処理等が施された下地膜上に金属を含有するフォトレジスト膜が成膜される。成膜は、ドライプロセスで行われてよく、また溶液塗布法等のウェットプロセスで行われてもよく、また、ドライプロセスとウェットプロセスの双方で行われてもよい。なお、フォトレジスト膜の成膜前に、下地膜の表面改質処理が施されてよい。フォトレジスト膜の成膜後の基板は、加熱処理、すなわちプリベーク(Post Apply Bake:PAB)を受ける。プリベーク後の基板には追加の加熱処理が施されてもよい。加熱処理後の基板は露光装置に搬送され、露光マスク(レチクル)を介してフォトレジスト膜にEUV光が照射される。これにより、下地膜UFと、露光された第1領域RM1及び露光されていない第2領域RM2を有するレジスト膜RMとを含む基板Wが形成される。第1領域RM1は、露光マスク(レチクル)に設けられた開口に対応する領域である。第2領域RM2は、露光マスク(レチクル)に設けられたパターンに対応する領域である。EUV光は、例えば、10~20nmの範囲の波長を有する。EUV光は、11~14nmの範囲の波長を有してよく、一例では13.5nmの波長を有する。露光後の基板Wは、雰囲気管理下で露光装置から熱処理装置に搬送され、加熱処理、すなわちポストエクスポージャーベーク(Post Exposure Bake:PEB)を受ける。PEB後の基板Wには追加の加熱処理が施されてもよい。
(工程ST12:基板の現像)
 次に、工程ST12において、基板Wを処理ガスに晒すことにより、基板Wの第2領域RM2が選択的に除去され、レジスト膜RMが現像される。
 工程ST12では、処理ガスを、ガスノズル141を介して処理チャンバ102内に供給する。一実施形態において、処理ガスはカルボン酸を含む。一実施形態において、カルボン酸は、ギ酸(HCOOH)であってよい。一実施形態において、カルボン酸は、酢酸(CHCOOH)であってよい。一実施形態において、カルボン酸はハロゲン元素を含んでよく、当該ハロゲン元素としてフッ素及び/又は塩素を含んでよい。フッ素を含むカルボン酸は、例えば、モノフルオロ酢酸(CFHCOOH)、ジフルオロ酢酸(CFHCOOH)、トリフルオロ酢酸(CFCOOH)からなる群から選択される少なくとも1種であってよく、トリフルオロ酢酸であってよい。塩素を含むカルボン酸は、例えば、モノクロロ酢酸(CClHCOOH)、ジクロロ酢酸(CClHCOOH)、トリフルオロ酢酸(CClCOOH)からなる群から選択される少なくとも1種であってよい。フッ素及び塩素を含むカルボン酸は、例えば、クロロフルオロ酢酸であってよい。一実施形態において、カルボン酸は、ギ酸、酢酸、トリフルオロ酢酸からなる群から選択される少なくとも1種であってよく、ギ酸及び/又はトリフルオロ酢酸であってよく、トリフルオロ酢酸であってよい。
 処理ガスは、不活性ガスを更に含んでもよい。不活性ガスは、窒素ガスであってよく、Ar等の貴ガスであってよい。また、処理ガスは、不活性ガス以外に、無機酸を更に含んでもよく、カルボン酸以外の有機酸を更に含んでもよい。また、処理ガスは、Oガス及び/又はCOガスなどの酸化性ガスを含んでもよい。
 また、工程ST12では、処理チャンバ102内における処理ガスの圧力を調整する。より具体的には、処理ガス中のカルボン酸の圧力を調整する。処理ガスがカルボン酸のみを含む場合、即ち処理ガスが単ガスである場合において、処理ガス中のカルボン酸の圧力は、処理ガスの圧力である。処理ガスがカルボン酸とカルボン酸以外のガスを含む混合ガスである場合において、処理ガス中のカルボン酸の圧力は、処理ガス中のカルボン酸の分圧である。以下、処理ガスが単ガスである場合の処理ガスの圧力及び処理ガスが混合ガスである場合の処理ガス中のカルボン酸の分圧を、「カルボン酸の圧力」ということがある。カルボン酸の圧力は、処理チャンバ102内に供給するカルボン酸の流量及び/又は処理チャンバ102から排気するカルボン酸の流量により調整され得る。処理ガスが混合ガスである場合において、カルボン酸の圧力は、処理チャンバ102内に供給するカルボン酸のガスの流量とカルボン酸以外のガスの流量及び/又は処理チャンバ102から排気するカルボン酸のガスの流量とカルボン酸以外のガスの流量により調整され得る。
 一実施形態では、工程ST12におけるカルボン酸の分圧は、0.3Torr(40Pa)以上であってもよく、0.5Torr(66.6Pa)以上であってよく、1Torr(133Pa)以上であってよく、1.5Torr(200Pa)以上であってよく、2Torr(266)以上であってよく、5Torr(666Pa)以上であってよい。また、カルボン酸の分圧は、100Torr(13332Pa)未満であってよく、90Torr(12000Pa)以下であってよく、80Torr(13332Pa)以下であってよく、70Torr(9333Pa)以下であってよく、60Torr(8000Pa)以下であってよく、10Torr(1333Pa)以下であってよい。一実施形態では、カルボン酸の圧力は、1Torr以上、100Torr未満であってよい。カルボン酸の圧力が1Torr以上である場合には、より高い現像速度が達成され、より高いスループットが達成され得る。一方、カルボン酸の圧力が100Torr未満であれば、高い現像速度と共に、より高い現像コントラスト(選択比)が達成され得る。
 また、工程ST12では、基板W又は基板支持部121の温度が制御され得る。一実施形態では、工程ST12における基板支持部121の温度は、-20℃以上であってよく、0℃以上であってよく、20℃以上であってよく、90℃以上であってよく、120℃以上であってよい。また、工程ST12における基板支持部121の温度は、300℃以下であってよく、220℃以下であってよく、210℃以下であってよく、200℃以下であってよい。一実施形態では、基板支持部121の温度は、-20℃以上、220℃以下に制御される。基板支持部121の温度が0℃以上であれば、現像速度の低下が抑制され得る。一方、基板支持部121の温度が200℃未満であれば、高い現像速度と共に、高い現像コントラスト(選択比)が達成され得る。基板支持部121の温度は、ステージヒータ120に供給する電力により制御され得る。また、基板支持部121の温度は、ステージヒータ120と共に、或いはステージヒータ120に代えて、側壁ヒータ104及び/又は天井ヒータ130に供給する電力により制御されてもよい。また、基板支持部121の温度は、上述の各ヒータに供給する電力と共に、或いは上述した各ヒータに供給する電力に代えて、赤外線ランプやマイクロ波等により制御されてもよい。
 工程ST12において、処理ガス中のカルボン酸がギ酸である場合には、基板支持部121の温度は、120℃以上であってよい。工程ST12において、処理ガス中のカルボン酸がギ酸である場合には、カルボン酸の圧力は、0.3Torr以上又は0.5Torr以上であってよい。工程ST12において、処理ガス中のカルボン酸がトリフルオロ酢酸である場合には、基板支持部121の温度は、90℃以上であってよく、120℃以上であってよい。工程ST12において、処理ガス中のカルボン酸がトリフルオロ酢酸である場合には、カルボン酸の圧力は、0.3Torr以上又は0.5Torr以上であってよい。工程ST12において、処理ガス中のカルボン酸が酢酸である場合には、基板支持部121の温度は、120℃以上であってよく、150℃以上であってよく、180℃以上であってよい。工程ST12において、処理ガス中のカルボン酸が酢酸である場合には、カルボン酸の圧力は、0.3Torr以上又は0.5Torr以上であってよい。
 工程ST12は、第2領域RM2が除去されて、下地膜UFが露出するまで実行されてよい。図8は、現像後の基板Wの断面構造の一例を示す図である。図8に示す例では、レジスト膜RMの第2領域RM2が除去され、開口OPが形成されている。開口OPは、第1領域RM1の側面によって規定される。開口OPは、下地膜UF上で当該側面によって囲まれた空間である。開口OPは、基板Wの平面視において、第2領域RM2に対応する形状(結果的にEUV露光に用いた露光マスクパターンに対応する形状)を有する。当該形状は、例えば、円、楕円、矩形、線、又はこれらの1種類以上を組み合わせた形状であってよい。現像後のレジスト膜RMには、複数の開口OPを提供していてもよい。複数の開口OPは、それぞれ線形状を有し、一定の間隔で並んでラインアンドスペースのパターンを構成してもよい。また複数の開口OPが格子状に配列され、ピラーパターンを構成してもよい。
 第1の実施形態によれば、第1領域RM1に対して高い選択比(第1領域RM1の現像速度に対する第2領域RM2の現像速度の比、以下「現像コントラスト」ともいう。)で第2領域RM2を除去することができる。また、第1の実施形態によれば、現像による残渣の発生を抑制することができる。
 続いて、本開示の他の実施形態に係る基板処理方法について説明する。なお、以下では、第1の実施形態と重複する部分については、簡略ないしは省略して説明する。
 [第2の実施形態]
 図9は、第2の実施形態に係る基板処理方法(以下「方法MT2」ともいう。)を示すフローチャートである。方法MT2は工程ST21及び工程ST22を含む。工程ST21では、方法MT1の工程ST11と同様に基板Wが提供される。続く工程ST22において、レジスト膜RMが現像される。工程ST22は、第1の条件でレジスト膜の一部を現像する工程ST22-1と、第1の条件と異なる第2の条件でレジスト膜RMを現像する工程ST22-2とを含む。
 一実施形態において、第1の条件及び第2の条件の組み合わせは、以下の条件(A)~(C)のいずれか1つ以上を満たしてよく、いずれか2つ以上を満たしてよい。なお、以下の説明においても、カルボン酸の圧力は、処理ガスが単ガスである場合には、カルボン酸の圧力であり、処理ガスが混合ガスである場合には、カルボン酸の分圧である。
 (A)工程ST22-1において第1の処理ガスを用いてレジスト膜RMを現像し、工程ST22-2において第1の処理ガスと異なる第2の処理ガスを用いてレジスト膜RMを現像する。
 (B)工程ST22-1においてカルボン酸の圧力を第1の圧力に設定してレジスト膜RMを現像し、工程ST22-2においてカルボン酸の圧力を第1の圧力と異なる第2の圧力に設定してレジスト膜RMを現像する。
 (C)工程ST22-1において基板支持部121を第1の温度に設定してレジスト膜RMを現像し、工程ST22-2において基板支持部121を第1の温度と異なる第2の温度に設定してレジスト膜RMを現像する。
 条件(A)を満たす一例では、第1の処理ガスとして無機酸を含むガスを用い、第2の処理ガスとしてカルボン酸を含むガスを用いてよい。無機酸は、カルボン酸よりも酸性度が高いガスであってよい。無機酸は、例えば、HBr、BCl、HCl、HF及びHIからなる群から選択される少なくとも1種であってよい。カルボン酸は、上述したカルボン酸のいずれか1つ以上であってよい。
 条件(A)を満たす別の例では、第1の処理ガスとしてカルボン酸以外の有機酸を含むガスを用い、第2の処理ガスとしてカルボン酸を含むガスを用いてよい。或いは、第1の処理ガスとしてカルボン酸を含むガスを用い、第2の処理ガスとしてカルボン酸以外の有機酸を含むガスを用いてよい。或いは、第1の処理ガスとしてカルボン酸を含むガスを用い、第2の処理ガスとして、第1の処理ガスの酸性度よりも低い酸性度を有するカルボン酸又はカルボン酸以外の有機酸を含むガスを用いてもよい。カルボン酸以外の有機酸は、アセチルアセトン(CHC(O)CHC(O)CH)、トリクロロアセチルアセトン(CClC(O)CHC(O)CH)、ヘキサクロロアセチルアセトン(CClC(O)CHC(O)CCl)、トリフルオロアセチルアセトン(CFC(O)CHC(O)CH)、ヘキサフルオロアセチルアセトン(HFAc、CFC(O)CHC(O)CF)等のβ-ジカルボニル化合物であってよく、ノナフルオロ-tert-ブチルアルコール((CFC-OH)等のアルコールであってよい。カルボン酸は、上述したカルボン酸のいずれか1つ以上であってよい。
 条件(A)を満たす更に別の例では、第1の処理ガスとして第1のカルボン酸を含むガスを用い、第2の処理ガスとして第1のカルボン酸と異なる第2のカルボン酸を含むガスを用いてよい。第2のカルボン酸の酸性度は、第1のカルボン酸の酸性度より低くてもよい。第1のカルボン酸としてトリフルオロ酢酸を用い、第2のカルボン酸としてギ酸又は酢酸を用いてよく、或いは、第1のカルボン酸としてギ酸を用い、第2のカルボン酸として酢酸を用いてよい。
 条件(B)を満たす例では、処理ガスとしてカルボン酸を含む混合ガスを用いてよい。例えば、処理ガスは、カルボン酸と不活性ガスとを含む。この場合に、工程ST22-1ではカルボン酸の分圧を第1の圧力に設定し、工程ST22-2ではカルボン酸の分圧を第1の圧力と異なる第2の圧力に設定してよい。第2の圧力は第1の圧力よりも低圧であってよい。一例では、第1の圧力は60Torr以上、90Torr以下であってよく、第2の圧力は1.5Torr以上20Torr以下であってよい。
 条件(B)を満たす別の例では、処理ガスは、カルボン酸とHBr等の無機酸とを含む。この場合に、工程ST22-1ではカルボン酸の分圧を第1の圧力に設定し、工程ST22-2ではカルボン酸の分圧を第1の圧力と異なる第2の圧力に設定してよい。第2の圧力は第1の圧力より高圧であってよい。一例では、第1の圧力は30Torr以上、50Torr以下であってよく、第2の圧力は80Torr以上、90Torr以下であってよい。
 条件(C)を満たす例では、第2の温度は、第1の温度よりも低温であってよい。一例では、第1の温度は、110℃以上、130℃以下であってよく、第2の温度は70℃以上、90℃以下であってよい。
 方法MT2によれば、レジスト膜RMの現像深さに応じて、現像条件が変更される。このため、露光反応の強度が厚さ方向に異なる場合であっても、第1領域RM1に対して第2領域RM2を高い選択比で除去することができる。なお、第1の条件から第2の条件の変更は、連続的であってもよく、段階的であってもよい。また、工程ST22-1と工程ST22-2を含むサイクルを複数回繰り返してもよい。
 [第3の実施形態]
 図10の(a)、図10の(b)、及び図10の(c)の各々は、第3の実施形態に係る基板処理方法(以下「方法MT3」ともいう。)を示す一例のタイミングチャートである。方法MT3は、工程ST31及び工程ST32を含む。工程ST31では、方法MT1の工程ST11と同様に基板Wが提供される。続く工程ST32において、レジスト膜RMが現像される。図10の(a)、図10の(b)、及び図10の(c)に示すように、工程ST32が行われる期間は、第1の期間と、第1の期間と交互の第2の期間とを含む。
 一実施形態において、第1の期間の処理条件及び第2の期間の処理条件の組み合わせは、以下の条件(A)~(C)のいずれか1つ以上を満たしてよく、いずれ2つ以上を満たしてよい。なお、以下の説明においても、カルボン酸の圧力は、処理ガスが単ガスである場合には、カルボン酸の圧力であり、処理ガスが混合ガスである場合には、カルボン酸の分圧である。
 (A)第1の期間において、第1の処理ガスを用いてレジスト膜RMを現像し、第2の期間において、第1の処理ガスと異なる第2の処理ガスを用いてレジスト膜RMを現像する(図10の(a)参照)。
 (B)第1の期間において、カルボン酸の圧力を第1の圧力に設定してレジスト膜RMを現像し、第2の期間において、カルボン酸の圧力を第1の圧力と異なる第2の圧力に設定してレジスト膜RMを現像する(図10の(b)参照)。
 (C)第1の期間において、基板支持部121の温度を第1の温度に設定してレジスト膜RMを現像し、第2の期間において、基板支持部121の温度を第1の温度と異なる第2の温度に設定してレジスト膜RMを現像する(図10の(c)参照)。
 条件(A)を満たす一例では、第1の処理ガスとして無機酸を含むガスを用い、第2の処理ガスとしてカルボン酸を含むガスを用いてよい。無機酸は、例えば、HBr、BCl3、HCl及びHFからなる群から選択される少なくとも1種であってよい。カルボン酸は、上述したカルボン酸のいずれか1つ以上であってよい。
 条件(A)を満たす別の例では、第1の処理ガスとしてカルボン酸以外の有機酸を含むガスを用い、第2の処理ガスとしてカルボン酸を含むガスを用いてよい。或いは、第1の処理ガスとしてカルボン酸を含むガスを用い、第2の処理ガスとしてカルボン酸以外の有機酸を含むガスを用いてよい。カルボン酸以外の有機酸を含むガスは、例えば、上述したβ-ジカルボニル化合物又はアルコールであってよい。カルボン酸は、上述したカルボン酸のいずれか1つ以上であってよい。
 条件(A)を満たす更に別の例では、第1の処理ガスとして第1のカルボン酸を含むガスを用い、第2の処理ガスとして第1のカルボン酸と異なる第2のカルボン酸を含むガスを用いてよい。第1のカルボン酸及び第2のカルボン酸は、それぞれ上述したカルボン酸のいずれか1つ以上であってよい。
 条件(B)を満たす一例では、第1の圧力は0Torr以上、0.1Torr以下であってよく、第2の圧力は1Torr以上、10Torr以下であってよい。
 条件(C)を満たす一例では、第1の温度は110℃以上、130℃以下であってよく、第2の温度は170℃以上、190℃以下であってよい。
 方法MT3によれば、現像する工程(ST32)が、第1の期間と、第1の期間と交互の第2の期間を含む。このため、工程ST32で生じた反応副生成物の揮発が促進され、工程ST32における残渣の生成を抑制し得る。なお、図10の(b)及び図10の(c)に示すタイミングチャートでは、カルボン酸の圧力及び基板支持部121の温度は、いずれも第1の期間で「Low」、第2の期間で「High」となるように制御されているが、第1の期間で「High」、第2の期間で「Low」となるように制御されてもよい。
 [第4の実施形態]
 図11は、第4の実施形態に係る基板処理方法(以下「方法MT4」ともいう。)を示すフローチャートである。方法MT4は、基板Wを提供する工程(工程ST41)、第2領域の一部を除去する工程(工程ST42)、堆積膜を形成する工程(工程ST43)、デスカム工程(工程ST44)及び第2領域を除去する工程(工程ST45)を含む。
 方法MT4では、現像処理システムとして、図3に示すプラズマ処理装置1を使用してよい。方法MT4では、図1に示すような熱処理装置100を使用することもできるが、この場合、処理チャンバ102は、処理チャンバ102の外部で生成したプラズマを処理チャンバ102内に供給可能なプラズマ供給部(図示せず)を備えるように構成され得る。以下では、現像処理システムとして図3に示すプラズマ処理システムを用いる場合を例にとって、方法MT4について説明する。
(工程ST41~ST42)
 工程ST41では、工程ST11と同様に基板Wが提供される。続く工程ST42では、レジスト膜RMの現像により、第2領域RM2の一部が除去される。工程ST42では、下地膜UFが露出する前に現像を停止してよい。第2領域RM2の一部は、上述した工程ST12の処理と同様の処理により除去されてよい。工程ST42において用いられる処理ガスは、工程ST11において用いられる処理ガスと同様の処理ガスである。図12の(a)及び図12の(b)の各々は、工程ST42後の基板Wの断面構造の一例を示す図である。図12(b)に示す例では、工程ST42の処理で除去しきれない残渣(スカム)S1~3が生じている。スカムS1~3は、後述する工程ST44(デスカム工程)で除去され得る。
(工程ST43)
 工程ST43では、堆積膜DFが形成される。堆積膜DFは、炭素含有膜又はシリコン含有膜であってよい。堆積膜DFは、炭素含有ガス又はシリコン含有ガスを含む第3の処理ガスから生成されたプラズマによって形成され得る。一例では、まず、ガス供給部20から第3の処理ガスがプラズマ処理空間10s内に供給される。次に、上部電極又は下部電極にソースRF信号が供給される。これにより、プラズマ処理空間10s内に高周波電界が生成され、第3の処理ガスからプラズマが生成される。そして、プラズマに含まれる炭素又はシリコンを含むラジカルが、レジスト膜RM上の少なくとも一部に堆積し得る。
 図13の(a)は、工程ST43後の基板Wの断面構造の一例を示す図である。図13の(a)に示すように、堆積膜DFは、レジスト膜RMの第1領域RM1の上面TS1に形成される(以下、「レジスト膜RMの第1領域RM1」を「第1領域RM1」ともいう。)。堆積膜DFは、第1領域RM1の側面SS1の少なくとも一部に更に形成されてもよい。この場合に、堆積膜DFは、第1領域RM1の側面SS1よりも上面TS1に、より厚く形成され得る。また、堆積膜DFは、第2領域RM2の上面TS2の少なくとも一部に更に堆積してもよい。堆積膜DFは、第2領域RM2の上面TS2のうち、その上で第1領域RM1が提供するスペースが広い部分におい形成されてよい。また、堆積膜DFは、第2領域RM2の上面TS2よりも第1領域RM1の上面TS1に、より厚く形成され得る。
 堆積膜DFとして炭素含有膜を形成する場合、第3の処理ガスは、炭素及び水素を含有するガスを含んでよい。当該ガスは、例えば、ハイドロカーボン(C:x及びyは正の整数。CH系ガスともいう。)でよく、一例では、CHガス、Cガス、Cガス又はCガスでよい。当該ガスは、フルオロカーボン(CxFz:x及びzは正の整数。CF系ガスともいう。)であってもよく、一例では、C又はCであってよい。また、当該ガスは、ハイドロフルオロカーボン(C:x、y及びzは正の整数。CHF系ガスともいう。)であってもよく、一例では、CHガス又はCHFガスであってよい。
 一方、堆積膜DFとしてシリコン含有膜を形成する場合、第3の処理ガスは、例えば、SiClガス、SiFガス等のシリコン含有ガスと、酸化性ガス又は水素含有ガスとの混合ガスであってよい。酸化性ガスは、例えば、Oガス、COガス、COガスからなる群から選択される少なくとも1種であってよい。水素含有ガスは、例えば、Hガスであってよい。堆積膜DFは、原子層堆積法(Atomic layer deposition、以下「ALD法」ともいう。)により形成されてもよい。例えば、堆積膜DFとしてシリコン含有膜を形成する場合、上述のシリコン含有ガスを前駆体として供給して、第1領域RM1の上面TS1に前駆体層を形成した後、酸化性ガス又は水素含有ガスを供給して、酸化性ガス又は水素含有ガスと当該前駆体層と反応させてもよい。
 一実施形態では、堆積膜DFとして、シリコン含有膜を形成した後、炭素含有膜を形成してもよい。この場合、炭素含有膜の形成と同時に、後述する工程ST44を実行してもよい。一実施形態では、堆積膜DFとして、炭素含有膜を形成した後、シリコン含有膜を形成してもよい。この場合、シリコン含有膜の形成と同時に、後述する工程ST44を実行してもよい。なお、工程ST43において、基板支持部11の下部電極に、バイアス信号(バイアスRF信号又はパルス化された第1のDC信号)は供給されなくてよい。
(工程ST44)
 上述したように、工程ST42でスカムが生じた場合、デスカム工程(工程ST44)を実行し、当該スカムを除去してよい。図12の(b)に示すように、スカムには、第1領域RM1の側壁に形成されたスカムS1、第1領域RM1の露出部の下部から第2領域RM2の表面に向かって延出するスカムS2、第2領域RM2の表面に形成されたスカムS3が含まれ得る。工程ST44において、スカムS1~3は、第4の処理ガスから生成されたプラズマにより除去されてよい。一例では、まず、ガス供給部20から第4の処理ガスがプラズマ処理空間10s内に供給される。次に、上部電極又は下部電極にソースRF信号が供給される。これにより、プラズマ処理空間10s内に高周波電界が生成され、第4の処理ガスからプラズマが生成される。このとき、基板支持部11の下部電極にバイアス信号が供給されてよい。そして、第4の処理ガスから生成されたプラズマによって、スカムS1~3が除去される。
 工程ST44において、第4の処理ガスは、ヘリウム含有ガス、水素含有ガス、臭素含有ガス及び塩素含有ガスからなる群から選択される少なくとも1種を含み得る。第4の処理ガスは、一例では、ヘリウムガス、水素ガス、臭化水素ガス及び三塩化ホウ素ガスからなる群から選択される少なくとも1種を含み得る。第4の処理ガスは、Arガス等の貴ガスやNガス等の不活性ガスを更に含んでよい。
 なお、工程ST44では、スカムS1~3とともに、堆積膜DFの一部が除去されてもよい。例えば、第1領域RM1の上面TS1に形成された堆積膜DFの一部も除去されてよい。堆積膜DFは、その厚さ方向及び幅方向の双方において、除去され得る。また、工程ST44では、スカムS1~3とともに、第2領域RM2の残りの一部又は全部が除去されてもよい。すなわち、工程ST44と後述する工程ST45とが同時に実行されてもよい。
(工程ST45)
 次に、工程ST45において、第2領域RM2が更に除去される。工程ST45において、第2領域RM2は、第5の処理ガスから生成されたプラズマにより除去されてよい。一例では、まず、ガス供給部20から第5の処理ガスがプラズマ処理空間10s内に供給される。次に、上部電極又は下部電極にソースRF信号が供給される。これにより、プラズマ処理空間10s内に高周波電界が生成され、第5の処理ガスからプラズマが生成される。このとき、基板支持部11の下部電極にバイアス信号が供給されてよい。そして、第5の処理ガスから生成されたプラズマに含まれるラジカルによって、第2領域RM2が除去される。
 なお、工程ST42の後、工程ST45の前に、基板Wを加熱する工程が行われてもよい。この場合には、工程ST43及び工程ST44は省略されてもよい。基板Wを加熱する工程における基板Wの温度は、180℃以上又は190℃以上であってもよい。基板Wを加熱する工程における基板Wの温度は、240℃以下以上又は220℃以下であってもよい。また、基板Wを加熱する工程及び工程ST45は、単一のチャンバを用いて行われてもよく、二つ以上の異なるチャンバを用いて行われてもよい。即ち、基板Wを加熱する工程を行うために用いられるチャンバと工程ST45において用いられるチャンバは、互いに同一のチャンバ、即ち単一のチャンバであってもよく、或いは、互いに異なるチャンバであってもよい。
 図13の(b)は、工程ST45の処理後の基板Wの断面構造の一例を示す図である。図13の(b)に示すように、工程ST45において、第2領域RM2が除去される。工程ST45では、第2領域RM2上に形成された堆積膜DFの全部が除去されてよい。そして、第1領域RM1の側面SS1により規定される開口OPが、下地膜UF上に形成される。下地膜UFの上面は、開口OPにおいて露出される。
 工程ST45において、第2領域RM2を除去するプラズマ処理のパラメータは、第1領域RM1の寸法(CD:Critical Dimension)に応じて、適宜設定され得る。当該寸法は、工程ST14の実行後においてターゲットとなる寸法であり得る。
 工程ST45において、第5の処理ガスは、上述した第1の処理ガスとして列挙したガスから選択される少なくとも1種でよく、第1の処理ガスと同一のガスであってよい。第5の処理ガスは、上述した第4の処理ガスとして列挙したガスから選択される少なくとも1種でよく、第4の処理ガスと同一のガスであってよい。工程ST45は、熱処理装置100により、プラズマを生成せずに実行することも可能である。この場合、処理チャンバ102内に第5の処理ガスを供給し、処理チャンバ内の圧力を所定の圧力に制御するともに、基板W又は基板支持部121の温度を所定の温度に調整すればよい。
 方法MT4では、工程ST42において第2領域RM2の一部が除去された後、工程ST43、工程ST44及び工程ST45が同時に実行されてよい。すなわち、工程ST42の実行後に、ガス供給部20から第3の処理ガス、第4の処理ガス及び第5の処理ガスがプラズマ処理空間10s内に供給される。次に、上部電極又は下部電極にソースRF信号が供給される。これにより、プラズマ処理空間10s内に高周波電界が生成され、第3の処理ガス、第4の処理ガス及び第5の処理ガスからプラズマが生成される。このとき、基板支持部11の下部電極にバイアス信号が供給されてよい。そして、第3の処理ガスから生成されるラジカルから第1領域RM1に堆積膜DFを形成される。他方で、第4の処理ガス及び第5の処理ガスから生成されるラジカルによってスカムS1~3及び第2領域RM2が除去される。すなわち、堆積膜DFによって第1領域RM1を保護しつつ、第2領域RM2を除去することができる。これにより、第1領域RM1の寸法を適切に制御しつつ、第2領域RM2を除去することができる。
 また、方法MT4では、工程ST42において、第2領域RM2を除去し、下地膜UFの少なくとも一部が露出した後に、工程ST43、工程ST44及び工程ST45がこの順で実行されてもよく、工程ST43、工程ST44及び工程ST45が同時に実行されてもよい。
 方法MT4によれば、第2領域RM2の少なくとも一部を除去した後、第2領域RM2の残り又は第2領域の残渣を除去する際に、堆積膜DFにより、第1領域を適切に保護することができる。また、方法MT4では、第1領域RM1上に堆積膜DFを形成した上で、第2領域RM2の残り又は第2領域の残渣を除去するので、第1領域RM1の側面SS1及び堆積膜DFの側面の凹凸等による表面粗さを低減することができる。
 [第5の実施形態]
 熱処理システムは、図1に示す熱処理装置100に代えて、図14の(a)及び図14の(b)に示す熱処理装置100aを備えていてもよい。図14の(a)は、熱処理装置100aの構成例を示す概略断面図であり、図14の(b)は、熱処理装置100aの構成例を示す概略平面図である。熱処理装置100aは、シャワーヘッド141aと、側壁に複数のガスノズル141bと、を備える。シャワーヘッド141aは、処理チャンバ102の天井に設けられている。シャワーヘッド141aは、基板支持部121に対向するように配置されてよい。複数のガスノズル141bは、処理チャンバ102の側壁に設けられている。複数のガスノズル141bは、例えば、処理チャンバ102の側壁に、周方向に沿って等間隔に配置されてよい。複数のガスノズル141bは、第1のガスノズル141b1と、第2のガスノズル141b2とを含んでもよい。第1のガスノズル141b1と第2のガスノズル141b2は、周方向に沿って交互に配置されてよい。シャワーヘッド141a、第1のガスノズル141b1及び第2のガスノズル141b2のそれぞれから処理チャンバ102内に供給されるガスの種類は、同一であってもよく、異なってもよい。また、シャワーヘッド141a、第1のガスノズル141b1及び第2のガスノズル141b2のそれぞれから処理チャンバ102内に供給されるガスの流量は、同一であってもよく、異なってもよい。なお、基板支持部121及び処理チャンバ102の側壁の各々には、図示しないヒータが熱処理装置100と同様に配置されてよい。また、処理チャンバ102の底面側には、図示しなしガス排気口が配置されてもよい。
 熱処理装置100aによれば、処理チャンバ102内のガス密度を容易に制御することができ、レジスト膜RMの現像における面内均一性を向上させることができる。
 [第6の実施形態]
 基板支持部としては、図1に示す基板支持部121に代えて、図15に示す基板支持部121aを用いてもよい。図15に示す基板支持部121aは、複数のゾーンを有し、各ゾーンにヒータ電極を備える。複数のゾーンは、基板支持部121aの中心軸線に直交する面又は基板Wに平行な面に沿って配列されている。図15に示す例では、基板支持部121aは、Z1~Z14のゾーンを有し、各ゾーンにヒータ電極を有する。各ゾーンのヒータ電極は、それぞれに独立して電力が供給可能であるように構成される。すなわち、基板支持部121aはゾーンごとに独立して温度制御可能に構成される。かかる基板支持部121aによれば、レジスト膜RMの現像における面内均一性を向上させることができる。
 [第7の実施形態]
 本開示の基板処理方法においては、基板処理(現像)の開始前に、処理チャンバ102の側壁及び/又は基板支持部121等の処理チャンバ102内のパーツ(以下、「チャンバ内パーツ」ともいう。)に、プリコートを行ってもよい。プリコートは、原子層堆積法(Atomic Layer Deposition:以下「ALD法」ともいう。)、化学蒸着法(Chemical Vapor Deposition:以下「CVD法」ともいう。)等により行ってよい。プリコートを形成するためのガスとしては、カルボン酸を含む処理ガスに対して耐性を有する膜を形成可能なガスが選択されてよい。一例では、アミノシラン又はSiClなどのシリコン含有ガスを用いることができる。この場合、処理チャンバ102の側壁及び/又はチャンバ内パーツには、プリコートとしてシリコン酸化膜が形成され得る。これにより、処理ガスによる処理チャンバ102の側壁及び/又は基板支持部121等の腐食を抑制することができる。
 なお、プリコートに代えて、又はプリコートと共に、処理チャンバ102の側壁及び/又はチャンバ内パーツを、カルボン酸等を含む処理ガスに対して耐性を有する材料により構成してもよい。
 また、本開示の基板処理方法においては、基板処理(現像)後に、処理チャンバ102内をクリーニングしてよい。この場合、処理チャンバ102及びチャンバ内パーツを加熱した上で、処理チャンバ102内にクリーニングガスを供給する。クリーニングガスとしては、例えば、HBrやHF等のハロゲン化水素を含むガスを用いることができる。クリーニングは、熱による原子層エッチング法(Thermal Atomic Layer Etching:以下「熱ALE法」ともいう。)により行ってもよい。これにより、現像時に処理チャンバ102の側壁及び/又はチャンバ内パーツに付着した金属酸化物を除去することができる。
 [第8の実施形態]
 第8の実施形態に係る基板処理方法では、方法MT1~MT4のいずれかの方法で現像されたレジスト膜RMをマスクとして、下地膜UFをエッチングする。下地膜UFのエッチング条件は、下地膜UFの膜種等に基づいて選択してよい。一実施形態では、下地膜UFのエッチングは、図3に示すプラズマ処理装置1により実行される。
<基板処理システムの構成例>
 図16は、例示的な実施形態にかかる基板処理システムSSの構成例を説明するためのブロック図である。基板処理システムSSは、第1のキャリアステーションCS1と、第1の処理ステーションPS1と、第1のインターフェイスステーションIS1と、露光装置EXと、第2のインターフェイスステーションIS2と、第2の処理ステーションPS2と、第2のキャリアステーションCS2と、制御部CTとを備える。
 第1のキャリアステーションCS1は、第1のキャリアステーションCS1と基板処理システムSSの外部のシステムとの間で第1のキャリアC1の搬入及び搬出を行う。第1のキャリアステーションCS1は、複数の第1の載置板ST1を有する載置台を有する。各第1の載置板ST1上には、複数枚の基板Wを収容した状態の又は空の状態の第1のキャリアC1が載置される。第1のキャリアC1は、複数枚の基板Wを内部に収容可能な筐体を有する。第1のキャリアC1は、一例では、FOUP(Front Opening Unified Pod)である。
 また、第1のキャリアステーションCS1は、第1のキャリアC1と第1の処理ステーションPS1との間で基板Wの搬送を行う。第1のキャリアステーションCS1は、第1の搬送装置HD1を更に備える。第1の搬送装置HD1は、第1のキャリアステーションCS1において、載置台と第1の処理ステーションPS1との間に位置するように、設けられている。第1の搬送装置HD1は、各第1の載置板ST1上の第1のキャリアC1と、第1の処理ステーションPS1の第2の搬送装置HD2との間で基板Wの搬送及び受け渡しを行う。基板処理システムSSは、ロードロックモジュールを更に備えてよい。ロードロックモジュールは、第1のキャリアステーションCS1と第1の処理ステーションPS1との間に設けられ得る。ロードロックモジュールは、その内部の圧力を、大気圧又は真空に切り替えることができる。「大気圧」は、第1の搬送装置HD1の内部の圧力でありうる。「真空」は、大気圧よりも低い圧力であって、例えば0.1Pa~100Paの中真空であり得る。第2の搬送装置HD2の内部は大気圧又は真空であり得る。ロードロックモジュールは、例えば、大気圧である第1の搬送装置HD1から真空である第2の搬送装置HD2へ基板Wを搬送し、また真空である第2の搬送装置HD2から大気圧である第1の搬送装置HD1へ基板Wを搬送してよい。
 第1の処理ステーションPS1は、基板Wに対して各種処理を行う。一実施形態において、第1の処理ステーションPS1は、前処理モジュールPM1、レジスト膜形成モジュールPM2及び第1の熱処理モジュールPM3(以下あわせて「第1の基板処理モジュールPMa」ともいう。)を備える。また、第1の処理ステーションPS1は、基板Wを搬送する第2の搬送装置HD2を有する。第2の搬送装置HD2は、指定された二つの第1の基板処理モジュールPMaの間、及び、第1の処理ステーションPS1と第1のキャリアステーションCS1又は第1のインターフェイスステーションIS1との間で基板Wの搬送及び受け渡しを行う。
 前処理モジュールPM1において、基板Wに前処理が施される。一実施形態において、前処理モジュールPM1は、基板Wの温度を調整する温度調整ユニット、基板Wの温度を高精度に調整する高精度温調ユニット等を含む。一実施形態において、前処理モジュールPM1は、基板Wに表面改質処理を行う表面改質処理ユニットを含む。前処理モジュールPM1の各処理ユニットは、熱処理装置100(図1参照)、プラズマ処理装置1(図2及び図3参照)及び/又は液処理装置を含んで構成されてよい。
 レジスト膜形成モジュールPM2において、基板Wにレジスト膜が形成される。一実施形態において、レジスト膜形成モジュールPM2は、ドライコーティングユニットを備える。ドライコーティングユニットは、気相堆積法等のドライプロセスを使用して基板W上にレジスト膜を形成する。ドライコーティングユニットは、一例では、チャンバ内に配置された基板W上に、レジスト膜を化学蒸着させるCVD装置若しくはALD装置又はレジスト膜を物理蒸着させるPVD装置を含む。ドライコーティングユニットは、熱処理装置100(図1参照)又はプラズマ処理装置1(図2及び図3参照)であってもよい。
 一実施形態において、レジスト膜形成モジュールPM2は、ウェットコーティングユニットを備える。ウェットコーティングユニットは、溶液塗布法等のウェットプロセスを使用して基板W上にレジスト膜を形成する。ウェットコーティングユニットは、一例では、液処理装置であってよい。
 一実施形態において、レジスト膜形成モジュールPM2の例は、ウェットコーティングユニットとドライコーティングユニットの双方を含む。
 第1の熱処理モジュールPM3において、基板Wに熱処理が施される。一実施形態において、第1の熱処理モジュールPM3は、レジスト膜が形成された基板Wに加熱処理を行うプリベーク(PAB)ユニット、基板Wの温度を調整する温度調整ユニット及び基板Wの温度を高精度に調整する高精度温調ユニットのいずれか1つ以上を含む。これらの各ユニットは、それぞれ1又は複数の熱処理装置を有してよい。一例において、複数の熱処理装置は積層されていてよい。熱処理装置は、例えば、熱処理装置100(図1参照)であってよい。それぞれの熱処理は所定温度で所定のガスを用いて行われてよい。
 第1のインターフェイスステーションIS1は、第3の搬送装置HD3を有する。第3の搬送装置HD3は、第1の処理ステーションPS1と露光装置EXとの間で基板Wの搬送及び受け渡しを行う。第3の搬送装置HD3は、基板Wを収容する筐体を有し、当該筐体内の温度、湿度、圧力等が制御可能であるように構成されてよい。
 露光装置EXは、露光マスク(レチクル)を用いて基板W上のレジスト膜を露光する。露光装置EXは、例えば、EUV光を発生する光源を有するEUV露光装置でよい。
 第2のインターフェイスステーションIS2は、第4の搬送装置HD4を有する。第4の搬送装置HD4は、露光装置EXと第2の処理ステーションPS2との間で基板Wの搬送及び受け渡しを行う。第4の搬送装置HD4は、基板Wを収容する筐体を有し、当該筐体内の温度、湿度、圧力等が制御可能であるように構成されてよい。
 第2の処理ステーションPS2は、基板Wに対して各種処理を行う。一実施形態において、第2の処理ステーションPS2は、第2の熱処理モジュールPM4、測定モジュールPM5、現像モジュールPM6及び第3の熱処理モジュールPM7(以下あわせて「第2の基板処理モジュールPMb」ともいう。)を備える。また、第2の処理ステーションPS2は、基板Wを搬送する第5の搬送装置HD5を有する。第5の搬送装置HD5は、指定された二つの第2の基板処理モジュールPMbの間、及び、第2の処理ステーションPS2と第2のキャリアステーションCS2又は第2のインターフェイスステーションIS2との間で基板Wの搬送及び受け渡しを行う。
 第2の熱処理モジュールPM4において、基板Wに熱処理が施される。一実施形態において、第2の熱処理モジュールPM4は、露光後の基板Wに加熱処理をするポストエクスポージャーベーク(PEB)ユニット、基板Wの温度を調整する温度調整ユニット及び基板Wの温度を高精度に調整する高精度温調ユニットのいずれか1つ以上を含む。これらの各ユニットは、それぞれ1又は複数の熱処理装置を有してよい。一例において、複数の熱処理装置は積層されていてよい。熱処理装置は、例えば、熱処理装置100(図1参照)であってよい。それぞれの熱処理は所定温度で所定のガスを用いて行われてよい。
 測定モジュールPM5において、基板Wに対して各種測定が行われる。一実施形態において、測定モジュールPM5は、基板Wを載置する載置台、撮像装置、照明装置及び各種センサ(温度センサ、反射率測定センサ等)を含む撮像ユニットを備える。撮像装置は、例えば、基板Wの外観を撮像するCCDカメラでよい。或いは、撮像装置は、光を波長ごとに分光して撮影するハイパースペクトルカメラでもよい。ハイパースペクトルカメラは、レジスト膜のパターン形状、寸法、膜厚、組成及び膜密度のいずれか1つ以上を測定し得る。
 現像モジュールPM6において、基板Wに現像処理が施される。一実施形態において、現像モジュールPM6は基板Wに対するドライ現像を行うドライ現像ユニットを備える。ドライ現像ユニットは、例えば、熱処理装置100(図1参照)又はプラズマ処理装置1(図2及び図3参照)であってよい。一実施形態において、現像モジュールPM6は基板Wをウェット現像するウェット現像ユニットを備える。ウェット現像ユニットは、例えば、液処理装置であってよい。一実施形態において、現像モジュールPM6は、ドライ現像ユニットとウェット現像ユニットの双方を備える。
 第3の熱処理モジュールPM7において、基板Wに熱処理が施される。一実施形態において、第3の熱処理モジュールPM7は、現像後の基板Wに加熱処理をするポストベーク(Post Bake:PB)ユニット、基板Wの温度を調整する温度調整ユニット及び基板Wの温度を高精度に調整する高精度温調ユニットのいずれか1つ以上を含む。これらの各ユニットは、それぞれ1又は複数の熱処理装置を有してよい。一例において、複数の熱処理装置は積層されていてよい。熱処理装置は、例えば、熱処理装置100(図1参照)であってよい。それぞれの熱処理は所定温度で所定のガスを用いて行われてよい。
 第2のキャリアステーションCS2は、第2のキャリアステーションCS2と基板処理システムSSの外部のシステムとの間で第2のキャリアC2の搬入及び搬出を行う。第2のキャリアステーションCS2の構成及び機能は、上述した第1のキャリアステーションCS1と同様であってよい。
 制御部CTは、基板処理システムSSの各構成を制御して、基板Wに所与の処理を実行する。制御部CTは、プロセスの手順、プロセスの条件、搬送条件等が設定されたレシピを格納しており、当該レシピに従って、基板Wに所与の処理を実行するように、基板処理システムSSの各構成を制御する。制御部CTは、各制御部(図1~図3に示す制御部200及び制御部2、並びに液処理装置の制御部)の一部又は全部の機能を兼ねてよい。
<基板処理方法の一例>
 図17は、例示的な実施形態にかかる基板処理方法(以下「方法MT」ともいう。)を示すフローチャートである。図17に示すように、方法MTは、基板に前処理を施す工程ST100と、基板にレジスト膜を形成する工程ST200と、レジスト膜が形成された基板に加熱処理(プリベーク:PAB)を施す工程ST300と、基板に対するEUV露光を行う工程ST400と、露光後の基板に加熱処理(ポストエクスポージャーベーク:PEB)を施す工程ST500と、基板の測定を行う工程ST600と、基板のレジスト膜を現像する工程ST700と、現像後の基板に加熱処理(ポストベーク:PB)を施す工程ST800と、基板をエッチングする工程ST900と、を含む。方法MTは、上記各工程の1つ以上を含まなくてよい。例えば、方法MTは、工程ST600を含まなくてよく、工程ST500の後で工程ST700が実行されてよい。
 方法MTは、図16に示す基板処理システムSSを用いて実行されてよい。以下では、基板処理システムSSの制御部CTが基板処理システムSSの各部を制御して、基板Wに対して方法MTを実行する場合を例に説明する。
(工程ST100:前処理)
 まず、複数の基板Wを収容した第1のキャリアC1が、基板処理システムSSの第1のキャリアステーションCS1に搬入される。第1のキャリアC1は、第1の載置板ST1上に載置される。次に第1の搬送装置HD1により、第1のキャリアC1内の各基板Wが順次取り出され、第1の処理ステーションPS1の第2の搬送装置HD2に受け渡される。基板Wは、第2の搬送装置HD2により、前処理モジュールPM1に搬送される。前処理モジュールPM1により、基板Wに前処理が行われる。前処理は、例えば、基板Wの温度調整、基板Wの下地膜の一部又は全部の形成、基板Wの加熱処理及び基板Wの高精度温度調整の1つ以上を含んでよい。前処理は、基板Wの表面改質処理を含んでもよい。
(工程ST200:レジスト膜形成)
 次に、基板Wは、第2の搬送装置HD2により、レジスト膜形成モジュールPM2に搬送される。レジスト膜形成モジュールPM2により、基板W上にレジスト膜が形成される。一実施形態において、レジスト膜の形成は、液相堆積法等のウェットプロセスで行われる。例えば、レジスト膜形成モジュールPM2のウェットコーティングユニットを用いて、基板W上にレジスト膜をスピンコーティングすることで、レジスト膜が形成される。一実施形態において、基板Wへのレジスト膜の形成は、気相堆積法等のドライプロセスにより行われる。例えば、レジスト膜形成モジュールPM2のドライコーティングユニットを用いて、基板W上にレジスト膜を蒸着することで、レジスト膜が形成される。
 なお、基板Wへのレジスト膜の形成は、ドライプロセスとウェットプロセスの双方を用いて行われてもよい。例えば、ドライプロセスにより基板Wに第1のレジスト膜を形成した後で、ウェットプロセスにより第1のレジスト膜上に第2のレジスト膜を形成してよい。この場合、第1のレジスト膜と第2のレジスト膜の膜厚、材料及び/又は組成は、同一でも異なってもよい。
(工程ST300:PAB)
 次に、基板Wは、第2の搬送装置HD2により、第1の熱処理モジュールPM3に搬送される。第1の熱処理モジュールPM3により、基板Wに加熱処理(プリベーク:PAB)が施される。プリベークは、大気雰囲気で行ってもよく、不活性雰囲気でおこなってもよい。また、プリベークは、基板Wを50℃以上、250℃以下、50℃以上、200℃以下又は80℃以上、150℃以下に加熱することにより行ってよい。工程ST200においてドライプロセスでレジスト膜を形成する場合、一実施形態において、プリベークは工程ST200を実行したドライコーティングユニットで連続して実行されてよい。一実施形態において、プリベーク後に、基板Wの端部のレジスト膜を除去する処理(Edge Bead Removal:EBR)が施されてよい。
(工程ST400:EUV露光)
 次に、基板Wは、第2の搬送装置HD2により、第1のインターフェイスステーションIS1の第3の搬送装置HD3に受け渡される。そして基板Wは、第3の搬送装置HD3により、露光装置EXに搬送される。基板Wは、露光装置EXにおいて露光マスク(レクチル)を介してEUV露光を受ける。これにより、基板Wには、露光マスク(レクチル)のパターンに対応して、EUV露光がなされた第1領域と、EUV露光がなされていない第2領域とが形成される。
(工程ST500:PEB)
 次に、基板Wは、第2のインターフェイスステーションIS2の第4の搬送装置HD4から第2の処理ステーションPS2の第5の搬送装置HD5に受け渡される。そして基板Wは、第5の搬送装置HD5により、第2の熱処理モジュールPM4に搬送される。そして、基板Wには、第2の熱処理モジュールPM4において加熱処理(ポストエクスポージャーベーク:PEB)が施される。ポストエクスポージャーベークは、大気雰囲気で行ってよい。また、ポストエクスポージャーベークは、基板Wを180℃以上、250℃以下に加熱することにより行ってよい。
(工程ST600:測定)
 次に、基板Wは、第5の搬送装置HD5により測定モジュールPM5に搬送される。測定モジュールPM5により、基板Wの測定が行われる。測定は、光学的な測定であってもよく、他の測定であってもよい。一実施形態において、測定モジュールPM5による測定はCCDカメラを用いた基板Wの外観及び/又は寸法の測定を含む。一実施形態において、測定モジュールPM5による測定はハイパースペクトルカメラを用いたレジスト膜のパターン形状、寸法、膜厚、組成、膜密度のいずれか1つ以上(以下「パターン形状等」ともいう。)の測定を含む。
 一実施形態において、制御部CTは、測定された基板Wの外観や寸法、及び/又は、パターン形状等に基づいて、当該基板Wの露光異常の有無を判定する。一実施形態において、制御部CTにおいて露光異常があると判定された場合、工程ST700による現像行うことなく、基板Wのリワークまたは破棄が行われてよい。基板Wのリワークは、基板W上のレジストを除去し、再度、工程ST200に戻ってレジスト膜を形成することで行なってよい。現像後のリワークは基板Wへの損傷を伴うことがあるが、現像前にリワークを行うことで、基板Wへの損傷を回避又は抑制し得る。
(工程ST700:現像)
 次に、基板Wは、第5の搬送装置HD5により、現像モジュールPM6に搬送される。現像モジュールPM6において、基板Wのレジスト膜が現像される。現像処理は、ドライ現像で行ってよく、またウェット現像で行ってもよい。現像処理は、ドライ現像とウェット現像を組み合わせて行ってもよい。工程ST700における現像処理は、第1の方法(図5、図11参照)又は第2の方法(図12(a)及び図12(b)参照)で行われてよい。現像処理の後で又は現像処理の間に、脱離(desorption)処理が1回以上実行されてよい。脱離処理は、ヘリウム等の不活性ガス又は当該不活性ガスのプラズマにより、レジスト膜の表面からスカムを除去する(descum)又は表面を滑らかにする(smoothing)ことを含む。また、現像モジュールPM6では、現像処理の後に、現像されたレジスト膜をマスクとして、下地膜の一部をエッチングしてよい。
(工程ST800:PB)
 次に、基板Wは、第5の搬送装置HD5により、第3の熱処理モジュールPM7に搬送され、加熱処理(ポストベーク)が施される。ポストベークは、大気雰囲気で行ってよく、N又はOを含む減圧雰囲気で行ってもよい。また、ポストベークは、基板Wを150℃以上、250℃以下に加熱することにより行ってよい。ポストベークは、第3の熱処理モジュールPM7に代えて、第2の熱処理モジュールPM4で行ってもよい。一実施形態において、ポストベーク後に、測定モジュールPM5により基板Wの光学的な測定がされてよい。かかる測定は、工程ST600における測定に加えてまたは工程ST600における測定に代えて実行されてよい。一実施形態において、制御部CTは、測定された基板Wの外観や寸法、及び/又は、パターン形状等に基づいて、当該基板Wの現像パターンの欠陥、傷、異物の付着等の異常の有無等を判定する。一実施形態において、制御部CTにおいて異常があると判定された場合、工程ST900によるエッチングを行うことなく、基板Wのリワークまたは破棄が行われてよい。一実施形態において、制御部CTにおいて異常があると判断された場合、ドライコーティングユニット(CVD装置、ALD装置等)を用いて基板Wのレジスト膜の開口寸法が調整されてよい。
(工程ST900:エッチング)
 工程ST800の実行後、基板Wは、第5の搬送装置HD5により第2のキャリアステーションCS2の第6の搬送装置HD6に受け渡され、第6の搬送装置HD6により第2の載置板ST2の第2のキャリアC2に搬送される。その後、第2のキャリアC2はプラズマ処理システム(図示せず)に搬送される。プラズマ処理システムにおいて、現像後のレジスト膜をマスクとして基板Wの下地膜UFがエッチングされる。以上により、方法MTが終了する。なお、工程ST700において、プラズマ処理装置を用いてレジスト膜を現像する場合、エッチングは、当該プラズマ処理装置のプラズマ処理チャンバ内で続けて実行されてよい。また、第2の処理ステーションPS2が、現像モジュールPM6に加えて、プラズマ処理モジュールを備える場合には、エッチングは、当該プラズマ処理モジュール内で実行されてもよい。エッチングの前に又はエッチングの間に上述した脱離処理が1回以上実行されてよい。
 以上、種々の例示的実施形態について説明してきたが、上述した例示的実施形態に限定されることなく、様々な追加、省略、置換、及び変更がなされてもよい。また、異なる実施形態における要素を組み合わせて他の実施形態を形成することが可能である。
 以下、種々の実験について、それらの結果と共に説明する。以下の説明では、図18の(a)及び図18の(b)、図19の(a)~図19の(d)、図20~図22、図23の(a)~図23の(c)、図24の(a)及び図24の(b)、図25、並びに図26を参照する。これらの図は、種々の実験の結果を示している。これらの図において、「Unexposed)は、未露光のレジスト膜に対して現像を行った実験の結果を示しており、「Exposed」は、EUV光による露光済みのレジスト膜に対して現像を行った実験の結果を示している。また、これらの図において、「Thickness」はレジスト膜の厚さを示しており、「Normalized Thickness」は、現像前の状態のレジスト膜の厚さによって規格化されたレジスト膜の厚さを示している。なお、レジスト膜は、酸化スズを含有するレジスト膜であった。また、これらの図に結果を示す実験では、図1に示す熱処理システムを用いた。また、これらの図に結果を示す実験では、現像の時間(Time)とレジスト膜の厚さとの関係を求めた。
 (実験1)
 実験1では、HBrガスとArガスの混合ガスである処理ガスを用いて、未露光のレジスト膜と露光済みのレジスト膜それぞれの現像を行った。実験1では、HBrガスの分圧を、0.2Torr(26.6Pa)に設定した。実験1では、現像時の基板支持部の温度を、10℃又は20℃に設定した。
 図18の(a)は現像時の基板支持部の温度が10℃である場合の実験の結果を示しており、図18の(b)は、現像時の基板支持部の温度が60℃である場合の実験の結果を示している。現像において、基板支持部の温度が10℃であり、且つ、HBrガスを用いた場合には、図18の(a)に示すように、未露光のレジスト膜の残渣が生じやすい傾向が確認された。また、現像において、基板支持部の温度が60℃であり、且つ、HBrガスを用いた場合には、図18の(b)に示すように、未露光のレジスト膜を除去し得るが、未露光のレジスト膜の厚さの減少の速度と露光済みのレジスト膜の厚さの減少の速度との間の差が小さくなり得ることが確認された。即ち、HBrガスを用いた場合には、現像時の基板支持部の温度を高い温度に設定することにより、レジスト膜の未露光の領域が除去され得るが、現像における選択比が低くなり得ることが確認された。
 (実験2)
 実験2では、カルボン酸のみを含む処理ガスを用いて、未露光のレジスト膜と露光済みのレジスト膜それぞれの現像を行った。実験2では、カルボン酸の圧力、即ち処理ガスの圧力を、5Torr(666Pa)に設定した。実験2では、現像時の基板支持部の温度を、120℃に設定した。また、実験2では、カルボン酸として、ギ酸(H-COOH)、トリフルオロ酢酸(CF-COOH)、又は酢酸(CH-COOH)を用いた。
 図19の(b)、図19の(c)、及び図19の(d)は、カルボン酸としてギ酸、トリフルオロ酢酸、酢酸をそれぞれ用いた場合の結果を示している。なお、図19の(a)は、図18の(b)と同じ結果を示している。これらの図に示すように、現像においてカルボン酸を用いた場合には、基板支持部の温度が高温であっても露光済みのレジスト膜の厚さの減少を抑制して、高い選択比を得ることが可能であることが確認された。また、ギ酸又はトリフルオロ酢酸を用いた場合に、特に高い現像速度が得られることが確認された。
 (実験3)
 実験3では、ギ酸(H-COOH)のみを含む処理ガスを用いて、未露光のレジスト膜と露光済みのレジスト膜それぞれの現像を行った。実験3では、ギ酸の圧力、即ち処理ガスの圧力を、0.5Torr(66.6Pa)又は5Torr(666Pa)に設定した。また、実験3では、現像時の基板支持部の温度を、60℃、120℃、又は180℃に設定した。
 図20は、実験3の結果を示している。ギ酸を用いた場合には、図20に示すように、120℃以上の温度で高い選択比での現像が可能であることが確認された。また、基板支持部の温度が180℃である状態でギ酸を用いた場合には、基板支持部の温度が120℃である状態でギ酸を用いた場合と比較して、露光済みのレジスト膜の厚さの減少がより抑制されることが確認された。
 (実験4)
 実験4では、トリフルオロ酢酸(CF-COOH)のみを含む処理ガスを用いて、未露光のレジスト膜と露光済みのレジスト膜それぞれの現像を行った。実験4では、トリフルオロ酢酸の圧力、即ち処理ガスの圧力を、0.5Torr(66.6Pa)又は5Torr(666Pa)に設定した。また、実験4では、現像時の基板支持部の温度を、60℃、120℃、又は180℃に設定した。
 図21は、実験4の結果を示している。トリフルオロ酢酸を用いた場合には、図21に示すように、120℃以上の温度で高い選択比での現像が可能であることが確認された。また、基板支持部の温度が180℃である状態でトリフルオロ酢酸を用いた場合には、基板支持部の温度が120℃である状態でトリフルオロ酢酸を用いた場合と比較して、露光済みのレジスト膜の厚さの減少がより抑制されることが確認された。また、トリフルオロ酢酸を用いた場合には、ギ酸を用いた場合と比較して、露光済みのレジスト膜の厚さの減少がより抑制されることが確認された。
 (実験5)
 実験5では、酢酸(CH-COOH)のみを含む処理ガスを用いて、未露光のレジスト膜と露光済みのレジスト膜それぞれの現像を行った。実験5では、酢酸の圧力、即ち処理ガスの圧力を、0.5Torr(66.6Pa)又は5Torr(666Pa)に設定した。また、実験5では、現像時の基板支持部の温度を、120℃又は180℃に設定した。
 図22は、実験5の結果を示している。酢酸を用いた場合には、図22に示すように、120℃以上の温度で高い選択比での現像が可能であることが確認された。また、酢酸を用いた場合には、ギ酸又はトリフルオロ酢酸を用いた場合と比較して、露光済みのレジスト膜の厚さの減少がより抑制されるが、未露光のレジスト膜の厚さの減少速度が比較的低いことが確認された。
 (実験6)
 実験6では、処理ガスとしてトリフルオロ酢酸(CF-COOH)とArガスの混合ガスである処理ガスを用いて、未露光のレジスト膜と露光済みのレジスト膜それぞれの現像を行った。実験6では、トリフルオロ酢酸の分圧を、3Torr(400Pa)に設定した。また、実験6では、現像時の基板支持部の温度を、90℃、120℃、又は180℃に設定した。
 図23の(a)、図23の(b)、図23の(c)は、現像時の基板支持部の温度が90℃、120℃、180℃である場合の結果をそれぞれ示している。これらの図に示すように、トリフルオロ酢酸を用いた場合には、現像時の基板支持部が90℃以上である場合に、未露光領域を選択的に除去する現像が可能であることが確認された。
 (実験7)
 実験7では、処理ガスとしてトリフルオロ酢酸(CF-COOH)とArガスの混合ガスである処理ガスを用いて、未露光のレジスト膜と露光済みのレジスト膜それぞれの現像を行った。実験7では、現像時の基板支持部の温度を、120℃に設定した。また、実験7では、トリフルオロ酢酸の分圧を0.36、(48Pa)、0.6Torr(80Pa)、3Torr(400Pa)、又は6Torr(798Pa)に設定した。
 図24の(a)は未露光のレジスト膜の現像に関する結果を示しており、図24の(b)は露光済みのレジスト膜の現像に関する結果を示している。これらの図に示すように、トリフルオロ酢酸を用いた場合には、トリフルオロ酢酸の分圧を0.36Torr以上に設定することにより、未露光領域を選択的に除去する現像が可能であることが確認された。また、トリフルオロ酢酸を用いた場合には、トリフルオロ酢酸の分圧を0.6Torr以上に設定することにより、高い選択比での現像が可能であることが確認された。
 (実験8)
 実験8では、処理ガスとして酢酸(CH-COOH)とArガスの混合ガスである処理ガスを用いて、未露光のレジスト膜と露光済みのレジスト膜それぞれの現像を行った。実験8では、現像時の基板支持部の温度を、120℃、150℃、180℃、又は210℃に設定した。また、実験8では、酢酸の分圧を、0.6Torr(80Pa)、3Torr(400Pa)、又は6Torr(800Pa)に設定した。
 図25は、実験8の結果を示している。図25に示すように、酢酸を用いた場合には、酢酸の分圧を0.6Torr以上に設定することにより、未露光領域を選択的に除去する現像が可能であることが確認された。また、酢酸を用いた場合には、基板支持部の温度を120℃以上の温度に設定することにより、未露光領域を選択的に除去する現像が可能であることが確認された。また、酢酸を用いた場合には、基板支持部の温度を180℃以上の温度に設定することにより、高速に未露光領域を除去すること、即ち高い現像速度が得られることが確認された。
 (実験9)
 実験9では、処理ガスとして酢酸(CH-COOH)とArガスの混合ガスである処理ガスを用いて、未露光のレジスト膜と露光済みのレジスト膜それぞれの現像を行った。実験9では、現像時の基板支持部の温度を、120℃又は150℃に設定した。また、実験9では、酢酸の分圧を、6Torr(800Pa)、12Torr(1600Pa)、21Torr(2800Pa)、30Torr(4000Pa)、又は60Torr(8000Pa)に設定した。
 図26は、実験9の結果を示している。図26に示すように、酢酸を用いた場合には、酢酸の分圧を6Torrから60Torrの範囲に設定することにより、未露光領域を選択的に除去する現像が可能であることが確認された。また、酢酸を用いた場合には、基板支持部の温度を120℃以上の温度に設定することにより、未露光領域を選択的に除去する現像が可能であることが確認された。また、酢酸を用いた場合には、酢酸の分圧が同じであれば基板支持部の温度が高温であるほど、高速に未露光領域を除去することができること、即ち高い現像速度が得られることが確認された。
 ここで、本開示に含まれる種々の例示的実施形態を、以下の[E1]~[E19]に記載する。
[E1]
 (a)処理チャンバ内の基板支持部上に基板を提供する工程であって、該基板は下地膜及び該下地膜上に設けられており金属含有レジストから形成されたレジスト膜を有し、該レジスト膜は第1領域及び第2領域を有する、前記工程と、
 (b)前記処理チャンバ内にカルボン酸を含む処理ガスを供給し、前記基板を該カルボン酸に晒して、前記第1領域に対して前記第2領域を選択的に除去することにより、前記レジスト膜をドライ現像する工程と、
を含み、
 前記(b)において、前記カルボン酸の圧力又は分圧は、40Pa以上、13332Pa未満である、基板処理方法。
[E2]
 前記(b)において、前記カルボン酸の圧力又は分圧は、133Pa以上である、E1に記載の基板処理方法。
[E3]
 前記(b)において、前記カルボン酸の圧力又は分圧は、666Pa以上である、E1に記載の基板処理方法。
[E4]
 前記(b)において、前記カルボン酸の圧力又は分圧は、1333Pa以下である、E1に記載の基板処理方法。
[E5]
 前記処理ガスは、不活性ガスを含み、前記(b)において、前記カルボン酸の分圧は、40Pa以上である、E1に記載の基板処理方法。
[E6]
 前記カルボン酸の分圧は、8000Pa以下である、E5に記載の基板処理方法。
[E7]
 前記(b)において、前記基板支持部の温度は、90℃以上である、E1~E6の何れか一項に記載の基板処理方法。
[E8]
 前記(b)において、前記基板支持部の温度は、120℃以上である、E1~E6の何れか一項に記載の基板処理方法。
[E9]
 前記(b)において、前記基板支持部の温度は、300℃以下である、E1~E6に記載の基板処理方法。
[E10]
 前記カルボン酸は、ギ酸、トリフルオロ酢酸、及び酢酸からなる群から選択される少なくとも一つである、E1に記載の基板処理方法。
[E11]
 前記カルボン酸は、ギ酸であり、
 前記(b)において、前記基板支持部の温度は、120℃以上であり、前記カルボン酸の圧力又は分圧は、40Pa以上である、
E8に記載の基板処理方法。
[E12]
 前記カルボン酸は、トリフルオロ酢酸であり、
 前記(b)において、前記基板支持部の温度は、90℃以上であり、前記カルボン酸の圧力又は分圧は、40Pa以上である、
E8に記載の基板処理方法。
[E13]
 前記カルボン酸は、酢酸であり、
 前記(b)において、前記基板支持部の温度は、120℃以上であり、前記カルボン酸の圧力又は分圧は、40Pa以上である、
E8に記載の基板処理方法。
[E14]
 前記(b)は、
  (b-1)第1の条件で前記レジスト膜に対するドライ現像を行う工程と、
  (b-2)第1の条件と異なる第2の条件で前記レジスト膜に対するドライ現像を行う工程と、
 を含み、
 前記第1の条件及び前記第2の条件の組み合わせは、条件(A)、条件(B)、及び条件(C)のうち一つ以上を満たし、
 前記条件(A)は、前記(b-1)において第1の処理ガスを用いて前記レジストを現像し、前記(b-2)において第1の処理ガスと異なる第2の処理ガスを用いて前記レジスト膜を現像することを含み、該条件(A)において、前記第1の処理ガス及び前記第2の処理ガスのうち少なくとも一方は、前記カルボン酸を含み、
 前記条件(B)は、前記(b-1)において前記カルボン酸の圧力を第1の圧力に設定して前記レジスト膜を現像し、前記(b-2)において前記カルボン酸の圧力を第1の圧力と異なる第2の圧力に設定して前記レジスト膜を現像することを含み、
 前記条件(C)は、前記(b-1)において前記基板支持部を第1の温度に設定して前記レジスト膜を現像し、前記(b-2)において前記基板支持部を第1の温度と異なる第2の温度に設定して前記レジスト膜を現像することを含む、
E1~E13の何れか一項に記載の基板処理方法。
[E15]
 前記(b)が行われる期間は、第1の期間と該第1の期間と交互の第2の期間を含み、
 前記第1の期間の処理条件及び前記第2の期間の処理条件の組み合わせは、条件(A)、条件(B)、及び条件(C)のうち一つ以上を満たし、
 前記条件(A)は、前記第1の期間において、第1の処理ガスを用いて前記レジスト膜を現像し、前記第2の期間において、前記第1の処理ガスと異なる第2の処理ガスを用いて前記レジスト膜を現像することを含み、該条件(A)において、前記第1の処理ガス及び前記第2の処理ガスのうち少なくとも一方は、前記カルボン酸を含み、
 前記条件(B)は、前記第1の期間において、前記カルボン酸の圧力を第1の圧力に設定して前記レジスト膜を現像し、前記第2の期間において、前記カルボン酸の圧力を第1の圧力と異なる第2の圧力に設定して前記レジスト膜を現像することを含み、
 前記条件(C)は、前記第1の期間において、前記基板支持部の温度を第1の温度に設定して前記レジスト膜を現像し、前記第2の期間において、前記基板支持部の温度を第1の温度と異なる第2の温度に設定して前記レジスト膜を現像することを含む、
E1~E13の何れか一項に記載の基板処理方法。
[E16]
 前記(b)において前記第2領域が部分的に除去され、
 該基板処理方法は、
  前記(b)の後、前記第1領域上に堆積膜を形成する工程と、
  前記堆積膜により前記第1領域を保護しつつ、前記(b)において生じた残渣を除去する工程と、
  前記第2領域の残部を除去する工程と、
 を更に含む、E1~E13の何れか一項に記載の基板処理方法。
[E17]
 前記堆積膜は、炭素含有膜又はシリコン含有膜であり、
 前記残渣は、ヘリウム含有ガス、水素含有ガス、臭素含有ガス及び塩素含有ガスからなる群から選択される少なくとも1種を含む処理ガスのプラズマにより除去される、
E16に記載の基板処理方法。
[E18]
 前記(b)において前記第2領域が部分的に除去され、
 該基板処理方法は、
  前記(b)の後、前記基板を加熱する工程と、
  前記第2領域の残部を除去する工程と、
を更に含む、E1~E13のいずれか一項に記載の基板処理方法。
 なお、E18の実施形態において、基板を加熱する工程における基板の温度は、180℃以上又は190℃以上であってもよい。また、E18の実施形態において、基板を加熱する工程における基板の温度は、240℃以下以上又は220℃以下であってもよい。また、E18の実施形態において、基板を加熱する工程及び残部を除去する工程は、単一のチャンバを用いて行われてもよく、二つ以上の異なるチャンバを用いて行われてもよい。即ち、基板を加熱する工程を行うために用いられるチャンバと残部を除去する工程において用いられるチャンバは、互いに同一のチャンバ、即ち単一のチャンバであってもよく、或いは、互いに異なるチャンバであってもよい。
[E19]
 処理チャンバと、
 該処理チャンバ内に設けられた基板支持部と、
 前記チャンバ内にカルボン酸を含む処理ガスを供給するように構成されたガス供給部と、
 前記処理チャンバに接続された排気機構と、
 制御部と、
を備え、
 前記制御部は、前記基板支持部上に載置された基板のレジスト膜に対するドライ現像のために、前記チャンバ内に前記処理ガスを供給して前記カルボン酸の圧力又は分圧を40Pa以上、13332Pa未満に調整するよう、前記ガス供給部及び前記排気機構を制御するように、構成されている、
基板処理システム。
 以上の説明から、本開示の種々の実施形態は、説明の目的で本明細書で説明されており、本開示の範囲及び主旨から逸脱することなく種々の変更をなし得ることが、理解されるであろう。したがって、本明細書に開示した種々の実施形態は限定することを意図しておらず、真の範囲と主旨は、添付の特許請求の範囲によって示される。
 1…プラズマ処理装置、2…制御部、10…プラズマ処理チャンバ、11…基板支持部、20…ガス供給部、30…電源、100…熱処理装置、102…処理チャンバ、120…ステージヒータ、121…基板支持部、141…ガスノズル、200…制御部、OP…開口、RM…レジスト膜、RM1…第1領域、RM2…第2領域、UF…下地膜、W…基板。

Claims (19)

  1.  (a)処理チャンバ内の基板支持部上に基板を提供する工程であって、該基板は下地膜及び該下地膜上に設けられており金属含有レジストから形成されたレジスト膜を有し、該レジスト膜は第1領域及び第2領域を有する、前記工程と、
     (b)前記処理チャンバ内にカルボン酸を含む処理ガスを供給し、前記基板を該カルボン酸に晒して、前記第1領域に対して前記第2領域を選択的に除去することにより、前記レジスト膜をドライ現像する工程と、
    を含み、
     前記(b)において、前記カルボン酸の圧力又は分圧は、40Pa以上、13332Pa未満である、基板処理方法。
  2.  前記(b)において、前記カルボン酸の圧力又は分圧は、133Pa以上である、請求項1に記載の基板処理方法。
  3.  前記(b)において、前記カルボン酸の圧力又は分圧は、666Pa以上である、請求項1に記載の基板処理方法。
  4.  前記(b)において、前記カルボン酸の圧力又は分圧は、1333Pa以下である、請求項1に記載の基板処理方法。
  5.  前記処理ガスは、不活性ガスを含み、前記(b)において、前記カルボン酸の分圧は、40Pa以上である、請求項1に記載の基板処理方法。
  6.  前記カルボン酸の分圧は、8000Pa以下である、請求項5に記載の基板処理方法。
  7.  前記(b)において、前記基板支持部の温度は、90℃以上である、請求項1に記載の基板処理方法。
  8.  前記(b)において、前記基板支持部の温度は、120℃以上である、請求項1に記載の基板処理方法。
  9.  前記(b)において、前記基板支持部の温度は、300℃以下である、請求項1に記載の基板処理方法。
  10.  前記カルボン酸は、ギ酸、トリフルオロ酢酸、及び酢酸からなる群から選択される少なくとも一つである、請求項1に記載の基板処理方法。
  11.  前記カルボン酸は、ギ酸であり、
     前記(b)において、前記基板支持部の温度は、120℃以上であり、前記カルボン酸の圧力又は分圧は、40Pa以上である、
    請求項10に記載の基板処理方法。
  12.  前記カルボン酸は、トリフルオロ酢酸であり、
     前記(b)において、前記基板支持部の温度は、90℃以上であり、前記カルボン酸の圧力又は分圧は、40Pa以上である、
    請求項10に記載の基板処理方法。
  13.  前記カルボン酸は、酢酸であり、
     前記(b)において、前記基板支持部の温度は、120℃以上であり、前記カルボン酸の圧力又は分圧は、40Pa以上である、
    請求項10に記載の基板処理方法。
  14.  前記(b)は、
      (b-1)第1の条件で前記レジスト膜に対するドライ現像を行う工程と、
      (b-2)第1の条件と異なる第2の条件で前記レジスト膜に対するドライ現像を行う工程と、
     を含み、
     前記第1の条件及び前記第2の条件の組み合わせは、条件(A)、条件(B)、及び条件(C)のうち一つ以上を満たし、
     前記条件(A)は、前記(b-1)において第1の処理ガスを用いて前記レジストを現像し、前記(b-2)において第1の処理ガスと異なる第2の処理ガスを用いて前記レジスト膜を現像することを含み、該条件(A)において、前記第1の処理ガス及び前記第2の処理ガスのうち少なくとも一方は、前記カルボン酸を含み、
     前記条件(B)は、前記(b-1)において前記カルボン酸の圧力を第1の圧力に設定して前記レジスト膜を現像し、前記(b-2)において前記カルボン酸の圧力を第1の圧力と異なる第2の圧力に設定して前記レジスト膜を現像することを含み、
     前記条件(C)は、前記(b-1)において前記基板支持部を第1の温度に設定して前記レジスト膜を現像し、前記(b-2)において前記基板支持部を第1の温度と異なる第2の温度に設定して前記レジスト膜を現像することを含む、
    請求項1~13の何れか一項に記載の基板処理方法。
  15.  前記(b)が行われる期間は、第1の期間と該第1の期間と交互の第2の期間を含み、
     前記第1の期間の処理条件及び前記第2の期間の処理条件の組み合わせは、条件(A)、条件(B)、及び条件(C)のうち一つ以上を満たし、
     前記条件(A)は、前記第1の期間において、第1の処理ガスを用いて前記レジスト膜を現像し、前記第2の期間において、前記第1の処理ガスと異なる第2の処理ガスを用いて前記レジスト膜を現像することを含み、該条件(A)において、前記第1の処理ガス及び前記第2の処理ガスのうち少なくとも一方は、前記カルボン酸を含み、
     前記条件(B)は、前記第1の期間において、前記カルボン酸の圧力を第1の圧力に設定して前記レジスト膜を現像し、前記第2の期間において、前記カルボン酸の圧力を第1の圧力と異なる第2の圧力に設定して前記レジスト膜を現像することを含み、
     前記条件(C)は、前記第1の期間において、前記基板支持部の温度を第1の温度に設定して前記レジスト膜を現像し、前記第2の期間において、前記基板支持部の温度を第1の温度と異なる第2の温度に設定して前記レジスト膜を現像することを含む、
    請求項1~13の何れか一項に記載の基板処理方法。
  16.  前記(b)において前記第2領域が部分的に除去され、
     該基板処理方法は、
      前記(b)の後、前記第1領域上に堆積膜を形成する工程と、
      前記堆積膜により前記第1領域を保護しつつ、前記(b)において生じた残渣を除去する工程と、
      前記第2領域の残部を除去する工程と、
     を更に含む、請求項1~13の何れか一項に記載の基板処理方法。
  17.  前記堆積膜は、炭素含有膜又はシリコン含有膜であり、
     前記残渣は、ヘリウム含有ガス、水素含有ガス、臭素含有ガス及び塩素含有ガスからなる群から選択される少なくとも1種を含む処理ガスのプラズマにより除去される、
    請求項16に記載の基板処理方法。
  18.  前記(b)において前記第2領域が部分的に除去され、
     該基板処理方法は、
      前記(b)の後、前記基板を加熱する工程と、
      前記第2領域の残部を除去する工程と、
    を更に含む、請求項1~13のいずれか一項に記載の基板処理方法。
  19.  処理チャンバと、
     該処理チャンバ内に設けられた基板支持部と、
     前記チャンバ内にカルボン酸を含む処理ガスを供給するように構成されたガス供給部と、
     前記処理チャンバに接続された排気機構と、
     制御部と、
    を備え、
     前記制御部は、前記基板支持部上に載置された基板のレジスト膜に対するドライ現像のために、前記チャンバ内に前記処理ガスを供給して前記カルボン酸の圧力又は分圧を40Pa以上、13332Pa未満に調整するよう、前記ガス供給部及び前記排気機構を制御するように、構成されている、
    基板処理システム。
PCT/JP2023/023830 2022-07-29 2023-06-27 基板処理方法及び基板処理システム WO2024024373A1 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW112126507A TW202405582A (zh) 2022-07-29 2023-07-17 基板處理方法及基板處理系統

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202263393377P 2022-07-29 2022-07-29
US63/393,377 2022-07-29

Publications (1)

Publication Number Publication Date
WO2024024373A1 true WO2024024373A1 (ja) 2024-02-01

Family

ID=89706058

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2023/023830 WO2024024373A1 (ja) 2022-07-29 2023-06-27 基板処理方法及び基板処理システム

Country Status (2)

Country Link
TW (1) TW202405582A (ja)
WO (1) WO2024024373A1 (ja)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56107243A (en) * 1980-01-31 1981-08-26 Dainippon Printing Co Ltd Developing method for inorganic resist
WO2020264158A1 (en) * 2019-06-26 2020-12-30 Lam Research Corporation Photoresist development with halide chemistries

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56107243A (en) * 1980-01-31 1981-08-26 Dainippon Printing Co Ltd Developing method for inorganic resist
WO2020264158A1 (en) * 2019-06-26 2020-12-30 Lam Research Corporation Photoresist development with halide chemistries

Also Published As

Publication number Publication date
TW202405582A (zh) 2024-02-01

Similar Documents

Publication Publication Date Title
US20220244645A1 (en) Photoresist development with halide chemistries
US20130048606A1 (en) Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
KR101445153B1 (ko) 포토마스크 플라즈마 에칭시 인시츄 챔버 건식 세정을 위한 방법 및 장치
US8216485B2 (en) Plasma etching method, plasma etching apparatus, control program and computer-readable storage medium
JP2011192664A (ja) プラズマエッチング方法及びプラズマエッチング装置
JP2011507274A (ja) プラズマエッチングシステム用の炭化珪素焦点リング
TWI518217B (zh) Etching method and etching device
JP2011040757A (ja) 六フッ化硫黄(sf6)および炭化水素ガスを用いた反射防止層のパターニング方法
US20230416606A1 (en) Photoresist development with organic vapor
CN113614880A (zh) 多间隔图案化方案
WO2012115043A1 (ja) パターン形成方法及び半導体装置の製造方法
US10115572B2 (en) Methods for in-situ chamber clean in plasma etching processing chamber
WO2024024373A1 (ja) 基板処理方法及び基板処理システム
WO2024111454A1 (ja) ドライ現像方法及びドライ現像装置
WO2024024922A1 (ja) 基板処理方法及び基板処理装置
WO2024058135A1 (ja) 基板処理方法及び基板処理システム
US7604908B2 (en) Fine pattern forming method
WO2024070834A1 (ja) 基板処理方法及び基板処理システム
WO2024070833A1 (ja) 基板処理方法及び基板処理システム
WO2024101166A1 (ja) 基板処理方法、金属含有レジスト形成用組成物、金属含有レジスト及び基板処理システム
EP4325550A1 (en) Substrate processing method
TW202414112A (zh) 基板處理方法及基板處理系統
TW202308466A (zh) 電漿處理方法、電漿處理裝置及電漿處理系統
TW202240660A (zh) 基板處理方法及基板處理裝置
US20220384151A1 (en) Substrate processing method and substrate processing apparatus

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 23846107

Country of ref document: EP

Kind code of ref document: A1