WO2024058135A1 - 基板処理方法及び基板処理システム - Google Patents

基板処理方法及び基板処理システム Download PDF

Info

Publication number
WO2024058135A1
WO2024058135A1 PCT/JP2023/033080 JP2023033080W WO2024058135A1 WO 2024058135 A1 WO2024058135 A1 WO 2024058135A1 JP 2023033080 W JP2023033080 W JP 2023033080W WO 2024058135 A1 WO2024058135 A1 WO 2024058135A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
chamber
temperature
development
gas
Prior art date
Application number
PCT/JP2023/033080
Other languages
English (en)
French (fr)
Inventor
翔 熊倉
健太 小野
由太 中根
哲也 西塚
昌伸 本田
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Publication of WO2024058135A1 publication Critical patent/WO2024058135A1/ja

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Definitions

  • Exemplary embodiments of the present disclosure relate to substrate processing methods and substrate processing systems.
  • Patent Document 1 discloses a technique for forming a thin film on a semiconductor substrate that can be patterned using extreme ultraviolet light (hereinafter referred to as "EUV light").
  • EUV light extreme ultraviolet light
  • the present disclosure provides a technique for suppressing the residue of a developed pattern.
  • a method of processing a substrate includes: (a) providing a substrate having a base film and a metal-containing resist film formed on the base film on a substrate support in a chamber; (b) supplying a processing gas to the chamber to develop the substrate; selectively removing the second region from the metal-containing resist film, and the step (b) includes (b1) controlling the temperature of the substrate support part to a first temperature to perform development. and (b2) controlling the temperature of the substrate support part to a second temperature different from the first temperature to perform development.
  • a technique for suppressing residues of a developed pattern can be provided.
  • FIG. 2 is a diagram for explaining a configuration example of a heat treatment system.
  • FIG. 7 is a plan view showing another example of the configuration of the substrate support section.
  • FIG. 2 is a diagram for explaining a configuration example when a plasma processing system is used as a development processing system.
  • FIG. 2 is a diagram for explaining a configuration example of a capacitively coupled plasma processing apparatus. It is a flowchart which shows this processing method. It is a figure which shows an example of the cross-sectional structure of the board
  • 3 is a diagram showing an example of a base film UF of a substrate W.
  • FIG. 3 is a diagram showing an example of a base film UF of a substrate W.
  • FIG. 1 is a diagram showing an example of a base film UF of a substrate W.
  • FIG. 9 is a diagram schematically showing an example of a phenomenon occurring on the surface of the substrate W in the example shown in FIG. 9.
  • FIG. It is a figure for explaining an example of process ST12.
  • 3 is a diagram showing the results of development according to Example 1 and Reference Example 1.
  • FIG. 1 is a block diagram for explaining a configuration example of a substrate processing system SS.
  • FIG. 3 is a flowchart illustrating method MT.
  • a method of processing a substrate includes the steps of: (a) providing a substrate having a base film and a metal-containing resist film formed on the base film on a substrate support in a chamber; (b) supplying a process gas to the chamber to develop the substrate and removing the metal-containing resist film from the second region; selectively removing the region, and the step (b) includes (b1) controlling the temperature of the substrate or substrate support to a first temperature to perform development; and (b2) controlling the temperature of the substrate or the substrate support to a first temperature;
  • a substrate processing method is provided that includes the step of performing development by controlling the temperature of a substrate support part to a second temperature different from a first temperature.
  • the second temperature is higher than the first temperature.
  • step (b2) no process gas is supplied to the chamber, or the flow rate of the process gas supplied to the chamber is lower than that of the process gas supplied to the chamber in step (b1). smaller than the flow rate.
  • the pressure in the chamber in step (b2) is lower than the pressure in the chamber in step (b1).
  • the step (b) further includes the step of purging the process gas in the chamber between the steps (b1) and (b2).
  • process gas is supplied to the chamber at a constant flow rate in steps (b1) and (b2).
  • a method of processing a substrate includes: (a) providing a substrate having a base film and a metal-containing resist film formed on the base film to a substrate support in a chamber; (b) supplying a process gas to the chamber to develop the substrate and removing the metal-containing resist film from the first region; and the step of selectively removing either of the second regions, and the step (b) includes (b1) developing the substrate under the first development conditions, and (b2) the first development.
  • a substrate processing method including a step in which at least one development parameter including a residence time of a processing gas for a first development condition is different from a first development condition.
  • step (b) development is performed using a plasma generated from the process gas, and the development parameters include: a power level of a source RF signal for plasma generation supplied to the chamber; and the power or voltage level of the bias signal provided to the chamber.
  • the bias signal includes a bias RF signal or voltage pulse
  • the development parameter further includes at least one of the frequency of the source RF signal, the frequency of the bias RF signal, and the frequency of the voltage pulse.
  • At least one of the source RF signal and the bias RF signal is pulsed, and the development parameter is the duty ratio of the pulsed source RF signal and the duty ratio of the pulsed bias signal. It further includes at least one of the following.
  • step (b2) the second development conditions differ from the first development conditions in two or more development parameters.
  • steps (b1) and (b2) are repeated.
  • step (b) a cycle including steps (b1) and (b2) is performed one or more times, and then step (b1) is further performed.
  • step (b) comprises performing (b1) one or more cycles including steps (b1) and (b2) without generating a plasma from the process gas. and (b2) one or more times by generating plasma from the processing gas.
  • step (b) is performed after performing one or more cycles including steps (b1) and (b2) by generating a plasma from the process gas. and step (b2) one or more times without generating plasma from the processing gas.
  • the first region or the second region is selectively removed using plasma generated from the processing gas.
  • the metal-containing resist film includes at least one metal selected from the group consisting of Sn, Hf, and Ti.
  • the processing gas includes a halogen-containing gas.
  • the acidity of the processing gas used in step (b1) and the acidity of the processing gas used in step (b2) are different.
  • step (c) is performed in a different chamber than the chamber used in step (b).
  • step (c) is performed in the chamber used in step (b).
  • the first region is exposed to EUV.
  • the temperature of the substrate or the substrate support part is determined by the output of the heater in the substrate support part, the output of the heater in the side wall of the chamber accommodating the substrate support part, the output of the heater in the ceiling of the chamber, and the temperature in the substrate support part. from the temperature of the heat transfer fluid flowing through the substrate, the pressure of the heat transfer gas supplied between the back surface of the substrate and the surface of the substrate support, and the output of electromagnetic waves configured to irradiate the surface of the substrate. controlled by at least one member selected from the group consisting of:
  • a substrate processing system includes a substrate processing apparatus having a chamber and a controller, the controller comprising: (a) a base film and a base film formed on the base film; providing a substrate having a metal-containing resist film on a substrate support of a chamber, the metal-containing resist film having a first region exposed and a second region not exposed; ) the processing gas is supplied to the chamber to develop the substrate and the second region is selectively removed from the metal-containing resist film; or (b2) control to perform development by controlling the temperature of the substrate support part to a first temperature; and (b2) control to perform development by controlling the temperature of the substrate or substrate support part to a second temperature different from the first temperature.
  • a substrate processing system is provided that includes:
  • FIG. 1A is a diagram for explaining a configuration example of a heat treatment system.
  • the heat treatment system includes a heat treatment apparatus 100 and a controller 200.
  • the heat processing system is an example of a substrate processing system
  • the heat processing apparatus 100 is an example of a substrate processing apparatus.
  • the heat treatment apparatus 100 includes a treatment chamber 102 configured to form a sealed space.
  • the processing chamber 102 is, for example, an airtight cylindrical container, and is configured to be able to adjust the internal atmosphere.
  • a side wall heater 104 is provided on the side wall of the processing chamber 102 .
  • a ceiling heater 130 is provided on the ceiling wall (top plate) of the processing chamber 102 .
  • a ceiling surface 140 of the ceiling wall (top plate) of the processing chamber 102 is formed as a horizontal flat surface, and its temperature is adjusted by the ceiling heater 130.
  • a substrate support section 121 is provided on the lower side inside the processing chamber 102.
  • the substrate support section 121 has a substrate support surface on which the substrate W is supported.
  • the substrate support part 121 is, for example, formed in a circular shape in plan view, and the substrate W is placed on the horizontally formed surface (upper surface) thereof.
  • a stage heater 120 is embedded within the substrate support portion 121 . This stage heater 120 can heat the substrate W placed on the substrate support section 121.
  • a ring assembly (not shown) may be arranged in the substrate support section 121 so as to surround the substrate W.
  • the ring assembly may include one or more annular members. By arranging the ring assembly around the substrate W, temperature controllability in the outer peripheral region of the substrate W can be improved.
  • the ring assembly may be constructed from inorganic or organic materials depending on the intended heat treatment.
  • FIG. 1B is a plan view showing another example of the structure of the substrate support section.
  • a substrate support 121a shown in FIG. 1B may be used instead of the substrate support 121 shown in FIG. 1A.
  • the substrate support part 121a shown in FIG. 1B has a plurality of zones, and each zone is provided with a heater electrode.
  • the substrate support portion 121a has zones Z1 to Z14, and each zone has a heater electrode.
  • the heater electrodes in each zone are configured to be able to be supplied with power independently. That is, the substrate support portion 121a is configured to be able to independently control the temperature for each zone.
  • the substrate support portion 121a in-plane uniformity in developing the resist film RM, which will be described later, can be improved.
  • the substrate W can be heated sequentially from the center side toward the edge side, or the substrate W can be heated sequentially from the edge side toward the center side.
  • a specific region of the substrate W can be heated to a higher temperature than other regions.
  • the substrate support part 121 is supported within the processing chamber 102 by a support 122 provided on the bottom surface of the processing chamber 102.
  • a plurality of lifting pins 123 that can be vertically moved up and down are provided on the outer side of the support column 122 in the circumferential direction.
  • Each of the plurality of lifting pins 123 is inserted into a through hole provided in the substrate support section 121.
  • the plurality of lifting pins 123 are arranged at intervals in the circumferential direction.
  • the elevating and lowering operations of the plurality of elevating pins 123 are controlled by an elevating mechanism 124.
  • An exhaust port 131 having an opening is provided in the side wall of the processing chamber 102.
  • the exhaust port 131 is connected to an exhaust mechanism 132 via an exhaust pipe.
  • the exhaust mechanism 132 is composed of a vacuum pump, a valve, and the like, and adjusts the exhaust flow rate from the exhaust port 131. By adjusting the exhaust flow rate and the like by the exhaust mechanism 132, the pressure inside the processing chamber 102 is adjusted.
  • a transport port for the substrate W (not shown) is formed in the side wall of the processing chamber 102 at a position different from the position where the exhaust port 131 opens so as to be openable and closable.
  • a gas nozzle 141 is provided on the side wall of the processing chamber 102 at a position different from the exhaust port 131 and the substrate W transport port. Gas nozzle 141 supplies processing gas into processing chamber 102 .
  • the gas nozzle 141 is provided on the side wall of the processing chamber 102 on the opposite side of the exhaust port 131 when viewed from the center of the substrate support 121 . That is, the gas nozzle 141 is provided on the side wall of the processing chamber 102 symmetrically with the exhaust port 131 with respect to a vertical imaginary plane passing through the center of the substrate support 121 .
  • the gas nozzle 141 is formed into a rod shape that protrudes from the side wall of the processing chamber 102 toward the center of the processing chamber 102 .
  • the tip of the gas nozzle 141 extends, for example, horizontally from the side wall of the processing chamber 102.
  • the processing gas is discharged into the processing chamber 102 from a discharge port that opens at the tip of the gas nozzle 141, flows in the direction of the dashed-dotted arrow shown in FIG. 1A, and is exhausted from the exhaust port 131.
  • the exhaust port 131 may be provided on the bottom surface of the processing chamber 102.
  • the tip of the gas nozzle 141 may have a shape extending diagonally downward toward the substrate W, or may have a shape extending diagonally upward toward the ceiling surface 140 of the processing chamber 102.
  • the gas nozzle 141 may be provided, for example, on the ceiling wall of the processing chamber 102.
  • a plurality of gas nozzles 141 may be provided on the ceiling wall. Further, in place of the gas nozzle, a configuration similar to the shower head 13 of FIG. 3, which will be described later, may be provided.
  • the flow rate and type of gas supplied from the plurality of gas nozzles or shower heads to the substrate W may be configured to be controllable for each region of the substrate W (for example, zones Z1 to Z14 in FIG. 1B). In one embodiment, the flow rate of gas supplied to the central region of the substrate W may be greater than the flow rate of gas supplied to the outer regions of the substrate W.
  • the heat treatment apparatus 100 has a gas supply pipe 152 connected to the gas nozzle 141 from the outside of the treatment chamber 102.
  • a pipe heater 160 is provided around the gas supply pipe 152 to heat the gas within the gas supply pipe.
  • Gas supply pipe 152 is connected to gas supply section 170.
  • Gas supply 170 includes at least one gas source and at least one flow controller.
  • the gas supply may include a vaporizer that vaporizes the material in liquid form.
  • the control unit 200 processes computer-executable instructions that cause the heat treatment apparatus 100 to perform various steps described in this disclosure.
  • Control unit 200 may be configured to control each element of heat treatment apparatus 100 to perform the various steps described herein. In one embodiment, part or all of the control unit 200 may be included in the heat treatment apparatus 100.
  • the control unit 200 may include a processing unit 200a1, a storage unit 200a2, and a communication interface 200a3.
  • the control unit 200 is realized by, for example, a computer 200a.
  • the processing unit 200a1 may be configured to read a program from the storage unit 200a2 and perform various control operations by executing the read program. This program may be stored in advance in the storage unit 200a2, or may be acquired via a medium when necessary.
  • the acquired program is stored in the storage unit 200a2, and is read out from the storage unit 200a2 and executed by the processing unit 200a1.
  • the medium may be any of various storage media readable by the computer 200a, or may be a communication line connected to the communication interface 200a3.
  • the processing unit 200a1 may be a CPU (Central Processing Unit).
  • the storage unit 200a2 may include a RAM (Random Access Memory), a ROM (Read Only Memory), an HDD (Hard Disk Drive), an SSD (Solid State Drive), or a combination thereof.
  • the communication interface 200a3 may communicate with the heat treatment apparatus 100 via a communication line such as a LAN (Local Area Network).
  • FIG. 2 is a diagram for explaining a configuration example when a plasma processing system is used as a development processing system.
  • a plasma processing system includes a plasma processing apparatus 1 and a controller 2.
  • the plasma processing system is an example of a substrate processing system
  • the plasma processing apparatus 1 is an example of a substrate processing apparatus.
  • the plasma processing apparatus 1 includes a plasma processing chamber (hereinafter also simply referred to as a "processing chamber") 10, a substrate support section 11, and a plasma generation section 12.
  • the plasma processing chamber 10 has a plasma processing space.
  • the plasma processing chamber 10 also includes at least one gas supply port for supplying at least one processing gas to the plasma processing space, and at least one gas exhaust port for discharging gas from the plasma processing space.
  • the gas supply port is connected to a gas supply section 20, which will be described later, and the gas discharge port is connected to an exhaust system 40, which will be described later.
  • the substrate support section 11 is disposed within the plasma processing space and has a substrate support surface for supporting a substrate.
  • the plasma generation unit 12 is configured to generate plasma from at least one processing gas supplied into the plasma processing space.
  • the plasmas formed in the plasma processing space are capacitively coupled plasma (CCP), inductively coupled plasma (ICP), and ECR plasma (Electron-Cyclotron-resonance plasma).
  • CCP capacitively coupled plasma
  • ICP inductively coupled plasma
  • ECR plasma Electro-Cyclotron-resonance plasma
  • HWP Helicon wave excited plasma
  • SWP surface wave plasma
  • various types of plasma generation sections may be used, including an AC (Alternating Current) plasma generation section and a DC (Direct Current) plasma generation section.
  • the AC signal (AC power) used in the AC plasma generator has a frequency in the range of 100 kHz to 10 GHz. Therefore, the AC signal includes an RF (Radio Frequency) signal and a microwave signal.
  • the RF signal has a frequency within the range of 100kHz to 150MHz.
  • the control unit 2 processes computer-executable instructions that cause the plasma processing apparatus 1 to perform various steps described in this disclosure.
  • the control unit 2 may be configured to control each element of the plasma processing apparatus 1 to perform the various steps described herein. In one embodiment, part or all of the control unit 2 may be included in the plasma processing apparatus 1.
  • the control unit 2 is realized by, for example, a computer 2a.
  • the control unit 2 may include a processing unit 2a1, a storage unit 2a2, and a communication interface 2a3. Each configuration of the control unit 2 may be similar to each configuration of the control unit 200 (see FIG. 1A) described above.
  • FIG. 3 is a diagram for explaining a configuration example of a capacitively coupled plasma processing apparatus.
  • the capacitively coupled plasma processing apparatus 1 includes a plasma processing chamber 10, a gas supply section 20, a power supply 30, and an exhaust system 40. Further, the plasma processing apparatus 1 includes a substrate support section 11 and a gas introduction section. The gas inlet is configured to introduce at least one processing gas into the plasma processing chamber 10 .
  • the gas introduction section includes a shower head 13.
  • Substrate support 11 is arranged within plasma processing chamber 10 .
  • the shower head 13 is arranged above the substrate support section 11 . In one embodiment, showerhead 13 forms at least a portion of the ceiling of plasma processing chamber 10 .
  • the plasma processing chamber 10 has a plasma processing space 10s defined by a shower head 13, a side wall 10a of the plasma processing chamber 10, and a substrate support 11. Plasma processing chamber 10 is grounded.
  • the shower head 13 and the substrate support section 11 are electrically insulated from the casing of the plasma processing chamber 10.
  • the substrate support section 11 includes a main body section 111 and a ring assembly 112.
  • the main body portion 111 has a central region 111a for supporting the substrate W and an annular region 111b for supporting the ring assembly 112.
  • a wafer is an example of a substrate W.
  • the annular region 111b of the main body 111 surrounds the central region 111a of the main body 111 in plan view.
  • the substrate W is placed on the central region 111a of the main body 111, and the ring assembly 112 is placed on the annular region 111b of the main body 111 so as to surround the substrate W on the central region 111a of the main body 111. Therefore, the central region 111a is also called a substrate support surface for supporting the substrate W, and the annular region 111b is also called a ring support surface for supporting the ring assembly 112.
  • the main body 111 includes a base 1110 and an electrostatic chuck 1111.
  • Base 1110 includes a conductive member.
  • the conductive member of the base 1110 can function as a lower electrode.
  • Electrostatic chuck 1111 is placed on base 1110.
  • Electrostatic chuck 1111 includes a ceramic member 1111a and an electrostatic electrode 1111b disposed within ceramic member 1111a.
  • Ceramic member 1111a has a central region 111a. In one embodiment, ceramic member 1111a also has an annular region 111b. Note that another member surrounding the electrostatic chuck 1111, such as an annular electrostatic chuck or an annular insulating member, may have the annular region 111b.
  • ring assembly 112 may be placed on the annular electrostatic chuck or the annular insulation member, or may be placed on both the electrostatic chuck 1111 and the annular insulation member.
  • at least one RF/DC electrode coupled to an RF power source 31 and/or a DC power source 32, which will be described later, may be disposed within the ceramic member 1111a.
  • at least one RF/DC electrode functions as a bottom electrode.
  • An RF/DC electrode is also referred to as a bias electrode if a bias RF signal and/or a DC signal, as described below, is supplied to at least one RF/DC electrode.
  • the conductive member of the base 1110 and at least one RF/DC electrode may function as a plurality of lower electrodes.
  • the electrostatic electrode 1111b may function as a lower electrode. Therefore, the substrate support 11 includes at least one lower electrode.
  • Ring assembly 112 includes one or more annular members.
  • the one or more annular members include one or more edge rings and at least one cover ring.
  • the edge ring is made of a conductive or insulating material
  • the cover ring is made of an insulating material.
  • the substrate support unit 11 may include a temperature control module configured to adjust at least one of the electrostatic chuck 1111, the ring assembly 112, and the substrate to a target temperature.
  • the temperature control module may include a heater, a heat transfer medium, a flow path 1110a, or a combination thereof.
  • a heat transfer fluid such as brine or gas flows through the flow path 1110a.
  • a channel 1110a is formed within the base 1110 and one or more heaters are disposed within the ceramic member 1111a of the electrostatic chuck 1111.
  • the substrate support section 11 may include a heat transfer gas supply section configured to supply heat transfer gas to the gap between the back surface of the substrate W and the central region 111a.
  • the substrate support section 11 may have a plurality of zones, and each zone may be provided with a heater electrode, similarly to the substrate support section 121a shown in FIG. 1B.
  • the heater electrodes in each zone may be configured to be independently supplied with power. That is, the substrate support part 11 may be configured to be able to independently control the temperature in each zone.
  • the shower head 13 is configured to introduce at least one processing gas from the gas supply section 20 into the plasma processing space 10s.
  • the shower head 13 has at least one gas supply port 13a, at least one gas diffusion chamber 13b, and a plurality of gas introduction ports 13c.
  • the processing gas supplied to the gas supply port 13a passes through the gas diffusion chamber 13b and is introduced into the plasma processing space 10s from the plurality of gas introduction ports 13c.
  • the showerhead 13 also includes at least one upper electrode.
  • the gas introduction section may include one or more side gas injectors (SGI) attached to one or more openings formed in the side wall 10a.
  • SGI side gas injectors
  • the gas supply section 20 may include at least one gas source 21 and at least one flow rate controller 22.
  • the gas supply 20 is configured to supply at least one process gas from a respective gas source 21 to the showerhead 13 via a respective flow controller 22 .
  • Each flow controller 22 may include, for example, a mass flow controller or a pressure-controlled flow controller.
  • gas supply 20 may include at least one flow modulation device that modulates or pulses the flow rate of at least one process gas.
  • Power supply 30 includes an RF power supply 31 coupled to plasma processing chamber 10 via at least one impedance matching circuit.
  • RF power source 31 is configured to supply at least one RF signal (RF power) to at least one bottom electrode and/or at least one top electrode.
  • RF power supply 31 can function as at least a part of the plasma generation section 12. Further, by supplying a bias RF signal to at least one lower electrode, a bias potential is generated in the substrate W, and ion components in the formed plasma can be drawn into the substrate W.
  • the RF power supply 31 includes a first RF generation section 31a and a second RF generation section 31b.
  • the first RF generation section 31a is coupled to at least one lower electrode and/or at least one upper electrode via at least one impedance matching circuit, and generates a source RF signal (source RF power) for plasma generation. It is configured as follows.
  • the source RF signal has a frequency within the range of 10 MHz to 150 MHz.
  • the first RF generator 31a may be configured to generate multiple source RF signals having different frequencies. The generated one or more source RF signals are provided to at least one bottom electrode and/or at least one top electrode.
  • the second RF generating section 31b is coupled to at least one lower electrode via at least one impedance matching circuit, and is configured to generate a bias RF signal (bias RF power).
  • the frequency of the bias RF signal may be the same or different than the frequency of the source RF signal.
  • the bias RF signal has a lower frequency than the frequency of the source RF signal.
  • the bias RF signal has a frequency within the range of 100kHz to 60MHz.
  • the second RF generator 31b may be configured to generate multiple bias RF signals having different frequencies.
  • the generated one or more bias RF signals are provided to at least one bottom electrode. Also, in various embodiments, at least one of the source RF signal and the bias RF signal may be pulsed.
  • Power source 30 may also include a DC power source 32 coupled to plasma processing chamber 10 .
  • the DC power supply 32 includes a first DC generation section 32a and a second DC generation section 32b.
  • the first DC generator 32a is connected to at least one lower electrode and configured to generate a first DC signal.
  • the generated first DC signal is applied to at least one bottom electrode.
  • the second DC generator 32b is connected to the at least one upper electrode and configured to generate a second DC signal.
  • the generated second DC signal is applied to the at least one top electrode.
  • the first and second DC signals may be pulsed.
  • a sequence of voltage pulses is applied to at least one lower electrode and/or at least one upper electrode.
  • the voltage pulse may have a pulse waveform that is rectangular, trapezoidal, triangular, or a combination thereof.
  • a waveform generator for generating a sequence of voltage pulses from a DC signal is connected between the first DC generator 32a and the at least one bottom electrode. Therefore, the first DC generation section 32a and the waveform generation section constitute a voltage pulse generation section.
  • the voltage pulse generation section is connected to at least one upper electrode.
  • the voltage pulse may have positive polarity or negative polarity.
  • the sequence of voltage pulses may include one or more positive voltage pulses and one or more negative voltage pulses within one period.
  • the first and second DC generation units 32a and 32b may be provided in addition to the RF power source 31, or the first DC generation unit 32a may be provided in place of the second RF generation unit 31b. good.
  • the exhaust system 40 may be connected to a gas exhaust port 10e provided at the bottom of the plasma processing chamber 10, for example.
  • Evacuation system 40 may include a pressure regulating valve and a vacuum pump. The pressure within the plasma processing space 10s is adjusted by the pressure regulating valve.
  • the vacuum pump may include a turbomolecular pump, a dry pump, or a combination thereof.
  • FIG. 4 is a flowchart illustrating a substrate processing method (hereinafter also referred to as "this processing method") according to an exemplary embodiment.
  • this processing method includes a step ST11 of providing a substrate and a step ST12 of developing the substrate.
  • the processing method may be performed in a thermal processing system (see FIG. 1A) or a plasma processing system (see FIGS. 2 and 3).
  • FIGS. 2 and 3 a plasma processing system
  • step ST11 the substrate W is provided in the processing chamber 102 of the heat processing apparatus 100.
  • the substrate W is provided on the substrate support part 121 via the lifting pins 123.
  • the temperature of the substrate W or the substrate support 121 is adjusted to a given set temperature.
  • the temperature of the substrate W or the substrate support part 121 is adjusted by controlling the output of one or more heaters among the side wall heater 104, the stage heater 120, the ceiling heater 130, and the pipe heater 160 (hereinafter also referred to as "each heater"). You can do it by doing this.
  • the temperature of the substrate support part 121 may be adjusted to a set temperature before step ST11. That is, the substrate W may be provided on the substrate support 121 after the temperature of the substrate support 121 is adjusted to the set temperature.
  • FIG. 5 is a diagram showing an example of the cross-sectional structure of the substrate W provided in step ST11.
  • the substrate W includes a base film UF and a resist film RM formed on the base film UF.
  • the substrate W may be used for manufacturing semiconductor devices.
  • Semiconductor devices include, for example, memory devices such as DRAMs and 3D-NAND flash memories, and logic devices.
  • the resist film RM has an exposed first region RM1 and an unexposed second region RM2.
  • the first region RM1 is an EUV exposure region subjected to EUV exposure.
  • the second region RM2 is an unexposed region that has not been exposed to EUV.
  • the thickness of the first region RM1 may be smaller than the thickness of the second region RM2.
  • the resist film RM is a metal-containing resist film containing metal.
  • the metal may include at least one metal selected from the group consisting of Sn, Hf, and Ti.
  • the resist film RM contains Sn, and may include tin oxide (SnO) and tin hydroxide (Sn—OH bond).
  • the resist film RM may further contain an organic substance.
  • the base film UF may be an organic film, a dielectric film, a metal film, a semiconductor film, or a laminated film of these formed on a silicon wafer.
  • the base film UF includes, for example, at least one selected from the group consisting of a silicon-containing film, a carbon-containing film, and a metal-containing film.
  • the base film UF may be composed of a first film UF1, a second film UF2, and a third film UF3.
  • the base film UF may be composed of a second film UF2 and a third film UF3.
  • the first film UF1 is, for example, a spin-on-glass (SOG) film, a SiC film, a SiON film, a Si-containing antireflection film (SiARC), or an organic film.
  • the second film UF2 is, for example, a spin-on carbon (SOC) film, an amorphous carbon film, or a silicon-containing film.
  • the third film UF3 is, for example, a silicon-containing film.
  • the silicon-containing film is, for example, a silicon oxide film, a silicon nitride film, a silicon oxynitride film, a silicon carbonitride film, a polycrystalline silicon film, or a carbon-containing silicon film.
  • the third film UF3 may be composed of a plurality of stacked silicon-containing films.
  • the third film UF3 may be composed of a silicon oxide film and a silicon nitride film that are alternately stacked.
  • the third film UF3 may be composed of a silicon oxide film and a polycrystalline silicon film that are alternately stacked.
  • the third film UF3 may be a laminated film including a silicon nitride film, a silicon oxide film, and a polycrystalline silicon film.
  • the third film UF3 may be composed of a stacked silicon oxide film and silicon carbonitride film.
  • the third film UF3 may be a laminated film including a silicon oxide film, a silicon nitride film, and a silicon carbonitride film.
  • the substrate W is formed as follows. First, a metal-containing photoresist film is formed on a base film that has been subjected to adhesion treatment and the like. Film formation may be performed by a dry process, a wet process such as solution coating, or both a dry process and a wet process. Note that a surface modification treatment of the base film may be included before forming the photoresist film. After the photoresist film has been formed on the substrate, the substrate is subjected to a heat treatment, that is, a pre-bake (Post Applied Bake: PAB). The prebaked substrate may be subjected to additional heat treatment.
  • a pre-bake Post Applied Bake: PAB
  • the substrate after the heat treatment is transported to an exposure device, and the photoresist film is irradiated with EUV light through an exposure mask (reticle).
  • a substrate W having the base film UF and the resist film RM having the exposed first region RM1 and the unexposed second region RM2 is formed.
  • the first region RM1 is a region corresponding to an opening provided in an exposure mask (reticle).
  • the second region RM2 is a region corresponding to a pattern provided on an exposure mask (reticle).
  • EUV has a wavelength in the range of 10-20 nm, for example. EUV may have a wavelength in the range of 11-14 nm, with one example having a wavelength of 13.5 nm.
  • the exposed substrate is transported from the exposure apparatus to the heat treatment apparatus under controlled atmosphere, and undergoes heat treatment, that is, post exposure bake (PEB).
  • the substrate W after PEB may be subjected to additional heat treatment.
  • step ST12 the resist film RM on the substrate W is developed.
  • the second region RM2 may be selectively removed by development.
  • step ST12 includes step ST120 of developing the substrate at a first temperature, step ST121 of developing the substrate at a second temperature different from the first temperature, and determining whether a stop condition is satisfied. and step ST122.
  • a processing gas is supplied into the processing chamber 102 via the gas nozzle 141.
  • the processing gas includes a halogen-containing gas.
  • the halogen-containing gas may be a gas containing a halogen-containing inorganic acid, and may be an inorganic acid gas containing Br or Cl.
  • the gas containing the halogen-containing inorganic acid may be a gas containing hydrogen halide and/or boron halide.
  • the gas containing the halogen-containing inorganic acid is at least one selected from the group consisting of HBr gas, BCl 3 gas, HCl gas, HI gas, and HF gas.
  • the processing gas may be a gas containing an organic acid.
  • the gas containing an organic acid may be, for example, a gas containing at least one selected from the group consisting of carboxylic acids, ⁇ -dicarbonyl compounds, and alcohols.
  • the processing gas is a gas containing a carboxylic acid.
  • Carboxylic acids include, for example, formic acid (HCOOH), acetic acid ( CH3COOH ), trichloroacetic acid ( CCl3COOH ), monofluoroacetic acid ( CFH2COOH ), difluoroacetic acid (CF2FCOOH), trifluoroacetic acid ( CF3COOH ).
  • Chloro-difluoroacetic acid (CClF 2 COOH), sulfur-containing acetic acid, thioacetic acid (CH 3 COSH), thioglycolic acid (HSCH 2 COOH), trifluoroacetic anhydride ((CF 3 CO) 2 O), acetic anhydride ( (CH 3 CO) 2 O) may be used.
  • the processing gas includes a ⁇ -dicarbonyl compound.
  • ⁇ -dicarbonyl compounds examples include acetylacetone (CH 3 C(O)CH 2 C(O)CH 3 ), trichloroacetylacetone (CCl 3 C(O)CH 2 C(O)CH 3 ), hexachloroacetylacetone ( CCl3C (O) CH2C (O) CCl3 ), trifluoroacetylacetone CF3C (O) CH2C (O) CH3 ), hexafluoroacetylacetone (HFAc, CF3C (O) CH2C ) (O)CF 3 ) may be used.
  • the first process gas includes alcohol.
  • the alcohol may be nonafluoro-tert-butyl alcohol (( CF3 ) 3COH ) in one example.
  • the processing gas is a gas comprising trifluoroacetic acid.
  • the process gas includes a halogenated organic acid vapor.
  • the process gas in one example, is at least selected from the group consisting of trifluoroacetic anhydride, acetic anhydride, trichloroacetic acid, CFH2COOH , CF2HCOOH , chlorodifluoroacetic acid, sulfur-containing acetic acid and thioacetic acid and thioglycolic acid. Contains one.
  • the processing gas is a mixture of carboxylic acid and hydrogen halide or a mixture of acetic acid and formic acid.
  • the processing gas is a gas containing acetic acid.
  • step ST120 the temperature of the substrate W or the substrate support part 121 is controlled to the first temperature. Adjustment of the temperature of the substrate W or the substrate support 121 may be performed by controlling the output of one or more of each heater.
  • the first temperature may be appropriately selected within a range where the second region RM2 is removed with a sufficient selection ratio with respect to the first region RM1.
  • the first temperature may be selected as appropriate depending on the type of processing gas. For example, when HBr gas is used as the processing gas, the first temperature may be, for example, 10°C or more and 30°C or less, 10°C or more and 20°C or less, and is 10°C in one example.
  • Step ST120 may be performed until a given period of time passes or until the second region RM is removed to a given depth. The given period may be, for example, 0.1 seconds or more and 300 seconds or less, 0.1 seconds or more and 60 seconds or less, or 60 seconds or more and 300 seconds or less.
  • Step ST121 Development of substrate at second temperature
  • the temperature of the substrate W or the substrate support part 121 is controlled to a second temperature different from the first temperature. Adjustment of the temperature of the substrate W or the substrate support 11 may be performed by controlling the output of one or more of each heater.
  • the second temperature may be higher than the first temperature.
  • the second temperature may be selected as appropriate depending on the type of processing gas. For example, when HBr gas is used as the processing gas, the temperature may be 40°C or more and 100°C or less, or 50°C or more and 100°C or less, and in one example, the temperature is 60°C.
  • a processing gas may be supplied into the processing chamber 102 via the gas nozzle 141.
  • the flow rate of the processing gas is smaller than the flow rate of the processing gas in step ST120.
  • the processing gas may not be supplied to the processing chamber 102.
  • the type of processing gas may be the same as or different from the processing gas in step ST120.
  • the acidity of the processing gas supplied in step ST121 is lower than that in step ST120. That is, in step ST121, a processing gas having a larger acid dissociation constant (pKa) than the processing gas used in step ST120 may be used.
  • the processing gas may be changed from HBr gas or BCl 3 (step ST120) to a carboxylic acid gas such as acetic acid gas (step ST121).
  • step ST121 the flow rate (partial pressure) of the processing gas having a large acid dissociation constant (pKa) may be increased compared to the flow rate (partial pressure) of the processing gas used in step ST120.
  • the flow rate (partial pressure) of the carboxylic acid gas may be increased in step ST121.
  • the pressure within the processing chamber 102 may be the same as in step ST120, or may be different. In one embodiment, the pressure within the processing chamber 102 in step ST121 is lower than the pressure in the processing chamber 102 in step ST120.
  • the pressure inside the processing chamber 102 in step ST120 may be set to 50 mTorr or more and 500 mTorr or less, 50 mTorr or more and 400 mTorr or less, or 50 mTorr or more and 300 mTorr or less.
  • the pressure inside the processing chamber 10 in step ST121 may be set to 0.1 mTorr or more and 100 mTorr or less, or 50 mTorr or more and 100 mTorr or less.
  • Step ST121 is performed until a given period (for example, 0.1 seconds or more and 300 seconds or less, 0.1 seconds or more and 60 seconds or less, or 60 seconds or more and 300 seconds or less) has elapsed or may be performed until the depth of the second region RM is removed.
  • a given period for example, 0.1 seconds or more and 300 seconds or less, 0.1 seconds or more and 60 seconds or less, or 60 seconds or more and 300 seconds or less
  • step ST122 it is determined whether a given condition for ending step ST12 is satisfied. In step ST122, if it is determined that the given condition is not met, the process returns to step ST120, and if it is determined that the given condition is met, step ST12 ends.
  • the given condition may be, for example, that a cycle including step ST120 and step ST121 has been repeated a preset number of times. The number of times may be one or more times.
  • the given condition may be, for example, a condition related to the development time, that is, the time that has passed since the start of step ST12.
  • the given conditions may be, for example, conditions regarding dimensions such as the depth and aspect ratio of the opening or recess formed in the resist film RM after step ST121.
  • step ST121 it is determined whether the dimensions of the resist film RM have reached a given value or range, and the cycle of steps ST120 and ST121 is repeated until the dimensions of the resist film RM reach the given value or range. good.
  • the dimensions of the resist film RM may be measured using an optical measuring device.
  • step ST12 may be ended without executing step ST121.
  • a step of purging the gas in the processing chamber 102 from the exhaust port 131 may be performed between step ST120 and step ST121.
  • an inert gas or the like may be supplied into the processing chamber 102. This purges reaction product gases generated by development, excess processing gas, and the like.
  • FIG. 8 is a diagram showing an example of the cross-sectional structure of the substrate W after processing in step ST12.
  • the second region RM2 of the resist film RM is removed to form an opening OP.
  • the opening OP is defined by the side surface of the first region RM1.
  • the opening OP is a space above the base film UF surrounded by the side surface.
  • the opening OP has a shape corresponding to the second region RM2 (resultingly a shape corresponding to the exposure mask pattern used for EUV exposure) in a plan view of the substrate W.
  • the shape may be, for example, a circle, an ellipse, a rectangle, a line, or a combination of one or more of these shapes.
  • a plurality of openings OP may be formed in the resist film RM.
  • the plurality of openings OP each have a linear shape, and may be lined up at regular intervals to form a line-and-space pattern. Further, a plurality of openings OP may be arranged in a grid pattern to form a pillar pattern.
  • the temperature of the substrate W or the substrate support part 121 is changed in step ST120 and step ST121.
  • the amount of volatilization of the reaction product generated during development can be adjusted. Therefore, it can be suppressed that the reaction product cannot volatilize and the development speed decreases, and that a residue is generated after development.
  • FIG. 9 is a diagram for explaining an example of step ST12.
  • the horizontal axis indicates time. Further, the vertical axis indicates the flow rate [sccm] of the processing gas supplied to the processing chamber 102, the pressure [Torr] inside the processing chamber 102, and the temperature [° C.] of the substrate support part 121.
  • “Q1" of the processing gas flow rate indicates that the processing gas is not supplied or is smaller than the flow rate indicated by "Q2”.
  • “P1” of the pressure within the processing chamber 102 indicates a lower pressure than “P2”.
  • “T1” of the temperature of the substrate support portion 121 is lower than the temperature “T2”.
  • T1" corresponds to the first temperature
  • T2 corresponds to the second temperature.
  • step ST12 shows that in step ST12, the temperature of the substrate support part 121 is alternately changed between "T1" (step ST120) and "T2" (step ST121) while keeping the flow rate of the processing gas and the pressure in the processing chamber 102 constant. This is an example of changing.
  • FIG. 10 is a diagram schematically showing an example of a phenomenon that occurs on the surface of the substrate W in the example shown in FIG.
  • step ST120 the reaction product R generated by the reaction between the resist film in the second region RM2 and the processing gas is volatilized, and the second region RM2 is removed.
  • the reaction products R stay in the recesses, the internal pressure increases, and volatilization of the reaction products R may be suppressed.
  • step ST121 in the example shown in FIG. 9, development is performed in step ST121 by setting the temperature of the substrate support portion 121 to a higher temperature than in step ST120 (T2>T1). Therefore, as shown in FIG. 10, volatilization of the reaction product R is accelerated compared to step ST120. This can prevent the reaction product R from staying in the recesses and reducing the development speed.
  • the development speed of the first region RM1 increases, and it can be removed together with the second region RM2.
  • low temperature (T1) development in step ST120 and high temperature (T2) development in step ST121 are alternately repeated, so that continuous development at high temperature (T2) is avoided. .
  • T1 low temperature
  • T2 high temperature
  • This can prevent the first region RM1 from being excessively removed and the film thickness from decreasing. That is, the development selection ratio (the ratio of the development speed of the second region RM2 to the development speed of the first region RM1, also called development contrast) is improved.
  • FIG. 11 is a diagram for explaining an example of step ST12.
  • the vertical and horizontal axes in FIG. 11 are the same as in FIG. 9.
  • the example shown in FIG. 11 differs from the example shown in FIG. 9 in that the processing gas is not supplied in step ST121 or the processing gas is supplied at a lower flow rate than in step ST120.
  • step ST121 similarly to the example shown in FIG. 9, development is performed in step ST121 by setting the temperature of the substrate support portion 121 to a higher temperature (T2>T1) than in step ST120. Therefore, volatilization of the reaction product R is accelerated compared to step ST120. This can prevent the reaction product R from staying in the recesses and reducing the development speed. Further, in the example shown in FIG. 11, similarly to the example shown in FIG. 9, low temperature (T1) development in step ST120 and high temperature (T2) development in step ST121 are alternately repeated, so that high temperature (T2) development is performed. Continuation is avoided. Further, in the example shown in FIG.
  • step ST121 in the high temperature (T2) development in step ST121, the supply amount of the processing gas is reduced compared to step ST120 or becomes zero.
  • an increase in the development speed of the first region RM1 due to an increase in the temperature of the substrate support portion 121 can be alleviated.
  • the development selection ratio (the ratio of the development speed of the second region RM2 to the development speed of the first region RM1, also called development contrast) is improved.
  • step ST121 the period when the flow rate of the processing gas is Q1 and at least part of the period when the temperature of the substrate support part is T2 need only overlap.
  • FIG. 12 is a diagram for explaining an example of step ST12.
  • the vertical and horizontal axes in FIG. 12 are the same as in FIG. 9.
  • the example shown in FIG. 12 includes a purge step between step ST120 and step ST121, and in step ST121, the pressure (P1) in the processing chamber 102 is lower than the pressure (P2) in step ST120. This is different from the example shown in FIG.
  • step ST121 similarly to the example shown in FIG. 9, development is performed in step ST121 at a temperature of the substrate support portion 121 higher than in step ST120 (T2>T1). Therefore, volatilization of the reaction product R is accelerated compared to step ST120. Additionally, in the example shown in FIG. 12, a purge step is performed between step ST120 and step ST121. This can prevent the reaction product R from staying in the recesses and reducing the development speed. Furthermore, in the example shown in FIG. 12, similarly to the example shown in FIG. 9, low temperature (T1) development in step ST120 and high temperature (T2) development in step ST121 are alternately repeated, so that high temperature (T2) development is performed. Continuation is avoided. Furthermore, in the example shown in FIG.
  • step ST121 during development at a high temperature (T2) in step ST121, the supply amount of processing gas is reduced compared to step ST120 or becomes zero, and the pressure in the processing chamber 102 is lower than that in step ST120. It is lower than ST120.
  • an increase in the development speed of the first region RM1 due to an increase in the temperature of the substrate support portion 121 can be alleviated.
  • the timings of the increase in the flow rate of the processing gas, the increase in the pressure in the chamber, and the decrease in the temperature of the substrate support part are the same, but each timing may be different in whole or in part. (even if they are out of phase). That is, in step ST121, the period when the flow rate of the processing gas is Q1 and at least part of the period when the temperature of the substrate support part is T2 need only overlap.
  • the present processing method may be performed using a plasma processing system (see FIGS. 2 and 3).
  • a plasma processing system see FIGS. 2 and 3.
  • the substrate W on the substrate support part 11 in the processing chamber 10 of the plasma processing apparatus 1
  • the resist film RM (Step ST12)
  • the temperature of the substrate W or the substrate support portion 11 may be adjusted by controlling the pressure of heat transfer gas (for example, He) between the electrostatic chuck 1111 and the back surface of the substrate W.
  • the processing gas used in step ST12 may be the same as in the case of using the heat treatment system.
  • development may be performed by generating plasma from a processing gas. That is, the source RF signal may be supplied to the lower electrode of the substrate support 11 and/or the upper electrode of the shower head 13. At this time, a bias signal may be supplied to the lower electrode of the substrate support section 11.
  • the development treatment in step ST12 may be performed using both heat treatment and plasma treatment.
  • a cycle in which step ST120 and step ST121 are performed by heat treatment (hereinafter also referred to as "heat treatment cycle") is performed one or more times, and then a cycle in which step ST120 and step ST121 are performed by plasma treatment (hereinafter referred to as "plasma treatment cycle”) ) may be executed one or more times.
  • the heat treatment cycle may be performed one or more times.
  • the heat treatment cycle and the plasma treatment cycle may be performed alternately multiple times.
  • step ST120 may be performed by heat treatment
  • step ST121 may be performed by plasma treatment
  • step ST120 may be performed by plasma treatment
  • step ST121 may be performed by heat treatment.
  • step ST12 instead of adjusting the temperature of the substrate support part (substrate support part 121 or substrate support part 11), the temperature of the substrate W in step ST121 is adjusted by directly heating the substrate W.
  • the temperature may be different from the temperature of the substrate W in step ST120.
  • a device that generates electromagnetic waves such as infrared light or microwaves may be provided in the heat processing apparatus 100 or the plasma processing apparatus 1, and the temperature of the substrate W may be adjusted by irradiating the substrate W with electromagnetic waves using the device.
  • the present treatment method may include a desorption step.
  • the desorption process involves removing scum on the surface of the resist film RM and the surface of the base film UF or smoothing the surface of the resist film RM using an inert gas or a plasma of the inert gas.
  • the desorption step may be performed after step ST12.
  • the desorption step may be repeated one or more times between the developments in step ST12.
  • the desorption step may be performed, for example, by exposing the substrate W to plasma generated within the plasma processing apparatus 1.
  • the desorption step may be performed, for example, by introducing a processing gas excited by a remote plasma source into the processing chamber 102 of the heat processing apparatus 100.
  • the inert gas include noble gases such as He, Ar, Ne, Kr, and Xe, and nitrogen gas.
  • the present processing method may include a step of etching the base film UF after step ST12. Etching may be performed, for example, by generating plasma from a processing gas in the processing chamber 10 of the plasma processing apparatus 1.
  • the resist film RM functions as a mask, and a recess is formed in the base film UF based on the shape of the opening OP.
  • the etching process may be performed continuously in the same processing chamber 10 as in step ST12, or in the processing chamber 10 of another plasma processing apparatus 1. It may be executed within.
  • FIG. 13 is a flowchart of a modification of this processing method.
  • step ST12 includes a step ST120A of developing the substrate under the first developing condition and a step ST121A of developing the substrate under the second developing condition, instead of the above-mentioned step ST120 and step ST121. may be included.
  • This variation may be performed in a thermal processing system (see FIG. 1A) or a plasma processing system (see FIGS. 2 and 3).
  • the second development conditions differ from the first development conditions in at least one development parameter.
  • the second development conditions differ in two or more development parameters.
  • the development parameters may include the temperature of the substrate support (121, 11), the temperature of the substrate W, the pressure within the processing chamber (102, 10), the flow rate of processing gas, the type of processing gas, and residence time.
  • the residence time is the residence time of the processing gas on the substrate W.
  • the residence time is expressed as (P ⁇ V)/Q, where V is the volume of the processing chamber, P is the pressure inside the processing chamber, and Q is the flow rate of the processing gas.
  • the development parameters include the power level of the source RF signal for plasma generation supplied to the processing chamber 10, and the processing It may further include the power or voltage level of the bias signal provided to chamber 10.
  • the development parameters may further include the frequency of the source RF signal. If the source RF signal is pulsed, the development parameters may further include a duty ratio of the pulsed source RF signal. If the bias signal is a bias RF signal, the development parameters may further include the frequency of the bias RF signal. If the bias RF signal is pulsed, the development signal may further include the duty ratio of the pulsed bias RF signal. When the bias signal includes a voltage pulse, the development parameters may further include the frequency (pulse frequency) and duty ratio of the voltage pulse.
  • FIG. 14 is a diagram for explaining an example of step ST12 in a modification.
  • the horizontal axis indicates time.
  • the vertical axis indicates the flow rate [sccm] of the processing gas supplied to the processing chamber (102, 10) and the pressure [mTorr] inside the processing chamber (102, 10).
  • Q1" of the processing gas flow rate indicates that the processing gas is not supplied or is smaller than the flow rate indicated by "Q2”.
  • “P1” of the pressure within the processing chamber 102 indicates a lower pressure than “P2”.
  • FIG. 14 is an example in which two of the development parameters of the first development condition and the second development condition, the flow rate of the processing gas and the pressure inside the processing chamber, are made different.
  • the remaining development parameters may be the same for development conditions 1 and 2.
  • the timings of the increase (decrease) in the flow rate of the processing gas and the decrease (increase) in the pressure in the chamber are the same, but even if the timings of each are completely or partially different (phase (even if it is off) is fine. That is, in step ST121A, the period when the flow rate of the processing gas is Q1 and at least part of the period when the pressure inside the chamber is P2 need only overlap.
  • FIG. 15 is a diagram for explaining an example of step ST12 in a modification.
  • the horizontal axis indicates time.
  • the vertical axis indicates the flow rate [sccm] of the first gas G1 and the second gas G2 contained in the processing gas supplied to the treatment chamber (102, 10).
  • "Q1" of the flow rate of the first gas indicates that the flow rate of the first gas contained in the processing gas is zero or smaller than the flow rate indicated by "Q2”.
  • Q3" of the flow rate of the second gas indicates that the flow rate of the second gas contained in the processing gas is zero or smaller than the flow rate indicated by "Q4".
  • FIG. 15 shows an example in which the types of processing gases are different among the development parameters of the first development condition and the second development condition.
  • the second gas uses a gas having a larger acid dissociation constant (pKa) than the first gas.
  • the acidity of the processing gas used in step ST121A is lower than the acidity of the processing gas used in step ST120A.
  • the remaining development parameters may be the same for development conditions 1 and 2.
  • the timing of the increase (decrease) in the flow rate of the first processing gas and the decrease (increase) in the second processing gas coincide, but the timings of each are different in whole or in part. They may be out of phase (even if they are out of phase). That is, in step ST121, it is sufficient that the period in which the first gas flow rate is Q1 and at least a portion of the period in which the second gas flow rate is Q4 overlap.
  • the second region RM2 of the resist film RM is selectively removed with respect to the first region RM1.
  • this processing method is not limited to this.
  • the first region RM1 of the resist film RM may be selectively removed with respect to the second region RM2.
  • Example 1 In Example 1, the present processing method (see FIG. 4) was applied to the substrate W (see FIG. 5) using the plasma processing apparatus 1 (see FIG. 3) to develop the resist film RM.
  • the substrate W was provided on the substrate support part 11 inside the processing chamber 10.
  • the resist film RM of the substrate W was an organic film containing Sn, and had a first region RM1 exposed to EUV and a second region RM2 not exposed to EUV.
  • the base film UF of the substrate W was a silicon oxide film. Note that the film thickness of the second region RM2 was about 1.3 times larger than the film thickness of the first region RM1.
  • the process ST12 included a process ST120, a purge process, and a process ST122.
  • the source RF signal and bias signal were not supplied. That is, in step ST12, no plasma was generated from the processing gas.
  • Step ST120 was executed for 60 seconds.
  • the temperature of the substrate support portion 11 was adjusted to 10°C.
  • the processing gas contained HBr gas and Ar gas.
  • the pressure within processing chamber 10 was maintained at 200 mTorr.
  • the purge step was performed for 30 seconds. Ar gas was used for purging. The pressure within processing chamber 10 was maintained below 10 mTorr.
  • Step ST121 was executed for 60 seconds.
  • the substrate support portion 11 was adjusted to 60°C.
  • the processing gas contained Ar gas.
  • the pressure within processing chamber 10 was maintained below 10 mTorr.
  • Reference Example 1 the resist film RM on the substrate W (see FIG. 5) was developed using the plasma processing apparatus 1 (see FIG. 3). The development was continuously performed under the same conditions as in step ST120 of Example 1 (temperature of the substrate support 11 of 10° C., pressure of the processing chamber 10 of 200 mTorr, processing gas containing HBr gas and Ar gas). That is, in Reference Example 1, unlike Example 1, the purge step and step ST121 were not performed.
  • FIG. 16 is a diagram showing the results of development according to Example 1 and Reference Example 1.
  • "t [sec]” on the horizontal axis indicates the development time [seconds]
  • "D [au.]” on the vertical axis indicates the standardized film thickness (reference thickness) of the resist film RM. ).
  • E1 (RM1) shows the results of the first region RM1 of Example 1
  • E1 (RM2) shows the results of the second region RM2 of Example 1.
  • R1 (RM1) indicates the results of the first region RM1 of Reference Example 1
  • R1 (RM2) indicates the results of the second region RM2 of Reference Example 1.
  • step ST120 (0 to 60 seconds), purge step (60 seconds to 90 seconds), step ST121 (90 seconds to 150 seconds), and second step ST120 (150 seconds to 180 seconds)
  • the second region RM2 was selectively removed.
  • the decrease in film thickness in the first region RM1 was limited, and the contrast of development was also good.
  • the development speed of the second region RM2 decreases as the development time passes, and the contrast of development with the first region RM1 is no longer maintained, making it impossible to selectively remove the second region RM2. Ta.
  • Example 1 by including the purge step and increasing the temperature of the substrate support part 11 in step ST121, the reaction product is prevented from staying in the recess during development, and the second region RM2 is It is thought that development was accelerated. Further, in Example 1, the processing gas did not contain HBr gas in step ST121, and the pressure in the processing chamber 10 was maintained lower than in step ST120. It is considered that this alleviated the influence of an increase in development speed due to a rise in temperature of the substrate support part 11, and suppressed removal of the first region RM1.
  • FIG. 17 is a block diagram for explaining a configuration example of the substrate processing system SS according to the exemplary embodiment.
  • the substrate processing system SS includes a first carrier station CS1, a first processing station PS1, a first interface station IS1, an exposure apparatus EX, a second interface station IS2, and a second processing station PS2. , a second carrier station CS2, and a controller CT.
  • the first carrier station CS1 carries in and out the first carrier C1 between the first carrier station CS1 and a system external to the substrate processing system SS.
  • the first carrier station CS1 has a mounting table including a plurality of first mounting plates ST1. On each first mounting plate ST1, a first carrier C1 containing a plurality of substrates W or empty is mounted.
  • the first carrier C1 has a casing that can house a plurality of substrates W therein.
  • the first carrier C1 is, for example, a FOUP (Front Opening Unified Pod).
  • the first carrier station CS1 transports the substrate W between the first carrier C1 and the first processing station PS1.
  • the first carrier station CS1 further includes a first transport device HD1.
  • the first transport device HD1 is provided in the first carrier station CS1 so as to be located between the mounting table and the first processing station PS1.
  • the first transport device HD1 transports and transfers the substrate W between the first carrier C1 on each first mounting plate ST1 and the second transport device HD2 of the first processing station PS1.
  • the substrate processing system SS may further include a load lock module.
  • a load lock module may be provided between the first carrier station CS1 and the first processing station PS1.
  • the load lock module can switch its internal pressure to atmospheric pressure or vacuum. "Atmospheric pressure" may be the pressure inside the first transport device HD1.
  • “Vacuum” is a pressure lower than atmospheric pressure, and may be a medium vacuum of, for example, 0.1 Pa to 100 Pa.
  • the interior of the second transport device HD2 may be at atmospheric pressure or vacuum.
  • the load lock module transports the substrate W from the first transport device HD1 at atmospheric pressure to the second transport device HD2 at vacuum, and from the second transport device HD2 at vacuum to the second transport device HD2 at atmospheric pressure.
  • the substrate W may be transported to the No. 1 transport device HD1.
  • the first processing station PS1 performs various processing on the substrate W.
  • the first processing station PS1 includes a pre-processing module PM1, a resist film forming module PM2, and a first heat processing module PM3 (hereinafter also referred to as "first substrate processing module PMa").
  • the first processing station PS1 includes a second transport device HD2 that transports the substrate W.
  • the second transport device HD2 transfers substrates between two specified first substrate processing modules PMa, and between the first processing station PS1 and the first carrier station CS1 or the first interface station IS1. Transports and delivers W.
  • the substrate W is subjected to pre-processing.
  • the preprocessing module PM1 includes a temperature adjustment unit that adjusts the temperature of the substrate W, a high-precision temperature adjustment unit that adjusts the temperature of the substrate W with high precision, and the like.
  • the pretreatment module PM1 includes a surface modification treatment unit that performs a surface modification treatment on the substrate W.
  • Each treatment unit of the pretreatment module PM1 may include a heat treatment apparatus 100 (see FIG. 1A), a plasma treatment apparatus 1 (see FIGS. 2 and 3), and/or a liquid treatment apparatus such as a spin coater.
  • the resist film forming module PM2 includes a dry coating unit.
  • the dry coating unit forms a resist film on the substrate W using a dry process such as a vapor deposition method.
  • the dry coating unit includes, for example, a CVD device or an ALD device that chemically vapor deposits a resist film, or a PVD device that physically vapor deposits a resist film on a substrate W arranged in a chamber.
  • the dry coating unit may be a heat treatment apparatus 100 (see FIG. 1) or a plasma treatment apparatus 1 (see FIGS. 2 and 3).
  • the resist film forming module PM2 includes a wet coating unit.
  • the wet coating unit forms a resist film on the substrate W using a wet process such as a liquid phase deposition method.
  • the wet coating unit may be a liquid processing device such as a spin coater.
  • the example resist film forming module PM2 includes both a wet coating unit and a dry coating unit.
  • the substrate W is heat treated.
  • the first heat treatment module PM3 includes a pre-bake (PAB) unit that performs heat treatment on the substrate W on which a resist film is formed, a temperature adjustment unit that adjusts the temperature of the substrate W, and a temperature adjustment unit that adjusts the temperature of the substrate W with high precision.
  • the temperature control unit includes one or more high-precision temperature control units. Each of these units may each have one or more heat treatment devices. In one example, multiple heat treatment devices may be stacked.
  • the heat treatment apparatus may be, for example, the heat treatment apparatus 100 (see FIG. 1A). Each heat treatment may be performed at a predetermined temperature using a predetermined gas.
  • the first interface station IS1 has a third transport device HD3.
  • the third transport device HD3 transports and transfers the substrate W between the first processing station PS1 and the exposure apparatus EX.
  • the third transport device HD3 has a casing that accommodates the substrate W, and may be configured to be able to control the temperature, humidity, pressure, etc. inside the casing.
  • the exposure apparatus EX exposes the resist film on the substrate W using an exposure mask (reticle).
  • the exposure apparatus EX may be, for example, an EUV exposure apparatus having a light source that generates EUV light.
  • the second interface station IS2 has a fourth transport device HD4.
  • the fourth transport device HD4 transports and transfers the substrate W between the exposure apparatus EX and the second processing station PS2.
  • the fourth transport device HD4 may have a casing that accommodates the substrate W, and may be configured to be able to control the temperature, humidity, pressure, etc. within the casing.
  • the second processing station PS2 performs various processing on the substrate W.
  • the second processing station PS2 includes a second heat treatment module PM4, a measurement module PM5, a development module PM6, and a third heat treatment module PM7 (hereinafter also collectively referred to as "second substrate processing module PMb").
  • the second processing station PS2 includes a fifth transport device HD5 that transports the substrate W.
  • the fifth transport device HD5 transports substrates between two specified second substrate processing modules PMb and between the second processing station PS2 and the second carrier station CS2 or the second interface station IS2. Transports and delivers W.
  • the substrate W is heat treated.
  • the heat treatment module PM4 includes a post-exposure bake (PEB) unit that heat-treats the substrate W after exposure, a temperature adjustment unit that adjusts the temperature of the substrate W, and a heat treatment module that adjusts the temperature of the substrate W with high precision. It includes one or more precision temperature control units. Each of these units may each have one or more heat treatment devices. In one example, multiple heat treatment devices may be stacked.
  • the heat treatment apparatus may be, for example, the heat treatment apparatus 100 (see FIG. 1A). Each heat treatment may be performed at a predetermined temperature using a predetermined gas.
  • the measurement module PM5 includes an imaging unit including a mounting table on which the substrate W is placed, an imaging device, an illumination device, and various sensors (temperature sensor, reflectance measurement sensor, etc.).
  • the imaging device may be, for example, a CCD camera that images the appearance of the substrate W.
  • the imaging device may be a hyperspectral camera that separates light into wavelengths and photographs the images.
  • the hyperspectral camera can measure any one or more of the pattern shape, dimensions, film thickness, composition, and film density of the resist film.
  • the substrate W is subjected to development processing.
  • the development module PM6 includes a dry development unit that performs dry development on the substrate W.
  • the dry development unit may be, for example, a thermal processing apparatus 100 (see FIG. 1A) or a plasma processing apparatus 1 (see FIGS. 2 and 3).
  • the substrate W is subjected to heat treatment.
  • the third heat treatment module PM7 includes a post bake (PB) unit that heats the substrate W after development, a temperature adjustment unit that adjusts the temperature of the substrate W, and a temperature adjustment unit that increases the temperature of the substrate W. It includes one or more high-precision temperature control units that adjust accurately. Each of these units may each have one or more heat treatment devices. In one example, multiple heat treatment devices may be stacked.
  • the heat treatment apparatus may be, for example, the heat treatment apparatus 100 (see FIG. 1A). Each heat treatment may be performed at a predetermined temperature using a predetermined gas.
  • the second carrier station CS2 carries in and out the second carrier C2 between the second carrier station CS2 and a system external to the substrate processing system SS.
  • the configuration and function of the second carrier station CS2 may be similar to the first carrier station CS1 described above.
  • the control unit CT controls each component of the substrate processing system SS to perform a given process on the substrate W.
  • the control unit CT stores recipes in which process procedures, process conditions, transport conditions, etc. are set, and controls each of the substrate processing systems SS to perform a given process on the substrate W according to the recipe. Control configuration.
  • the control unit CT may serve as part or all of the functions of each control unit (control unit 200, control unit 2, and control unit 400 shown in FIGS. 1A to 4).
  • FIG. 18 is a flowchart illustrating a substrate processing method (hereinafter also referred to as "method MT") according to an exemplary embodiment.
  • the method MT includes a step ST100 of pre-processing the substrate, a step ST200 of forming a resist film on the substrate, and a step ST200 of performing heat treatment (pre-bake: PAB) on the substrate on which the resist film is formed.
  • PEB post-exposure bake
  • the process includes ST700, a step ST800 of performing heat treatment (post-bake: PB) on the substrate after development, and a step ST900 of etching the substrate.
  • Method MT may not include one or more of the above steps.
  • method MT may not include step ST600, and step ST700 may be performed after step ST500.
  • Method MT may be performed using the substrate processing system SS shown in FIG. 17.
  • the control unit CT of the substrate processing system SS controls each part of the substrate processing system SS to execute the method MT on the substrate W will be described as an example.
  • the first carrier C1 containing a plurality of substrates W is carried into the first carrier station CS1 of the substrate processing system SS.
  • the first carrier C1 is mounted on the first mounting plate ST1.
  • each substrate W in the first carrier C1 is sequentially taken out by the first transport device HD1 and delivered to the second transport device HD2 of the first processing station PS1.
  • the substrate W is transported to the preprocessing module PM1 by the second transport device HD2.
  • the preprocessing module PM1 performs preprocessing on the substrate W.
  • the pretreatment may include, for example, one or more of temperature adjustment of the substrate W, formation of part or all of the base film of the substrate W, heat treatment of the substrate W, and high-precision temperature adjustment of the substrate W.
  • the pretreatment may include surface modification treatment of the substrate W.
  • forming the resist film is performed by a wet process.
  • a resist film is formed by spin coating a resist film on the substrate W using the wet coating unit of the resist film forming module PM2.
  • the resist film is formed on the substrate W by a dry process such as a vapor deposition method.
  • a resist film is formed by depositing a resist film on the substrate W using the dry coating unit of the resist film forming module PM2.
  • the formation of the resist film on the substrate W may be performed using both a dry process and a wet process.
  • a second resist film may be formed on the first resist film by a wet process.
  • the film thickness, material, and/or composition of the first resist film and the second resist film may be the same or different.
  • the substrate W is transported to the first heat treatment module PM3 by the second transport device HD2.
  • the first heat treatment module PM3 performs heat treatment (pre-bake: PAB) on the substrate W.
  • Prebaking may be performed in an air atmosphere or in an inert atmosphere. Further, the prebaking may be performed by heating the substrate W to 50° C. or higher or 80° C. or higher.
  • the heating temperature of the substrate W may be 250°C or lower, 200°C or lower, or 150°C or lower. In one example, the heating temperature of the substrate may be 50° C. or higher and 250° C. or lower.
  • prebaking may be continuously performed in the dry coating unit that performed step ST200.
  • a process for removing the resist film at the edge of the substrate W (Edge Bead Removal: EBR) may be performed.
  • the substrate W is transferred by the second transport device HD2 to the third transport device HD3 of the first interface station IS1.
  • the substrate W is then transported to the exposure apparatus EX by the third transport device HD3.
  • the substrate W receives EUV exposure through an exposure mask (reticle) in the exposure apparatus EX.
  • a first region exposed to EUV light and a second region not exposed to EUV light are formed on the substrate W, corresponding to the pattern of the exposure mask (reticle).
  • the substrate W is transferred from the fourth transport device HD4 of the second interface station IS2 to the fifth transport device HD5 of the second processing station PS2.
  • the substrate W is then transported to the second heat treatment module PM4 by the fifth transport device HD5.
  • the substrate W is subjected to heat treatment (post-exposure bake: PEB) in the second heat treatment module PM4.
  • the post-exposure bake may be performed in an atmospheric atmosphere. Further, the post-exposure bake may be performed by heating the substrate W to 180° C. or higher and 250° C. or lower.
  • the substrate W is transported to the measurement module PM5 by the fifth transport device HD5.
  • the measurement module PM5 measures the substrate W.
  • the measurements may be optical measurements or other measurements.
  • the measurements by the measurement module PM5 include measurements of the appearance and/or dimensions of the substrate W using a CCD camera.
  • the measurement module PM5 measures any one or more of the pattern shape, dimensions, film thickness, composition, and film density (hereinafter also referred to as "pattern shape, etc.") of the resist film using a hyperspectral camera. Including measurements.
  • control unit CT determines whether or not there is an exposure abnormality in the substrate W based on the measured appearance, dimensions, and/or pattern shape of the substrate W. In one embodiment, if the control unit CT determines that there is an exposure abnormality, the substrate W may be reworked or discarded without performing development in step ST700. Rework of the substrate W may be performed by removing the resist on the substrate W and returning to step ST200 again to form a resist film. Although rework after development may involve damage to the substrate W, damage to the substrate W can be avoided or suppressed by performing rework before development.
  • the substrate W is transported to the developing module PM6 by the fifth transport device HD5.
  • the development module PM6 the resist film on the substrate W is developed.
  • the development process may be performed by dry development.
  • the development process in step ST700 may be performed using the present processing method (see FIGS. 4 and 13).
  • a desorption process may be performed one or more times after or during the development process.
  • the desorption process includes descuming or smoothing the surface of the resist film with an inert gas such as helium or a plasma of the inert gas.
  • a part of the base film may be etched using the developed resist film as a mask.
  • the substrate W is transported to the third heat treatment module PM7 by the fifth transport device HD5, and is subjected to heat treatment (post-bake).
  • Post-baking may be performed in an atmospheric atmosphere or in a reduced pressure atmosphere containing N 2 or O 2 . Further, post-baking may be performed by heating the substrate W to 150° C. or higher and 250° C. or lower.
  • Post-baking may be performed by the second heat treatment module PM4 instead of the third heat treatment module PM7.
  • optical measurements of the substrate W may be made by a measurement module PM4PM5. Such measurements may be performed in addition to or in place of the measurements in step ST600.
  • the control unit CT determines whether or not there are abnormalities such as defects, scratches, and adhesion of foreign substances in the developed pattern of the substrate W based on the measured appearance, dimensions, and/or pattern shape of the substrate W. etc. are determined. In one embodiment, if the controller CT determines that there is an abnormality, the substrate W may be reworked or discarded without performing etching in step ST900. In one embodiment, if the control unit CT determines that there is an abnormality, the opening size of the resist film of the substrate W may be adjusted using a dry coating unit (CVD device, ALD device, etc.).
  • the substrate W is transferred by the fifth transfer device HD5 to the sixth transfer device HD6 of the second carrier station CS2, and the substrate W is transferred to the sixth transfer device HD6 of the second carrier station CS2 by the sixth transfer device HD6. It is transported to the second carrier C2.
  • the second carrier C2 is then transported to a plasma processing system (not shown).
  • the plasma processing system may be, for example, the plasma processing system shown in FIGS. 2 and 3.
  • the base film UF of the substrate W is etched using the developed resist film as a mask. With this, the method MT ends.
  • etching may be continuously performed within the plasma processing chamber of the plasma processing apparatus. Furthermore, if the second processing station PS2 includes a plasma processing module in addition to the development module PM6, the etching may be performed within the plasma processing module.
  • the desorption process described above may be performed one or more times before or during etching.
  • Embodiments of the present disclosure further include the following aspects.
  • a substrate processing method comprising: (a) providing a substrate on a substrate support in a chamber, the substrate having a base film and a metal-containing resist film formed on the base film, the metal-containing resist film forming a first area exposed to light; and a second unexposed region; (b) supplying a processing gas to the chamber to develop the substrate and selectively remove the second region from the metal-containing resist film;
  • the step (b) above is (b1) controlling the temperature of the substrate or the substrate support to a first temperature to perform development; (b2) controlling the temperature of the substrate or the substrate support to a second temperature different from the first temperature to perform development; Substrate processing method.
  • step (b2) the processing gas is not supplied to the chamber, or the flow rate of the processing gas supplied to the chamber is lower than the flow rate of the processing gas supplied to the chamber in the step (b1).
  • the step (b) further includes the step of purging the processing gas in the chamber between the step (b1) and the step (b2), according to any one of Supplementary Notes 1 to 4.
  • a substrate processing method comprising: (a) A step of providing a substrate having a base film and a metal-containing resist film formed on the base film to a substrate support in a chamber, wherein the metal-containing resist film is connected to the exposed first region. and a second region that is not exposed to light.
  • step (b) supplying a processing gas to the chamber to develop the substrate, and selectively removing either the first region or the second region from the metal-containing resist film;
  • the step (b) above is (b1) developing the substrate under first development conditions; (b2) A step of developing the substrate under second development conditions different from the first development conditions, wherein the second development conditions include the temperature of the substrate or the substrate support, the temperature of the substrate in the chamber, a step in which at least one of the development parameters including pressure, flow rate of the processing gas, type of the processing gas, and residence time of the processing gas with respect to the substrate is different from the first development condition; Substrate processing method.
  • the development is performed using plasma generated from a processing gas, and the development parameters include a power level of a source RF signal for plasma generation supplied to the chamber, and a power level of a source RF signal for plasma generation supplied to the chamber.
  • the bias signal includes a bias RF signal or voltage pulse;
  • At least one of the source RF signal and the bias RF signal is pulsed, and the development parameter is at least one of a duty ratio of the pulsed source RF signal and a duty ratio of the pulsed bias signal.
  • the second development condition is a substrate processing method according to any one of attachments 7 to 10, wherein the second development condition is different from the first development condition in two or more of the development parameters.
  • a substrate processing method comprising: The substrate processing method according to any one of appendices 1 to 11, wherein in the step (b), the step (b1) and the step (b2) are repeated.
  • step (b) In the step (b), after the cycle including the step (b1) and the step (b2) is performed one or more times, the step (b1) is further performed, Supplementary notes 1 to Supplementary notes 12.
  • the substrate processing method according to any one of 11.
  • the step (b) is performed after performing the cycle including the step (b1) and the step (b2) one or more times without generating plasma from the processing gas.
  • step (b) After performing a cycle including the step (b1) and the step (b2) one or more times by generating plasma from the processing gas, the step (b1) and the step (b2) are performed one or more times.
  • Appendix 17 The substrate processing method according to any one of appendices 1 to 16, wherein the metal-containing resist film contains at least one metal selected from the group consisting of Sn, Hf, and Ti.
  • the temperature of the substrate or the substrate support part is determined by the output of the heater in the substrate support part, the output of the heater in the side wall of the chamber accommodating the substrate support part, the output of the heater in the ceiling of the chamber, and the temperature in the substrate support part. from the temperature of the heat transfer fluid flowing through the substrate, the pressure of the heat transfer gas supplied between the back surface of the substrate and the surface of the substrate support, and the output of electromagnetic waves configured to irradiate the surface of the substrate.
  • the substrate processing method according to any one of Supplementary Notes 1 to 23, wherein the substrate processing method is controlled by at least one selected from the group consisting of:
  • a substrate processing system including a substrate processing apparatus having a chamber and a control section, the control section controlling the substrate processing apparatus, (a) Control for providing a substrate having a base film and a metal-containing resist film formed on the base film to a substrate support portion of a chamber, wherein the metal-containing resist film is connected to an exposed first region and exposed to light.
  • control in (b) above is (b1) controlling the temperature of the substrate or the substrate support part to a first temperature to perform development; (b2) controlling the temperature of the substrate or the substrate support to a second temperature different from the first temperature to perform development; Substrate processing system.
  • a substrate processing system including a substrate processing apparatus having a chamber and a control section, the control section controlling the substrate processing apparatus, (a) Control for providing a substrate having a base film and a metal-containing resist film formed on the base film to a substrate support in a chamber, wherein the metal-containing resist film is connected to the exposed first region.
  • control in (b) above is (b1) control to develop the substrate under first development conditions; (b2) Control for developing the substrate under second development conditions different from the first development conditions, wherein the second development conditions include the temperature of the substrate, the pressure in the chamber, and the processing gas. control, wherein at least one of development parameters including a flow rate of the processing gas, a type of the processing gas, and a residence time of the processing gas with respect to the substrate is different from the first development condition.
  • a device manufacturing method comprising: (a) providing a substrate on a substrate support in a chamber, the substrate having a base film and a metal-containing resist film formed on the base film, the metal-containing resist film forming a first area exposed to light; and a second unexposed region; (b) supplying a processing gas to the chamber to develop the substrate and selectively remove the second region from the metal-containing resist film;
  • the step (b) above is (b1) controlling the temperature of the substrate or the substrate support to a first temperature to perform development; (b2) controlling the temperature of the substrate or the substrate support to a second temperature different from the first temperature to perform development; Device manufacturing method.
  • a device manufacturing method comprising: (a) A step of providing a substrate having a base film and a metal-containing resist film formed on the base film to a substrate support in a chamber, wherein the metal-containing resist film is connected to the exposed first region. and a second region that is not exposed to light.
  • step (b) supplying a processing gas to the chamber to develop the substrate, and selectively removing either the first region or the second region from the metal-containing resist film;
  • the step (b) above is (b1) developing the substrate under first development conditions; (b2) A step of developing the substrate under second development conditions different from the first development conditions, wherein the second development conditions include the temperature of the substrate or the substrate support, the temperature of the substrate in the chamber, a step in which at least one of development parameters including pressure, flow rate of the processing gas, type of the processing gas, and residence time of the processing gas with respect to the substrate is different from the first development condition; Device manufacturing method.
  • a computer of a substrate processing system having one or more substrate processing apparatuses and a control section, (a) Control for providing a substrate having a base film and a metal-containing resist film formed on the base film to a substrate support portion of a chamber, wherein the metal-containing resist film is connected to an exposed first region and exposed to light.
  • control in (b) above is (b1) controlling the temperature of the substrate or the substrate support part to a first temperature to perform development; (b2) controlling the temperature of the substrate or the substrate support to a second temperature different from the first temperature to perform development; program.
  • a computer of a substrate processing system having one or more substrate processing apparatuses and a control section, (a) Control for providing a substrate having a base film and a metal-containing resist film formed on the base film to a substrate support in a chamber, wherein the metal-containing resist film is connected to the exposed first region. a control having a second area that is not exposed to light; (b) A program that executes control for supplying a processing gas to the chamber to develop the substrate and selectively remove either the first region or the second region from the metal-containing resist film.
  • control in (b) above is (b1) control to develop the substrate under first development conditions; (b2) Control for developing the substrate under second development conditions different from the first development conditions, wherein the second development conditions include a temperature of the substrate or the substrate support, a temperature of the substrate in the chamber, and a temperature of the substrate or the substrate support.
  • a program comprising: control in which at least one of development parameters including pressure, flow rate of the processing gas, type of the processing gas, and residence time of the processing gas with respect to the substrate is different from the first development condition.
  • Appendix 31 A storage medium storing the program described in Appendix 29 or 30.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

現像パターンにおける残渣を抑制する技術を提供する。 基板処理方法が提供される。この方法は、(a)下地膜と下地膜上に形成された金属含有レジスト膜とを有する基板をチャンバ内の基板支持部上に提供する工程であって、金属含有レジスト膜は露光された第1領域と露光されていない第2領域とを有する工程と、(b)チャンバに処理ガスを供給して基板を現像し、金属含有レジスト膜から第2領域を選択的に除去する工程とを含む。(b)の工程は、(b1)基板又は基板支持部の温度を第1の温度に制御して現像を行う工程と、(b2)基板又は基板支持部の温度を第1の温度と異なる第2の温度に制御して現像を行う工程とを含む。

Description

基板処理方法及び基板処理システム
 本開示の例示的実施形態は、基板処理方法及び基板処理システムに関する。
 特許文献1には、半導体基板上に極端紫外光(Extreme Ultra Violet光、以下「EUV光」と表記する)を用いてパターニングされうる薄膜を形成する技術が開示されている。
特表2021-523403号公報
 本開示は、現像パターンの残渣を抑制する技術を提供する。
 本開示の一つの例示的実施形態において、基板処理方法であって、(a)下地膜と前記下地膜上に形成された金属含有レジスト膜とを有する基板をチャンバ内の基板支持部上に提供する工程であって、前記金属含有レジスト膜は露光された第1領域と露光されていない第2領域とを有する工程と、(b)前記チャンバに処理ガスを供給して前記基板を現像し、前記金属含有レジスト膜から前記第2領域を選択的に除去する工程と、を含み、前記(b)の工程は、(b1)前記基板支持部の温度を第1の温度に制御して現像を行う工程と、(b2)前記基板支持部の温度を前記第1の温度と異なる第2の温度に制御して現像を行う工程と、を含む基板処理方法が提供される。
 本開示の一つの例示的実施形態によれば、現像パターンの残渣を抑制する技術を提供することができる。
熱処理システムの構成例を説明するための図である。 基板支持部の他の構成例を示す平面図である。 プラズマ処理システムを現像処理システムとして用いる場合の構成例を説明するための図である。 容量結合型のプラズマ処理装置の構成例を説明するための図である。 本処理方法を示すフローチャートである。 工程ST11で提供される基板Wの断面構造の一例を示す図である。 基板Wの下地膜UFの一例を示す図である。 基板Wの下地膜UFの一例を示す図である。 工程ST12の処理後の基板Wの断面構造の一例を示す図である。 工程ST12の一例を説明するための図である。 図9に示す例において、基板Wの表面で生じる現象の一例を模式的に示す図である。 工程ST12の一例を説明するための図である。 工程ST12の一例を説明するための図である。 本処理方法の変形例にかかるフローチャートである。 変形例における工程ST12の一例を説明するための図である。 変形例における工程ST12の一例を説明するための図である。 実施例1及び参考例1にかかる現像の結果を示す図である。 基板処理システムSSの構成例を説明するためのブロック図である。 方法MTを示すフローチャートである。
 以下、本開示の各実施形態について説明する。
 一つの例示的実施形態において、基板処理方法であって、(a)下地膜と下地膜上に形成された金属含有レジスト膜とを有する基板をチャンバ内の基板支持部上に提供する工程であって、金属含有レジスト膜は露光された第1領域と露光されていない第2領域とを有する工程と、(b)チャンバに処理ガスを供給して基板を現像し、金属含有レジスト膜から第2領域を選択的に除去する工程と、を含み、(b)の工程は、(b1)基板又は基板支持部の温度を第1の温度に制御して現像を行う工程と、(b2)基板又は基板支持部の温度を第1の温度と異なる第2の温度に制御して現像を行う工程と、を含む基板処理方法が提供される。
 一つの例示的実施形態において、第2の温度は、第1の温度よりも高い。
 一つの例示的実施形態において、(b2)の工程において、チャンバに処理ガスが供給されないか、又は、チャンバに供給される処理ガスの流量が(b1)の工程においてチャンバに供給される処理ガスの流量よりも小さい。
 一つの例示的実施形態において、(b2)の工程におけるチャンバ内の圧力は、(b1)の工程におけるチャンバ内の圧力よりも低い。
 一つの例示的実施形態において、(b)の工程は、(b1)の工程と(b2)の工程との間に、チャンバ内の処理ガスをパージする工程をさらに含む。
 一つの例示的実施形態において、(b1)の工程と(b2)の工程において、チャンバに一定の流量で処理ガスが供給される。
 一つの例示的実施形態において、基板処理方法であって、(a)下地膜と下地膜上に形成された金属含有レジスト膜とを有する基板をチャンバ内の基板支持部に提供する工程であって、金属含有レジスト膜は露光された第1領域と露光されていない第2領域とを有する工程と、(b)チャンバに処理ガスを供給して基板を現像し、金属含有レジスト膜から第1領域及び第2領域のいずれかを選択的に除去する工程と、を含み、(b)の工程は、(b1)第1の現像条件で基板の現像を行う工程と、(b2)第1の現像条件と異なる第2の現像条件で基板の現像を行う工程であって、第2の現像条件は、基板又は基板支持部の温度、チャンバ内の圧力、処理ガスの流量、処理ガスの種類及び基板に対する処理ガスのレジデンスタイムを含む現像パラメータの少なくとも1つが第1の現像条件と異なる工程と、を含む基板処理方法が提供される。
 一つの例示的実施形態において、(b)の工程において、現像は処理ガスから生成したプラズマを用いて行われ、現像パラメータは、チャンバに供給されるプラズマ生成用のソースRF信号の電力のレベル、及び、チャンバに供給されるバイアス信号の電力又は電圧のレベルをさらに含む。
 一つの例示的実施形態において、バイアス信号はバイアスRF信号又は電圧パルスを含み、現像パラメータは、ソースRF信号の周波数、バイアスRF信号の周波数及び電圧パルスの周波数の少なくともいずれかを1つさらに含む。
 一つの例示的実施形態において、ソースRF信号及びバイアスRF信号の少なくとも一方がパルス化されており、現像パラメータは、パルス化されたソースRF信号のデューティ比及びパルス化されたバイアス信号のデューティ比の少なくともいずれかをさらに含む。
 一つの例示的実施形態において、(b2)の工程において、第2の現像条件は、現像パラメータの2つ以上が第1の現像条件と異なる。
 一つの例示的実施形態において、(b)の工程において、(b1)の工程と(b2)の工程とが繰り返される。
 一つの例示的実施形態において、(b)の工程において、(b1)の工程と(b2)の工程とを含むサイクルが1回以上実施された後、さらに(b1)の工程が実施される。
 一つの例示的実施形態において、(b)の工程は、(b1)の工程と(b2)の工程とを含むサイクルを処理ガスからプラズマを生成せずに1回以上実施した後に、(b1)の工程と(b2)の工程とを含むサイクルを処理ガスからプラズマを生成して一回以上実施する工程を含む。
 一つの例示的実施形態において、(b)の工程は、(b1)の工程と(b2)の工程とを含むサイクルを処理ガスからプラズマを生成して1回以上実施した後に、(b1)の工程と(b2)の工程とを含むサイクルを処理ガスからプラズマを生成せずに一回以上実施する工程を含む。
 (b1)の工程及び(b2)の工程の少なくとも一方において、処理ガスから生成したプラズマを用いて第1領域又は第2領域を選択的に除去する。
 一つの例示的実施形態において、金属含有レジスト膜は、Sn、Hf及びTiからなる群から選択される少なくとも1種の金属を含む。
 一つの例示的実施形態において、処理ガスは、ハロゲン含有ガスを含む。
 一つの例示的実施形態において、(b1)の工程で用いる処理ガスの酸性度と、(b2)の工程で用いる処理ガスの酸性度が異なる。
 一つの例示的実施形態において、(c)の工程は、(b)の工程で用いたチャンバとは異なるチャンバ内で実行される。
 一つの例示的実施形態において、(c)の工程は、(b)の工程で用いたチャンバ内で実行される。
 一つの例示的実施形態において、第1領域はEUV露光されている。
 前記基板又は前記基板支持部の温度は、前記基板支持部内のヒータの出力、前記基板支持部を収容するチャンバの側壁内のヒータの出力、前記チャンバの天井内のヒータの出力、前記基板支持部内を流れる伝熱流体の温度、前記基板の裏面と前記基板支持部の表面との間に供給される伝熱ガスの圧力及び前記基板の表面に対して照射するように構成された電磁波の出力からなる群から選択される少なくとも1種により制御される。
 一つの例示的実施形態において、チャンバを有する基板処理装置と制御部とを有する基板処理システムであって、制御部は、基板処理装置に対して、(a)下地膜と下地膜上に形成された金属含有レジスト膜とを有する基板をチャンバの基板支持部に提供する制御であって、金属含有レジスト膜は露光された第1領域と露光されていない第2領域とを有する制御と、(b)チャンバに処理ガスを供給して基板を現像し、金属含有レジスト膜から第2領域を選択的に除去する制御と、を実行するように構成され、(b)の制御は、(b1)基板又は基板支持部の温度を第1の温度に制御して現像を行う制御と、(b2)基板又は基板支持部の温度を第1の温度と異なる第2の温度に制御して現像を行う制御と、を含む基板処理システムが提供される。
 以下、図面を参照して、本開示の各実施形態について詳細に説明する。なお、各図面において同一または同様の要素には同一の符号を付し、重複する説明を省略する。特に断らない限り、図面に示す位置関係に基づいて上下左右等の位置関係を説明する。図面の寸法比率は実際の比率を示すものではなく、また、実際の比率は図示の比率に限られるものではない。
<熱処理システムの構成例>
 図1Aは、熱処理システムの構成例を説明するための図である。一実施形態において、熱処理システムは、熱処理装置100及び制御部200を含む。熱処理システムは、基板処理システムの一例であり、熱処理装置100は、基板処理装置の一例である。
 熱処理装置100は、密閉空間を形成可能に構成された処理チャンバ102を有する。処理チャンバ102は、例えば気密な筒状容器であり、内部の雰囲気を調整可能に構成される。処理チャンバ102の側壁には、側壁ヒータ104が設けられている。処理チャンバ102の天井壁(天板)には、天井ヒータ130が設けられている。処理チャンバ102の天井壁(天板)の天井面140は、水平な平坦面として形成されており、天井ヒータ130によりその温度が調整される。
 処理チャンバ102内の下部側には、基板支持部121が設けられている。基板支持部121は、その上に基板Wが支持される基板支持面を有する。基板支持部121は、例えば、平面視で円形に形成されており、水平に形成されたその表面(上面)の上に基板Wが載置される。基板支持部121の中には、ステージヒータ120が埋設されている。このステージヒータ120は、基板支持部121に載置された基板Wを加熱することができる。なお、基板支持部121には、基板Wを囲むようにリングアセンブリ(図示せず)が配置されてもよい。リングアセンブリは、1又は複数の環状部材を含んでよい。リングアセンブリを基板Wの周囲に配置することにより、基板Wの外周領域の温度制御性を向上させることができる。リングアセンブリは、目的とする熱処理に応じて、無機材料又は有機材料から構成されてよい。
 図1Bは、基板支持部の他の構成例を示す平面図である。一実施形態において、図1Aに示す基板支持部121に代えて、図1Bに示す基板支持部121aが用いられてよい。図1Bに示す基板支持部121aは、複数のゾーンを有し、各ゾーンにヒータ電極を備える。図1Bに示す例では、基板支持部121aは、Z1~Z14のゾーンを有し、各ゾーンにヒータ電極を有する。各ゾーンのヒータ電極は、それぞれ独立して電力が供給可能に構成される。すなわち、基板支持部121aはゾーンごとに独立して温度制御可能に構成される。このため、基板支持部121aによれば、後述するレジスト膜RMの現像における面内均一性を向上させることができる。また、基板支持部121aによれば、基板Wの中心側からエッジ側に向かって順次加熱したり、基板Wのエッジ側から中心側に向かって順次加熱したりすることもできる。さらに、基板支持部121aによれば、基板Wの特定の領域を他の領域よりも高温に加熱することもできる。
 基板支持部121は、処理チャンバ102の底面に設けられた支柱122によって、処理チャンバ102内で支持されている。支柱122の周方向の外側には、垂直に昇降可能な複数の昇降ピン123が設けられている。複数の昇降ピン123はそれぞれ、基板支持部121に設けられた貫通孔に各々挿通されている。複数の昇降ピン123は周方向に間隔を設けて配列されている。複数の昇降ピン123の昇降動作は、昇降機構124により制御される。昇降ピン123が基板支持部121の表面に突出すると、図示しない搬送機構と基板支持部121との間での、基板Wの受け渡しが可能となる。
 処理チャンバ102の側壁には、開口を有する排気口131が設けられている。排気口131は、排気管を介して排気機構132に接続されている。排気機構132は、真空ポンプ及びバルブなどにより構成されており、排気口131からの排気流量を調整する。この排気機構132による排気流量等の調整により、処理チャンバ102内の圧力が調整される。なお、処理チャンバ102の側壁には、排気口131が開口する位置とは異なる位置に、図示しない基板Wの搬送口が開閉自在に形成されている。
 また、処理チャンバ102の側壁には、排気口131及び基板Wの搬送口とは異なる位置に、ガスノズル141が設けられている。ガスノズル141は、処理ガスを処理チャンバ102内に供給する。ガスノズル141は、処理チャンバ102の側壁において、基板支持部121の中心部から見て、排気口131の反対側に設けられている。即ち、ガスノズル141は、処理チャンバ102の側壁において、基板支持部121の中心部を通過する垂直仮想面に対して排気口131と対称に設けられている。
 ガスノズル141は、処理チャンバ102の側壁から処理チャンバ102の中心側に向けて突出する棒状に形成されている。ガスノズル141の先端部は、処理チャンバ102の側壁から例えば水平に延びている。処理ガスは、ガスノズル141の先端において開口する吐出口から処理チャンバ102内に吐出され、図1Aに示す一点鎖線の矢印の方向に流れて、排気口131から排気される。なお、排気口131は、処理チャンバ102の底面に設けてもよい。またガスノズル141の先端部は、基板Wに向けて斜め下方に延びる形状を有していてもよく、処理チャンバ102の天井面140に向けて斜め上方に延びる形状を有していてもよい。
 ガスノズル141は、例えば、処理チャンバ102の天井壁に設けられていてもよい。ガスノズル141は、天井壁に複数設けられえていてもよい。また、ガスノズルに代えて、後述する図3のシャワーヘッド13と同様の構成を設けてもよい。複数のガスノズル又はシャワーヘッドから基板Wに対して供給されるガスの流量やガスの種類は、基板Wの領域(例えば図1BのゾーンZ1~Z14)ごとに制御可能に構成されてよい。一実施形態では、基板Wの中心領域に供給するガスの流量を、基板Wの外側領域に供給するガスの流量よりも多くしてよい。
 熱処理装置100は、処理チャンバ102の外側からガスノズル141に接続されるガス供給管152を有する。ガス供給管152の周囲には、ガス供給管内のガスを加熱するための配管ヒータ160が設けられる。ガス供給管152は、ガス供給部170に接続されている。ガス供給部170は、少なくとも1つのガスソース及び少なくとも1つの流量制御器を含む。ガス供給部は、液体の状態の材料を気化させる気化器を含んでよい。
 制御部200は、本開示において述べられる種々の工程を熱処理装置100に実行させるコンピュータ実行可能な命令を処理する。制御部200は、ここで述べられる種々の工程を実行するように熱処理装置100の各要素を制御するように構成され得る。一実施形態において、制御部200の一部又は全てが熱処理装置100に含まれてもよい。制御部200は、処理部200a1、記憶部200a2及び通信インターフェース200a3を含んでもよい。制御部200は、例えばコンピュータ200aにより実現される。処理部200a1は、記憶部200a2からプログラムを読み出し、読み出されたプログラムを実行することにより種々の制御動作を行うように構成され得る。このプログラムは、予め記憶部200a2に格納されていてもよく、必要なときに、媒体を介して取得されてもよい。取得されたプログラムは、記憶部200a2に格納され、処理部200a1によって記憶部200a2から読み出されて実行される。媒体は、コンピュータ200aに読み取り可能な種々の記憶媒体であってもよく、通信インターフェース200a3に接続されている通信回線であってもよい。処理部200a1は、CPU(Central Processing Unit)であってもよい。記憶部200a2は、RAM(Random Access Memory)、ROM(Read Only Memory)、HDD(Hard Disk Drive)、SSD(Solid State Drive)、又はこれらの組み合わせを含んでもよい。通信インターフェース200a3は、LAN(Local Area Network)等の通信回線を介して熱処理装置100との間で通信してもよい。
<プラズマ処理システムの構成例>
 図2は、プラズマ処理システムを現像処理システムとして用いる場合の構成例を説明するための図である。一実施形態において、プラズマ処理システムは、プラズマ処理装置1及び制御部2を含む。プラズマ処理システムは、基板処理システムの一例であり、プラズマ処理装置1は、基板処理装置の一例である。プラズマ処理装置1は、プラズマ処理チャンバ(以下、単に「処理チャンバ」ともいう。)10、基板支持部11及びプラズマ生成部12を含む。プラズマ処理チャンバ10は、プラズマ処理空間を有する。また、プラズマ処理チャンバ10は、少なくとも1つの処理ガスをプラズマ処理空間に供給するための少なくとも1つのガス供給口と、プラズマ処理空間からガスを排出するための少なくとも1つのガス排出口とを有する。ガス供給口は、後述するガス供給部20に接続され、ガス排出口は、後述する排気システム40に接続される。基板支持部11は、プラズマ処理空間内に配置され、基板を支持するための基板支持面を有する。
 プラズマ生成部12は、プラズマ処理空間内に供給された少なくとも1つの処理ガスからプラズマを生成するように構成される。プラズマ処理空間において形成されるプラズマは、容量結合プラズマ(CCP:Capacitively Coupled Plasma)、誘導結合プラズマ(ICP:Inductively Coupled Plasma)、ECRプラズマ(Electron-Cyclotron-resonance plasma)、ヘリコン波励起プラズマ(HWP:Helicon Wave Plasma)、又は、表面波プラズマ(SWP:Surface Wave Plasma)等であってもよい。また、AC(Alternating Current)プラズマ生成部及びDC(Direct Current)プラズマ生成部を含む、種々のタイプのプラズマ生成部が用いられてもよい。一実施形態において、ACプラズマ生成部で用いられるAC信号(AC電力)は、100kHz~10GHzの範囲内の周波数を有する。従って、AC信号は、RF(Radio Frequency)信号及びマイクロ波信号を含む。一実施形態において、RF信号は、100kHz~150MHzの範囲内の周波数を有する。
 制御部2は、本開示において述べられる種々の工程をプラズマ処理装置1に実行させるコンピュータ実行可能な命令を処理する。制御部2は、ここで述べられる種々の工程を実行するようにプラズマ処理装置1の各要素を制御するように構成され得る。一実施形態において、制御部2の一部又は全てがプラズマ処理装置1に含まれてもよい。制御部2は、例えばコンピュータ2aにより実現される。制御部2は、処理部2a1、記憶部2a2及び通信インターフェース2a3を含んでもよい。制御部2の各構成は、上述した制御部200(図1A参照)の各構成と同様であってよい。
 以下に、プラズマ処理装置1の一例としての容量結合型のプラズマ処理装置の構成例について説明する。図3は、容量結合型のプラズマ処理装置の構成例を説明するための図である。
 容量結合型のプラズマ処理装置1は、プラズマ処理チャンバ10、ガス供給部20、電源30及び排気システム40を含む。また、プラズマ処理装置1は、基板支持部11及びガス導入部を含む。ガス導入部は、少なくとも1つの処理ガスをプラズマ処理チャンバ10内に導入するように構成される。ガス導入部は、シャワーヘッド13を含む。基板支持部11は、プラズマ処理チャンバ10内に配置される。シャワーヘッド13は、基板支持部11の上方に配置される。一実施形態において、シャワーヘッド13は、プラズマ処理チャンバ10の天部(ceiling)の少なくとも一部を構成する。プラズマ処理チャンバ10は、シャワーヘッド13、プラズマ処理チャンバ10の側壁10a及び基板支持部11により規定されたプラズマ処理空間10sを有する。プラズマ処理チャンバ10は接地される。シャワーヘッド13及び基板支持部11は、プラズマ処理チャンバ10の筐体とは電気的に絶縁される。
 基板支持部11は、本体部111及びリングアセンブリ112を含む。本体部111は、基板Wを支持するための中央領域111aと、リングアセンブリ112を支持するための環状領域111bとを有する。ウェハは基板Wの一例である。本体部111の環状領域111bは、平面視で本体部111の中央領域111aを囲んでいる。基板Wは、本体部111の中央領域111a上に配置され、リングアセンブリ112は、本体部111の中央領域111a上の基板Wを囲むように本体部111の環状領域111b上に配置される。従って、中央領域111aは、基板Wを支持するための基板支持面とも呼ばれ、環状領域111bは、リングアセンブリ112を支持するためのリング支持面とも呼ばれる。
 一実施形態において、本体部111は、基台1110及び静電チャック1111を含む。基台1110は、導電性部材を含む。基台1110の導電性部材は下部電極として機能し得る。静電チャック1111は、基台1110の上に配置される。静電チャック1111は、セラミック部材1111aとセラミック部材1111a内に配置される静電電極1111bとを含む。セラミック部材1111aは、中央領域111aを有する。一実施形態において、セラミック部材1111aは、環状領域111bも有する。なお、環状静電チャックや環状絶縁部材のような、静電チャック1111を囲む他の部材が環状領域111bを有してもよい。この場合、リングアセンブリ112は、環状静電チャック又は環状絶縁部材の上に配置されてもよく、静電チャック1111と環状絶縁部材の両方の上に配置されてもよい。また、後述するRF電源31及び/又はDC電源32に結合される少なくとも1つのRF/DC電極がセラミック部材1111a内に配置されてもよい。この場合、少なくとも1つのRF/DC電極が下部電極として機能する。後述するバイアスRF信号及び/又はDC信号が少なくとも1つのRF/DC電極に供給される場合、RF/DC電極はバイアス電極とも呼ばれる。なお、基台1110の導電性部材と少なくとも1つのRF/DC電極とが複数の下部電極として機能してもよい。また、静電電極1111bが下部電極として機能してもよい。従って、基板支持部11は、少なくとも1つの下部電極を含む。
 リングアセンブリ112は、1又は複数の環状部材を含む。一実施形態において、1又は複数の環状部材は、1又は複数のエッジリングと少なくとも1つのカバーリングとを含む。エッジリングは、導電性材料又は絶縁材料で形成され、カバーリングは、絶縁材料で形成される。
 また、基板支持部11は、静電チャック1111、リングアセンブリ112及び基板のうち少なくとも1つをターゲット温度に調節するように構成される温調モジュールを含んでもよい。温調モジュールは、ヒータ、伝熱媒体、流路1110a、又はこれらの組み合わせを含んでもよい。流路1110aには、ブラインやガスのような伝熱流体が流れる。一実施形態において、流路1110aが基台1110内に形成され、1又は複数のヒータが静電チャック1111のセラミック部材1111a内に配置される。また、基板支持部11は、基板Wの裏面と中央領域111aとの間の間隙に伝熱ガスを供給するように構成された伝熱ガス供給部を含んでもよい。基板支持部11は、図1Bに示す基板支持部121aと同様に、複数のゾーンを有し、各ゾーンにヒータ電極を備えてもよい。各ゾーンのヒータ電極は、それぞれ独立して電力が供給可能に構成されてよい。すなわち、基板支持部11はゾーンごとに独立して温度制御可能に構成されてよい。
 シャワーヘッド13は、ガス供給部20からの少なくとも1つの処理ガスをプラズマ処理空間10s内に導入するように構成される。シャワーヘッド13は、少なくとも1つのガス供給口13a、少なくとも1つのガス拡散室13b、及び複数のガス導入口13cを有する。ガス供給口13aに供給された処理ガスは、ガス拡散室13bを通過して複数のガス導入口13cからプラズマ処理空間10s内に導入される。また、シャワーヘッド13は、少なくとも1つの上部電極を含む。なお、ガス導入部は、シャワーヘッド13に加えて、側壁10aに形成された1又は複数の開口部に取り付けられる1又は複数のサイドガス注入部(SGI:Side Gas Injector)を含んでもよい。
 ガス供給部20は、少なくとも1つのガスソース21及び少なくとも1つの流量制御器22を含んでもよい。一実施形態において、ガス供給部20は、少なくとも1つの処理ガスを、それぞれに対応のガスソース21からそれぞれに対応の流量制御器22を介してシャワーヘッド13に供給するように構成される。各流量制御器22は、例えばマスフローコントローラ又は圧力制御式の流量制御器を含んでもよい。さらに、ガス供給部20は、少なくとも1つの処理ガスの流量を変調又はパルス化する少なくとも1つの流量変調デバイスを含んでもよい。
 電源30は、少なくとも1つのインピーダンス整合回路を介してプラズマ処理チャンバ10に結合されるRF電源31を含む。RF電源31は、少なくとも1つのRF信号(RF電力)を少なくとも1つの下部電極及び/又は少なくとも1つの上部電極に供給するように構成される。これにより、プラズマ処理空間10sに供給された少なくとも1つの処理ガスからプラズマが形成される。従って、RF電源31は、プラズマ生成部12の少なくとも一部として機能し得る。また、バイアスRF信号を少なくとも1つの下部電極に供給することにより、基板Wにバイアス電位が発生し、形成されたプラズマ中のイオン成分を基板Wに引き込むことができる。
 一実施形態において、RF電源31は、第1のRF生成部31a及び第2のRF生成部31bを含む。第1のRF生成部31aは、少なくとも1つのインピーダンス整合回路を介して少なくとも1つの下部電極及び/又は少なくとも1つの上部電極に結合され、プラズマ生成用のソースRF信号(ソースRF電力)を生成するように構成される。一実施形態において、ソースRF信号は、10MHz~150MHzの範囲内の周波数を有する。一実施形態において、第1のRF生成部31aは、異なる周波数を有する複数のソースRF信号を生成するように構成されてもよい。生成された1又は複数のソースRF信号は、少なくとも1つの下部電極及び/又は少なくとも1つの上部電極に供給される。
 第2のRF生成部31bは、少なくとも1つのインピーダンス整合回路を介して少なくとも1つの下部電極に結合され、バイアスRF信号(バイアスRF電力)を生成するように構成される。バイアスRF信号の周波数は、ソースRF信号の周波数と同じであっても異なっていてもよい。一実施形態において、バイアスRF信号は、ソースRF信号の周波数よりも低い周波数を有する。一実施形態において、バイアスRF信号は、100kHz~60MHzの範囲内の周波数を有する。一実施形態において、第2のRF生成部31bは、異なる周波数を有する複数のバイアスRF信号を生成するように構成されてもよい。生成された1又は複数のバイアスRF信号は、少なくとも1つの下部電極に供給される。また、種々の実施形態において、ソースRF信号及びバイアスRF信号のうち少なくとも1つがパルス化されてもよい。
 また、電源30は、プラズマ処理チャンバ10に結合されるDC電源32を含んでもよい。DC電源32は、第1のDC生成部32a及び第2のDC生成部32bを含む。一実施形態において、第1のDC生成部32aは、少なくとも1つの下部電極に接続され、第1のDC信号を生成するように構成される。生成された第1のDC信号は、少なくとも1つの下部電極に印加される。一実施形態において、第2のDC生成部32bは、少なくとも1つの上部電極に接続され、第2のDC信号を生成するように構成される。生成された第2のDC信号は、少なくとも1つの上部電極に印加される。
 種々の実施形態において、第1及び第2のDC信号がパルス化されてもよい。この場合、電圧パルスのシーケンスが少なくとも1つの下部電極及び/又は少なくとも1つの上部電極に印加される。電圧パルスは、矩形、台形、三角形又はこれらの組み合わせのパルス波形を有してもよい。一実施形態において、DC信号から電圧パルスのシーケンスを生成するための波形生成部が第1のDC生成部32aと少なくとも1つの下部電極との間に接続される。従って、第1のDC生成部32a及び波形生成部は、電圧パルス生成部を構成する。第2のDC生成部32b及び波形生成部が電圧パルス生成部を構成する場合、電圧パルス生成部は、少なくとも1つの上部電極に接続される。電圧パルスは、正の極性を有してもよく、負の極性を有してもよい。また、電圧パルスのシーケンスは、1周期内に1又は複数の正極性電圧パルスと1又は複数の負極性電圧パルスとを含んでもよい。なお、第1及び第2のDC生成部32a,32bは、RF電源31に加えて設けられてもよく、第1のDC生成部32aが第2のRF生成部31bに代えて設けられてもよい。
 排気システム40は、例えばプラズマ処理チャンバ10の底部に設けられたガス排出口10eに接続され得る。排気システム40は、圧力調整弁及び真空ポンプを含んでもよい。圧力調整弁によって、プラズマ処理空間10s内の圧力が調整される。真空ポンプは、ターボ分子ポンプ、ドライポンプ又はこれらの組み合わせを含んでもよい。
<基板処理方法の一例>
 図4は、例示的な実施形態に係る基板処理方法(以下「本処理方法」ともいう。)を示すフローチャートである。図4に示すように、本処理方法は、基板を提供する工程ST11と、基板を現像する工程ST12とを含む。本処理方法は、熱処理システム(図1A参照)又はプラズマ処理システム(図2、図3参照)で実行されてよい。以下では、制御部200が熱処理装置100の各部を制御して、基板Wに対して本処理方法を実行する場合を例に説明する。
(工程ST11:基板の提供)
 まず、工程ST11において、基板Wが、熱処理装置100の処理チャンバ102内に提供される。基板Wは、昇降ピン123を介して基板支持部121上に提供される。基板Wが基板支持部121に配置された後、基板W又は基板支持部121の温度が所与の設定温度に調整される。基板W又は基板支持部121の温度調整は、側壁ヒータ104、ステージヒータ120、天井ヒータ130及び配管ヒータ160(以下併せて「各ヒータ」ともいう。)のうち1つ以上のヒータの出力を制御することで行なってよい。一例では、基板支持部121の温度は、工程ST11の前に設定温度に調整されてよい。すなわち、基板支持部121の温度が設定温度に調整された後に、基板支持部121上に基板Wが提供されてよい。
 図5は、工程ST11で提供される基板Wの断面構造の一例を示す図である。基板Wは、下地膜UFと、下地膜UF上に形成されたレジスト膜RMとを含む。基板Wは、半導体デバイスの製造に用いられてよい。半導体デバイスは、例えば、DRAM、3D-NANDフラッシュメモリ等のメモリデバイス及びロジックデバイスを含む。
 図5に示すように、レジスト膜RMは、露光された第1領域RM1と露光されていない第2領域RM2とを有する。第1領域RM1は、EUVによりEUV露光されたEUV露光領域である。第2領域RM2は、EUV露光されていない未露光領域である。第1領域RM1の膜厚は、第2領域RM2の膜厚より小さくてもよい。
 レジスト膜RMは、金属を含有する金属含有レジスト膜である。当該金属は、一例では、Sn、Hf及びTiからなる群から選択される少なくとも1種の金属を含んでよい。一例では、レジスト膜RMは、Snを含有し、酸化スズ(SnO)、水酸化スズ(Sn-OH結合)を含んでよい。レジスト膜RMはさらに有機物を含んでもよい。
 下地膜UFは、シリコンウェハ上に形成された有機膜、誘電体膜、金属膜又は半導体膜又はこれらの積層膜でよい。一実施形態において、下地膜UFは、例えば、シリコン含有膜、炭素含有膜及び金属含有膜からなる群から選択される少なくとも一種を含む。
 図6及び図7は、それぞれ、基板Wの下地膜UFの一例を示す図である。図6に示すように、下地膜UFは、第1膜UF1、第2膜UF2及び第3膜UF3から構成されてよい。図7に示すように下地膜UFは、第2膜UF2及び第3膜UF3から構成されてよい。
 第1膜UF1は、例えば、スピンオングラス(SOG)膜、SiC膜、SiON膜、Si含有反射防止膜(SiARC)又は有機膜である。第2膜UF2は、例えば、スピンオンカーボン(SOC)膜、アモルファスカーボン膜又はシリコン含有膜である。第3膜UF3は、例えば、シリコン含有膜である。シリコン含有膜は、例えば、シリコン酸化膜、シリコン窒化膜、シリコン酸窒化膜、シリコン炭窒化膜、多結晶シリコン膜又は炭素含有シリコン膜である。第3膜UF3は、積層された複数の種類のシリコン含有膜から構成されてよい。例えば、第3膜UF3は、交互に積層されたシリコン酸化膜とシリコン窒化膜とから構成されてよい。また第3膜UF3は、交互に積層されたシリコン酸化膜と多結晶シリコン膜とから構成されてもよい。また、第3膜UF3は、シリコン窒化膜、シリコン酸化膜及び多結晶シリコン膜を含む積層膜でもよい。また、第3膜UF3は、積層されたシリコン酸化膜とシリコン炭窒化膜とから構成されてよい。また第3膜UF3は、シリコン酸化膜、シリコン窒化膜、シリコン炭窒化膜を含む積層膜でもよい。
 一実施形態において、基板Wは次のように形成される。まず密着性処理等が施された下地膜上に金属を含有するフォトレジスト膜が成膜される。成膜は、ドライプロセスで行われてよく、また溶液塗布等のウェットプロセスで行われてもよく、またドライプロセスとウェットプロセスの双方で行われてもよい。なお、フォトレジスト膜の成膜前に、下地膜の表面改質処理を含んでもよい。フォトレジスト膜の成膜後の基板は、加熱処理、すなわちプリベーク(Post Applied Bake:PAB)を受ける。プリベーク後の基板には、追加の加熱処理が施されてもよい。加熱処理後の基板は露光装置に搬送され、露光マスク(レチクル)を介してフォトレジスト膜にEUV光が照射される。これにより、下地膜UFと、露光された第1領域RM1及び露光されていない第2領域RM2を有するレジスト膜RMとを有する基板Wが形成される。第1領域RM1は、露光マスク(レチクル)に設けられた開口に対応する領域である。第2領域RM2は、露光マスク(レチクル)に設けられたパターンに対応する領域である。EUVは、例えば、10~20nmの範囲の波長を有する。EUVは、11~14nmの範囲の波長を有してよく、一例では13.5nmの波長を有する。露光後の基板は、雰囲気管理下で露光装置から熱処理装置に搬送され、加熱処理、すなわちポストエクスポージャーベーク(Post Exposure Bake:PEB)を受ける。PEB後の基板Wには追加の加熱処理が施されてもよい。
(工程ST12:基板の現像)
 次に、工程ST12において、基板Wのレジスト膜RMが現像される。本処理方法において、現像により第2領域RM2が選択的に除去されてよい。一実施形態において、工程ST12は、第1の温度で基板を現像する工程ST120と、第1の温度と異なる第2の温度で基板を現像する工程ST121と、停止条件を満たすか否か判断する工程ST122とを含む。
(工程ST120:第1の温度での基板の現像)
 まず、工程ST120において、処理ガスがガスノズル141を介して処理チャンバ102内に供給される。一実施形態において、処理ガスは、ハロゲン含有ガスを含む。ハロゲン含有ガスは、ハロゲン含有無機酸を含むガスであってよく、BrやClを含む無機酸のガスでよい。ハロゲン含有無機酸を含むガスは、ハロゲン化水素及び/又はハロゲン化ホウ素を含むガスであってよい。ハロゲン含有無機酸を含むガスは、一例では、HBrガス、BClガス、HClガス、HIガス及びHFガスからなる群から選択される少なくとも1種である。一実施形態において、処理ガスは、有機酸を含むガスであってよい。有機酸を含むガスは、例えば、カルボン酸、β-ジカルボニル化合物及びアルコールからなる群から選択される少なくとも1種を含むガスであってよい。一実施形態において、処理ガスは、カルボン酸を含むガスである。カルボン酸は、一例では、ギ酸(HCOOH)、酢酸(CHCOOH)、トリクロロ酢酸(CClCOOH)、モノフルオロ酢酸(CFHCOOH)、ジフルオロ酢酸(CF2FCOOH)、トリフルオロ酢酸(CFCOOH)クロロ-ジフロロ酢酸(CClFCOOH)、硫黄含有の酢酸、チオ酢酸(CHCOSH)、チオグリコール酸(HSCHCOOH)、トリフルオロ酢酸無水物((CFCO)O)、無水酢酸((CHCO)O)でよい。一実施形態において、処理ガスは、β-ジカルボニル化合物を含む。β-ジカルボニル化合物は、一例では、アセチルアセトン(CHC(O)CHC(O)CH)、トリクロロアセチルアセトン(CClC(O)CHC(O)CH)、ヘキサクロロアセチルアセトン(CClC(O)CHC(O)CCl)、トリフルオロアセチルアセトンCFC(O)CHC(O)CH)、ヘキサフルオロアセチルアセトン(HFAc、CFC(O)CHC(O)CF)でよい。一実施形態において、第1の処理ガスは、アルコールを含む。アルコールは、一例では、ノナフルオロ-tert-ブチルアルコール((CFCOH)でよい。
 一実施形態において、処理ガスは、トリフルオロ酢酸を含むガスである。一実施形態において、処理ガスは、ハロゲン化されている有機酸蒸気を含む。処理ガスは、一例では、トリフルオロ酢酸無水物、無水酢酸、トリクロロ酢酸、CFHCOOH、CFHCOOH、クロロージフロロ酢酸、硫黄含有酢酸及びチオ酢酸及びチオグリコール酸からなる群から選択される少なくとも1つを含む。一実施形態において、処理ガスは、カルボン酸と水素ハライドとの混合ガス又は酢酸とギ酸との混合ガスである。一実施形態において、処理ガスは、酢酸を含むガスである。
 工程ST120では、基板W又は基板支持部121の温度は第1の温度に制御される。基板W又は基板支持部121の温度の調整は、各ヒータの1つ以上の出力を制御することで行われてよい。第1の温度は、第2領域RM2が第1領域RM1に対して十分な選択比で除去される範囲内で適宜選択されてよい。第1の温度は、処理ガスの種類に応じて適宜選択されてよい。例えば、処理ガスとしてHBrガスを用いる場合、第1の温度は、例えば10℃以上30℃以下でよく、10℃以上20℃以下でよく、一例では10℃である。工程ST120は、所与の期間が経過するまで、又は、所与の深さに第2領域RMが除去されるまで実行されてよい。所与の期間は、例えば0.1秒以上300秒以下でよく、また0.1秒以上60秒以下でもよく、また60秒以上300秒以下でもよい。
(工程ST121:第2の温度での基板の現像)
 工程ST121において、基板W又は基板支持部121の温度は第1の温度と異なる第2の温度に制御される。基板W又は基板支持部11の温度の調整は、各ヒータの1つ以上の出力を制御することで行われてよい。一実施形態において、第2の温度は、第1の温度より高い温度でよい。第2の温度は、処理ガスの種類に応じて適宜選択されてよい。例えば、処理ガスとしてHBrガスを用いる場合、40℃以上100℃以下でよく、また50℃以上100℃以下でよく、一例では60℃である。
 工程ST121において、処理ガスがガスノズル141を介して処理チャンバ102内に供給されてよい。一実施形態において、処理ガスの流量は、工程ST120における処理ガスの流量より小さい。工程ST121において、処理ガスが処理チャンバ102に供給されなくてもよい。
 工程ST121において処理ガスが供給される場合、処理ガスの種類は、工程ST120における処理ガスと同一でよく、また異なっていてもよい。一実施形態において、工程ST121において供給される処理ガスの酸性度は、工程ST120よりも小さい。すなわち、工程ST121において、工程ST120で使用する処理ガスより酸解離定数(pKa)が大きい処理ガスを使用してよい。例えば、処理ガスをHBrガス又はBCl(工程ST120)から、酢酸ガス等のカルボン酸ガス(工程ST121)に変更してよい。また工程ST121において、酸解離定数(pKa)が大きい処理ガスの流量(分圧)を工程ST120で使用する処理ガスにおける流量(分圧)よりも増加させてよい。例えば、工程ST120及び工程121において処理ガスが、HBrガスとカルボン酸ガスとの混合ガスである場合、カルボン酸ガスの流量(分圧)を工程ST121において増加させてよい。
 工程ST121において、処理チャンバ102内の圧力は、工程ST120と同一でよく、また異なっていてもよい。一実施形態において、工程ST121における処理チャンバ102内の圧力は、工程ST120における処理チャンバ102の圧力よりも低い。例えば、工程ST120における処理チャンバ102内の圧力を50mTorr以上500mTorr以下、50mTorr以上400mTorr以下、50mTorr以上300mTorr以下にしてよい。例えば、工程ST121における処理チャンバ10内の圧力を0.1mTorr以上100mTorr以下又は50mTorr以上100mTorr以下にしてよい。
 工程ST121は、所与の期間(例えば0.1秒以上300秒以下でよく、また0.1秒以上60秒以下でよく、また60秒以上300秒以下でもよい)が経過するまで又は所与の深さ第2領域RMが除去されるまで実行されてよい。
(工程ST122:判断)
 工程ST122では、工程ST12を終了するための所与の条件が満たされているか否かが判断される。工程ST122では、所与の条件が満たされていないと判断された場合には、工程ST120に戻り、所与の条件が満たされていると判断された場合には、工程ST12が終了する。所与の条件は、例えば、工程ST120及び工程ST121を含むサイクルが予め設定された回数繰り返し行われたことであり得る。当該回数は、1回又は複数回であってよい。所与の条件は、例えば、現像時間、すなわち工程ST12を開始してから経過した時間に関する条件でもよい。所与の条件は、例えば、工程ST121後にレジスト膜RMに形成される開口ないし凹部の深さやアスペクト比等の寸法に関する条件でもよい。この場合、工程ST121の後に、レジスト膜RMの寸法が所与の値や範囲に達したか否かを判断し、当該所与の値や範囲に達するまで工程ST120及び工程ST121のサイクルを繰り返してよい。レジスト膜RMの寸法は、光学的な測定装置で測定されてよい。
 一実施形態において、工程ST120及び工程ST121を含むサイクルが1回以上行われた後は、工程ST121の終了後に加えて、工程ST120の終了後においても所与の条件を満たしているかの判断が実行されてよい。そして所与の条件が満たされていると判断された場合は、工程ST121を実行せずに、工程ST12を終了してよい。
 一実施形態において、工程ST120と工程ST121との間に、処理チャンバ102内のガスを排気口131からパージする工程(以下「パージ工程」ともいう。)が実行されてよい。このとき処理チャンバ102内に不活性ガス等が供給されてよい。これにより、現像によって生じた反応生成物のガスや過剰な処理ガス等がパージされる。
 図8は、工程ST12の処理後の基板Wの断面構造の一例を示す図である。図8に示す例では、レジスト膜RMの第2領域RM2が除去され、開口OPが形成されている。開口OPは、第1領域RM1の側面によって規定される。開口OPは、当該側面に囲まれた、下地膜UF上の空間である。開口OPは、基板Wの平面視において、第2領域RM2に対応する形状(結果的にEUV露光に用いた露光マスクパターンに対応する形状)を有する。当該形状は、例えば、円、楕円、矩形、線やこれらの1種類以上を組み合わせた形状であってよい。レジスト膜RMには、複数の開口OPが形成されてよい。複数の開口OPは、それぞれ線形状を有し、一定の間隔で並んでラインアンドスペースのパターンを構成してもよい。また複数の開口OPが格子状に配列され、ピラーパターンを構成してもよい。
 本処理方法によれば、工程ST120と工程ST121とで基板W又は基板支持部121の温度を変更する。これにより、現像で生じる反応生成物の揮発量を調整することができる。そのため、反応生成物が揮発できずに現像速度が低下することや現像後に残渣が生じることが抑制され得る。
 図9は、工程ST12の一例を説明するための図である。図9において、横軸は時間を示す。また縦軸は、処置チャンバ102に供給される処理ガスの流量[sccm]、処理チャンバ102内の圧力[Torr]及び基板支持部121の温度[℃]を示す。処理ガスの流量の「Q1」は、処理ガスが供給されていないか、又は、「Q2」で示す流量よりも小さいことを示す。処理チャンバ102内の圧力の「P1」は「P2」よりも圧力が低いことを示す。基板支持部121の温度の「T1」は「T2」の温度よりも低いことを示す。「T1」は第1の温度に対応し、「T2」は第2の温度に対応する。図9は、工程ST12において、処理ガスの流量及び処理チャンバ102内の圧力一定に保ちつつ、基板支持部121の温度を「T1」(工程ST120)と「T2」(工程ST121)とで交互に変化させる場合の例である。
 図10は、図9に示す例において、基板Wの表面で生じる現象の一例を模式的に示す図である。工程ST120において、第2領域RM2のレジスト膜と処理ガスとの反応により生じた反応生成物Rが揮発して、第2領域RM2が除去される。現像が進行しレジスト膜RMに形成される凹部が深くなると、反応生成物Rが当該凹部内に滞留して内圧が上昇し、反応生成物Rの揮発が抑制される場合がある。この点、図9に示す例では、工程ST121において、基板支持部121の温度を工程ST120よりも高い温度(T2>T1)にして現像を行う。そのため、図10に示すように、反応生成物Rの揮発が工程ST120に比べて促進される。これにより、反応生成物Rが凹部内に滞留して現像速度が低下することが抑制され得る。
 高温での現像を続けると、第1領域RM1の現像速度が上昇し、第2領域RM2とともに除去され得る。この点、図9に示す例では、工程ST120における低温(T1)の現像と工程ST121における高温(T2)の現像とを交互に繰り返すので、高温(T2)での現像が続くことが回避される。これにより第1領域RM1が過度に除去されて膜厚が減少することが抑制され得る。すなわち、現像の選択比(第1の領域RM1の現像速度に対する第2領域RM2の現像速度の比であり、現像のコントラストとも呼ばれる。)が向上する。
 図11は、工程ST12の一例を説明するための図である。図11の縦軸及び横軸は図9と同様である。図11に示す例は、工程ST121において処理ガスが供給されない又は工程ST120よりも小さい流量で処理ガスが供給される点が図9に示す例と異なる。
 図11に示す例では、図9に示す例と同様、工程ST121において、基板支持部121の温度を工程ST120よりも高い温度(T2>T1)にして現像を行う。そのため、反応生成物Rの揮発が工程ST120に比べて促進される。これにより反応生成物Rが凹部内に滞留して現像速度が低下することが抑制され得る。また図11に示す例では、図9に示す例と同様、工程ST120における低温(T1)の現像と工程ST121における高温(T2)の現像とを交互に繰り返すので、高温(T2)での現像が続くことが回避される。また図11に示す例では、工程ST121における高温(T2)の現像において、処理ガスの供給量が工程ST120よりも減少するか又はゼロになる。これにより基板支持部121の温度上昇による第1領域RM1の現像速度の上昇が緩和され得る。以上により第1領域RM1が過度に除去されて膜厚が減少することが抑制され得る。すなわち、現像の選択比(第1の領域RM1の現像速度に対する第2領域RM2の現像速度の比であり、現像のコントラストとも呼ばれる。)が向上する。
 なお、図11に示す例では、処理ガスの流量の増加(減少)と基板支持部の温度の低下(上昇)のタイミングが一致しているが、各々のタイミングは全部又は一部異なっていても(位相がずれていても)よい。すなわち、工程ST121において、処理ガスの流量がQ1である期間と基板支持部の温度がT2である期間の少なくとも一部とが重複していればよい。
 図12は、工程ST12の一例を説明するための図である。図12の縦軸及び横軸は図9と同様である。図12に示す例は、工程ST120と工程ST121との間にパージ工程を含む点と、工程ST121において、処理チャンバ102内の圧力(P1)が工程ST120の圧力(P2)よりも小さい点が、図11に示す例と異なる。
 図12に示す例では、図9に示す例と同様、工程ST121において、基板支持部121の温度を工程ST120よりも高い温度(T2>T1)で現像を行う。そのため、反応生成物Rの揮発が工程ST120に比べて促進される。加えて、図12に示す例では、工程ST120と工程ST121との間にパージ工程を行う。これにより反応生成物Rが凹部内に滞留して現像速度が低下することが抑制され得る。また図12に示す例では、図9に示す例と同様、工程ST120における低温(T1)の現像と工程ST121における高温(T2)の現像とを交互に繰り返すので、高温(T2)での現像が続くことが回避される。さらに、図12に示す例では、工程ST121における高温(T2)での現像おいて、処理ガスの供給量が工程ST120よりも減少するか又はゼロになり、かつ、処理チャンバ102内の圧力が工程ST120よりも低下する。これにより基板支持部121の温度上昇による第1領域RM1の現像速度の上昇が緩和され得る。以上により第1領域RM1が過度に除去されて膜厚が減少することが抑制され得る。なお、図12に示す例では、処理ガスの流量の増加、チャンバ内の圧力の上昇、及び基板支持部の温度の低下のタイミングが一致しているが、各々のタイミングは全部又は一部異なっていても(位相がずれていても)よい。すなわち、工程ST121において、処理ガスの流量がQ1である期間と基板支持部の温度がT2である期間の少なくとも一部とが重複していればよい。
 一実施形態において、本処理方法は、プラズマ処理システム(図2及び図3参照)を用いて実行されてよい。例えば、プラズマ処理装置1の処理チャンバ10内の基板支持部11上に基板Wを提供し(工程ST11)、基板W又は基板支持部11の温度を温調モジュールにより調整することで、レジスト膜RMの現像を行う(工程ST12)ようにしてよい。なお、基板W又は基板支持部11の温度は、静電チャック1111と基板Wの裏面との間の伝熱ガス(例えばHe)の圧力を制御することで調整されてもよい。工程ST12で用いる処理ガスは、熱処理システムを用いる場合と同様でよい。工程ST120及び/又は工程ST121において、現像は処理ガスからプラズマを生成することで実行されてよい。すなわち、基板支持部11の下部電極及び/又はシャワーヘッド13の上部電極にソースRF信号が供給されてよい。このとき、基板支持部11の下部電極にバイアス信号が供給されてもよい。
 一実施形態において、工程ST12における現像処理は、熱処理とプラズマ処理との双方で行われてもよい。例えば、工程ST120及び工程ST121を熱処理により行うサイクル(以下、「熱処理サイクル」ともいう。)を1回以上実行した後、工程ST120及び工程ST121をプラズマ処理により行うサイクル(以下、「プラズマ処理サイクル」ともいう。)を1回以上実行してよい。また例えば、プラズマ処理サイクルを1回以上実行した後、熱処理サイクルを1回以上実行してもよい。また例えば、熱処理サイクルとプラズマ処理サイクルとを交互に複数回実行してもよい。また例えば、工程ST120を熱処理により行い、工程ST121をプラズマ処理で行ってもよく、工程ST120をプラズマ処理により行い、工程ST121を熱処理により行ってもよい。
 一実施形態において、工程ST12において、基板支持部(基板支持部121や基板支持部11)の温度を調整することに代えて、基板Wを直接加熱することで工程ST121における基板Wの温度を、工程ST120における基板Wの温度と異ならせてもよい。例えば、赤外光やマイクロ波等の電磁波を発生する装置を熱処理装置100やプラズマ処理装置1に設けて、当該装置により基板Wに電磁波を照射することで基板Wの温度を調整してよい。
 一実施形態において、本処理方法は、脱離(desorption)工程を含んでよい。脱離工程は、不活性ガス又は当該不活性ガスのプラズマにより、レジスト膜RMの表面及び下地膜UF表面のスカムを除去する(descum)又はレジスト膜RMの表面を滑らかにする(smoothing)ことを含む。脱離工程は、工程ST12の後で実行されてよい。脱離工程は、工程ST12における現像と現像の間に1又は複数回繰り返して実行されてもよい。脱離工程は、例えば、プラズマ処理装置1内で生成したプラズマに基板Wを暴露することで行われてよい。脱離工程は、例えば、リモートプラズマ源で励起した処理ガスを熱処理装置100の処理チャンバ102内に導入することで行われてよい。不活性ガスは、一例では、He、Ar、Ne、Kr、Xe等の貴ガスや窒素ガスである。
 一実施形態において、本処理方法は、工程ST12の後で、下地膜UFをエッチングする工程を含んでよい。エッチングは、例えば、プラズマ処理装置1の処理チャンバ10内で処理ガスからプラズマを生成することで行われてよい。エッチングにおいて、レジスト膜RMがマスクとして機能し、開口OPの形状に基づいて下地膜UFに凹部が形成される。なお、工程ST12でプラズマ処理装置1を用いて現像を行う場合、エッチング処理は、工程ST12と同一の処理チャンバ10内で連続して実行されてよく、また別のプラズマ処理装置1の処理チャンバ10内で実行されてもよい。
 図13は、本処理方法の変形例にかかるフローチャートである。図13に示すように、工程ST12は、上述した工程ST120と工程ST121に代えて、第1の現像条件で基板を現像する工程ST120Aと、第2の現像条件で基板を現像する工程ST121Aとを含んでよい。本変形例は、熱処理システム(図1A参照)又はプラズマ処理システム(図2、図3参照)で実行されてよい。
 第2の現像条件は、第1の現像条件と少なくとも一つの現像パラメータが異なる。一実施形態において、第2の現像条件は、2つ以上の現像パラメータが異なる。現像パラメータは、基板支持部(121、11)の温度、基板Wの温度、処理チャンバ(102、10)内の圧力、処理ガスの流量、処理ガスの種類及びレジデンスタイムを含んでよい。レジデンスタイムは、基板W上での処理ガスの滞留時間である。レジデンスタイムは、処理チャンバの容積をV、処理チャンバ内の圧力をP、処理ガスの流量をQとした場合、(P×V)/Qで表される。本処理方法が、プラズマ処理システム(図2及び図3参照)を用いて実行される場合、現像パラメータは、処理チャンバ10に供給されるプラズマ生成用のソースRF信号の電力のレベル、及び、処理チャンバ10に供給されるバイアス信号の電力又は電圧のレベルをさらに含んでよい。現像パラメータは、ソースRF信号の周波数をさらに含んでよい。ソースRF信号がパルス化される場合は、現像パラメータは、パルス化されたソースRF信号のデューティ比をさらに含んでよい。バイアス信号がバイアスRF信号である場合、現像パラメータは、バイアスRF信号の周波数をさらに含んでよい。バイアスRF信号がパルス化される場合は、現像信号は、パルス化されたバイアスRF信号のデューティ比をさらに含んでよい。バイアス信号が電圧パルスを含む場合、現像パラメータは、電圧パルスの周波数(パルス周波数)やデューティ比をさらに含んでよい。
 図14は、変形例における工程ST12の一例を説明するための図である。図14において、横軸は時間を示す。また縦軸は、処置チャンバ(102、10)に供給される処理ガスの流量[sccm]及び処理チャンバ(102、10)内の圧力[mTorr]を示す。処理ガスの流量の「Q1」は、処理ガスが供給されていないか、又は、「Q2」で示す流量よりも小さいことを示す。処理チャンバ102内の圧力の「P1」は「P2」よりも圧力が低いことを示す。図14は、第1の現像条件と第2の現像条件の現像パラメータのうち、処理ガスの流量と処理チャンバ内の圧力の2つを異ならせた場合の例である。なお、その余の現像パラメータは現像条件1と現像条件2とで同一でよい。また図14に示す例では、処理ガスの流量の増加(減少)、チャンバ内の圧力の低下(上昇)のタイミングが一致しているが、各々のタイミングは全部又は一部異なっていても(位相がずれていても)よい。すなわち、工程ST121Aにおいて、処理ガスの流量がQ1である期間とチャンバ内の圧力がP2である期間の少なくとも一部とが重複していればよい。
 図15は、変形例における工程ST12の一例を説明するための図である。図15において、横軸は時間を示す。また縦軸は、処置チャンバ(102、10)に供給される処理ガスに含まれる第1のガスG1及び第2のガスG2の流量[sccm]を示す。第1のガスの流量の「Q1」は、処理ガス中に含まれる第1のガスの流量がゼロか又は「Q2」で示す流量よりも小さいことを示す。第2のガスの流量の「Q3」は、処理ガス中に含まれる第2のガスの流量がゼロか又は「Q4」で示す流量よりも小さいことを示す。図15は、第1の現像条件と第2の現像条件の現像パラメータのうち、処理ガスの種類を異ならせた場合の例である。一実施形態において、第2のガスは、第1のガスよりも酸解離定数(pKa)が大きいガスを用いる。この場合、工程ST121Aで使用する処理ガスの酸性度は、工程ST120Aで使用する処理ガスの酸性度よりも小さくなる。なお、その余の現像パラメータは現像条件1と現像条件2とで同一でよい。また図15に示す例では、第1の処理ガスの流量の増加(減少)と、第2の処理ガスの減少(増加)のタイミングが一致しているが、各々のタイミングは全部又は一部異なっていても(位相がずれていても)よい。すなわち、工程ST121において、第1のガスの流量がQ1である期間と第2のガスの流量がQ4である期間の少なくとも一部とが重複していればよい。
 上述した例示的な実施形態では、工程ST12における現像において、レジスト膜RMの第2領域RM2が第1領域RM1に対して選択的に除去される。しかし、本処理方法はこれに限定されない。一実施形態では、工程ST12における現像において、レジスト膜RMの第1領域RM1が第2領域RM2に対して選択的に除去されてよい。
<実施例>
 次に、本処理方法の実施例について説明する。本開示は、以下の実施例によって何ら限定されるものではない。
(実施例1)
 実施例1では、プラズマ処理装置1(図3参照)を用いて本処理方法(図4参照)を基板W(図5参照)に適用してレジスト膜RMを現像した。
 工程ST11において、処理チャンバ10内の基板支持部11上に基板Wが提供された。基板Wのレジスト膜RMは、Snを含有する有機膜であり、EUV露光された第1領域RM1と、EUV露光されていない第2領域RM2を有していた。基板Wの下地膜UFはシリコン酸化膜であった。なお、第2領域RM2の膜厚は、第1の領域RM1の膜厚より1.3倍程大きかった。
 工程ST12では、工程ST120と、パージ工程と、工程ST122とを含んでいた。工程ST12では、ソースRF信号及びバイアス信号は供給されなかった。すなわち、工程ST12では、処理ガスからプラズマは生成されなかった。
 工程ST120は、60秒間実行された。工程ST120において、基板支持部11は10℃に調整された。処理ガスは、HBrガスとArガスとを含んでいた。処理チャンバ10内の圧力は、200mTorrに維持された。
 パージ工程は、30秒間実行された。パージにはArガスが用いられた。処理チャンバ10内の圧力は、10mTorr以下に維持された。
 工程ST121は、60秒間実行された。工程ST121において、基板支持部11は60℃に調整された。処理ガスは、Arガスを含んでいた。処理チャンバ10内の圧力は、10mTorr以下に維持された。
(参考例1)
 参考例1では、プラズマ処理装置1(図3参照)を用いて基板W(図5参照)のレジスト膜RMを現像した。現像は、実施例1の工程ST120と同様の条件(基板支持部11の温度10℃、処理チャンバ10の圧力200mTorr、HBrガス及びArガスを含む処理ガス)で連続して実行された。すなわち、参考例1では、実施例1とは異なり、パージ工程及び工程ST121は実行されなかった。
 図16は、実施例1及び参考例1にかかる現像の結果を示す図である。図16において、横軸の「t[sec]」は、現像時間[秒]を示し、縦軸の「D[a.u.]」は、レジスト膜RMの規格化された膜厚(基準厚に対する比)を示す。E1(RM1)は、実施例1の第1領域RM1の結果を示し、E1(RM2)は、実施例1の第2領域RM2の結果を示す。R1(RM1)は、参考例1の第1領域RM1の結果を示し、R1(RM2)は、参考例1の第2領域RM2の結果を示す。
 図16のとおり、実施例1では、工程ST120(0~60秒)、パージ工程(60秒~90秒)、工程ST121(90秒~150秒)、2回目の工程ST120(150秒~180秒)により、第2領域RM2が選択的に除去された。第1領域RM1の膜厚の減少は限定的であり、現像のコントラストもとれていた。これに対し、参考例1では、現像時間の経過とともに第2領域RM2の現像速度が低下し、また第1領域RM1との現像のコントラストもとれなくなり、第2領域RM2を選択的に除去できなかった。実施例1では、パージ工程を含むこと、及び、工程ST121で基板支持部11の温度を上昇させることにより、反応生成物が現像中に凹部内に滞留することが抑制され、第2領域RM2の現像が促進されたと考えられる。また実施例1では、工程ST121において処理ガスはHBrガスを含まず、また処理チャンバ10の圧力が工程ST120よりも低く維持された。これにより、基板支持部11の温度上昇による現像速度上昇の影響が緩和され、第1領域RM1が除去されてしまうことが抑制されたと考えられる。
<基板処理システムの構成例>
 図17は、例示的な実施形態にかかる基板処理システムSSの構成例を説明するためのブロック図である。基板処理システムSSは、第1のキャリアステーションCS1と、第1の処理ステーションPS1と、第1のインターフェイスステーションIS1と、露光装置EXと、第2のインターフェイスステーションIS2と、第2の処理ステーションPS2と、第2のキャリアステーションCS2と、制御部CTとを備える。
 第1のキャリアステーションCS1は、第1のキャリアステーションCS1と基板処理システムSSの外部のシステムとの間で第1のキャリアC1の搬入や搬出を行う。第1のキャリアステーションCS1は、複数の第1の載置板ST1を含む載置台を有する。各第1の載置板ST1上には、複数枚の基板Wを収容した状態又は空の状態の第1のキャリアC1が載置される。第1のキャリアC1は、複数枚の基板Wを内部に収容可能な筐体を有する。第1のキャリアC1は、一例では、FOUP(Front Opening Unified Pod)である。
 また、第1のキャリアステーションCS1は、第1のキャリアC1と第1の処理ステーションPS1との間で基板Wの搬送を行う。第1のキャリアステーションCS1は、第1の搬送装置HD1を更に備える。第1の搬送装置HD1は、第1のキャリアステーションCS1において、載置台と第1の処理ステーションPS1との間に位置するように設けられている。第1の搬送装置HD1は、各第1の載置板ST1上の第1のキャリアC1と、第1の処理ステーションPS1の第2の搬送装置HD2との間で基板Wの搬送及び受け渡しを行う。基板処理システムSSは、ロードロックモジュールを更に備えてよい。ロードロックモジュールは、第1のキャリアステーションCS1と第1の処理ステーションPS1との間に設けられ得る。ロードロックモジュールは、その内部の圧力を、大気圧又は真空に切り替えることができる。「大気圧」は、第1の搬送装置HD1の内部の圧力でありうる。「真空」は、大気圧よりも低い圧力であって、例えば0.1Pa~100Paの中真空であり得る。第2の搬送装置HD2の内部は大気圧又は真空であり得る。ロードロックモジュールは、例えば、大気圧である第1の搬送装置HD1から真空である第2の搬送装置HD2へ基板Wを搬送し、また真空である第2の搬送装置HD2から大気圧である第1の搬送装置HD1へ基板Wを搬送してよい。
 第1の処理ステーションPS1は、基板Wに対して各種処理を行う。一実施形態において、第1の処理ステーションPS1は、前処理モジュールPM1、レジスト膜形成モジュールPM2及び第1の熱処理モジュールPM3(以下あわせて「第1の基板処理モジュールPMa」ともいう。)を備える。また、第1の処理ステーションPS1は、基板Wを搬送する第2の搬送装置HD2を有する。第2の搬送装置HD2は、指定された2つの第1の基板処理モジュールPMaの間、及び、第1の処理ステーションPS1と第1のキャリアステーションCS1又は第1のインターフェイスステーションIS1との間で基板Wの搬送及び受け渡しを行う。
 前処理モジュールPM1において、基板Wに前処理が施される。一実施形態において、前処理モジュールPM1は、基板Wの温度を調整する温度調整ユニット、基板Wの温度を高精度に調整する高精度温調ユニット等を含む。一実施形態において、前処理モジュールPM1は、基板Wに表面改質処理を行う表面改質処理ユニットを含む。前処理モジュールPM1の各処理ユニットは、熱処理装置100(図1A参照)、プラズマ処理装置1(図2及び図3参照)及び/又はスピンコーター等の液処理装置を含んで構成されてよい。
 レジスト膜形成モジュールPM2において、基板Wにレジスト膜が形成される。一実施形態において、レジスト膜形成モジュールPM2は、ドライコーティングユニットを備える。ドライコーティングユニットは、気相堆積法等のドライプロセスを使用して基板W上にレジスト膜を形成する。ドライコーティングユニットは、一例では、チャンバ内に配置された基板W上に、レジスト膜を化学蒸着させるCVD装置若しくはALD装置又はレジスト膜を物理蒸着させるPVD装置を含む。ドライコーティングユニットは、熱処理装置100(図1参照)又はプラズマ処理装置1(図2及び図3参照)であってもよい。
 一実施形態において、レジスト膜形成モジュールPM2は、ウェットコーティングユニットを備える。ウェットコーティングユニットは、液相堆積法等のウェットプロセスを使用して基板W上にレジスト膜を形成する。ウェットコーティングユニットは、一例では、スピンコーター等の液処理装置であってよい。
 一実施形態において、レジスト膜形成モジュールPM2の例は、ウェットコーティングユニットとドライコーティングユニットの双方を含む。
 第1の熱処理モジュールPM3において、基板Wに熱処理がされる。一実施形態において、第1の熱処理モジュールPM3は、レジスト膜が形成された基板Wに加熱処理を行うプリベーク(PAB)ユニット、基板Wの温度を調整する温度調整ユニット及び基板Wの温度を高精度に調整する高精度温調ユニットのいずれか1つ以上を含む。これらの各ユニットは、それぞれ1又は複数の熱処理装置を有してよい。一例において、複数の熱処理装置は積層されていてよい。熱処理装置は、例えば、熱処理装置100(図1A参照)であってよい。それぞれの熱処理は所定温度で所定のガスを用いて行われてよい。
 第1のインターフェイスステーションIS1は、第3の搬送装置HD3を有する。第3の搬送装置HD3は、第1の処理ステーションPS1と露光装置EXとの間で基板Wの搬送及び受け渡しを行う。第3の搬送装置HD3は、基板Wを収容する筐体を有し、当該筐体内の温度、湿度、圧力等が制御可能に構成されてよい。
 露光装置EXは、露光マスク(レチクル)を用いて基板W上のレジスト膜を露光する。露光装置EXは、例えば、EUV光を発生する光源を有するEUV露光装置でよい。
 第2のインターフェイスステーションIS2は、第4の搬送装置HD4を有する。第4の搬送装置HD4は、露光装置EXと第2の処理ステーションPS2との間で基板Wの搬送や受け渡しを行う。第4の搬送装置HD4は、基板Wを収容する筐体を有し、当該筐体内の温度、湿度、圧力等が制御可能に構成されてよい。
 第2の処理ステーションPS2は、基板Wに対して各種処理を行う。一実施形態において、第2の処理ステーションPS2は、第2の熱処理モジュールPM4、測定モジュールPM5、現像モジュールPM6及び第3の熱処理モジュールPM7(以下あわせて「第2の基板処理モジュールPMb」ともいう。)を備える。また、第2の処理ステーションPS2は、基板Wを搬送する第5の搬送装置HD5を有する。第5の搬送装置HD5は、指定された2つの第2の基板処理モジュールPMbの間、及び、第2の処理ステーションPS2と第2のキャリアステーションCS2又は第2のインターフェイスステーションIS2との間で基板Wの搬送及び受け渡しを行う。
 第2の熱処理モジュールPM4において、基板Wに熱処理がされる。一実施形態において、熱処理モジュールPM4は、露光後の基板Wに加熱処理をするポストエクスポージャーベーク(PEB)ユニット、基板Wの温度を調整する温度調整ユニット及び基板Wの温度を高精度に調整する高精度温調ユニットのいずれか1つ以上を含む。これらの各ユニットは、それぞれ1又は複数の熱処理装置を有してよい。一例において、複数の熱処理装置は積層されていてよい。熱処理装置は、例えば、熱処理装置100(図1A参照)であってよい。それぞれの熱処理は所定温度で所定のガスを用いて行われてよい。
 測定モジュールPM5において、基板Wに対して各種測定が行われる。一実施形態において、測定モジュールPM5は、基板Wを載置する載置台、撮像装置、照明装置及び各種センサ(温度センサ、反射率測定センサ等)を含む撮像ユニットを備える。撮像装置は、例えば、基板Wの外観を撮像するCCDカメラでよい。或いは、撮像装置は、光を波長ごとに分光して撮影するハイパースペクトルカメラでもよい。ハイパースペクトルカメラは、レジスト膜のパターン形状、寸法、膜厚、組成及び膜密度のいずれか1つ以上を測定し得る。
 現像モジュールPM6において、基板Wに現像処理が施される。一実施形態において、現像モジュールPM6は基板Wに対するドライ現像を行うドライ現像ユニットを備える。ドライ現像ユニットは、例えば、熱処理装置100(図1A参照)又はプラズマ処理装置1(図2及び図3参照)であってよい。
 第3の熱処理モジュールPM7において、基板Wに熱処理が施される。一実施形態において、第3の熱処理モジュールPM7は、現像後の基板Wに加熱処理をするポストベーク(Post Bake:PB)ユニット、基板Wの温度を調整する温度調整ユニット及び基板Wの温度を高精度に調整する高精度温調ユニットのいずれか1つ以上を含む。これらの各ユニットは、それぞれ1又は複数の熱処理装置を有してよい。一例において、複数の熱処理装置は積層されていてよい。熱処理装置は、例えば、熱処理装置100(図1A参照)であってよい。それぞれの熱処理は所定温度で所定のガスを用いて行われてよい。
 第2のキャリアステーションCS2は、第2のキャリステーションCS2と基板処理システムSSの外部のシステムとの間で第2のキャリアC2の搬入及び搬出を行う。第2のキャリアステーションCS2の構成及び機能は、上述した第1のキャリステーションCS1と同様であってよい。
 制御部CTは、基板処理システムSSの各構成を制御して、基板Wに所与の処理を実行する。制御部CTは、プロセスの手順、プロセスの条件、搬送条件等が設定されたレシピを格納しており、当該レシピに従って、基板Wに所与の処理を実行するように、基板処理システムSSの各構成を制御する。制御部CTは、各制御部(図1A~図4に示す制御部200及び制御部2、並びに制御部400)の一部又は全部の機能を兼ねてよい。
<基板処理方法の一例>
 図18は、例示的な実施形態にかかる基板処理方法(以下「方法MT」ともいう。)を示すフローチャートである。図18に示すように、方法MTは、基板に前処理を施す工程ST100と、基板にレジスト膜を形成する工程ST200と、レジスト膜が形成された基板に加熱処理(プリベーク:PAB)を施す工程ST300と、基板に対するEUV露光を行う工程ST400と、露光後の基板に加熱処理(ポストエクスポージャーベーク:PEB)を施す工程ST500と、基板の測定を行う工程ST600と、基板のレジスト膜を現像する工程ST700と、現像後の基板に加熱処理(ポストベーク:PB)を施す工程ST800と、基板をエッチングする工程ST900と、を含む。方法MTは、上記各工程の1つ以上を含まなくてよい。例えば、方法MTは、工程ST600を含まなくてよく、工程ST500の後で工程ST700が実行されてよい。
 方法MTは、図17に示す基板処理システムSSを用いて実行されてよい。以下では、基板処理システムSSの制御部CTが基板処理システムSSの各部を制御して、基板Wに対して方法MTを実行する場合を例に説明する。
(工程ST100:前処理)
 まず、複数の基板Wを収容した第1のキャリアC1が、基板処理システムSSの第1のキャリアステーションCS1に搬入される。第1のキャリアC1は、第1の載置板ST1上に載置される。次に第1の搬送装置HD1により、第1のキャリアC1内の各基板Wが順次取り出され、第1の処理ステーションPS1の第2の搬送装置HD2に受け渡される。基板Wは、第2の搬送装置HD2により、前処理モジュールPM1に搬送される。前処理モジュールPM1により、基板Wに前処理が行われる。前処理は、例えば、基板Wの温度調整、基板Wの下地膜の一部又は全部の形成、基板Wの加熱処理及び基板Wの高精度温度調整の1つ以上を含んでよい。前処理は、基板Wの表面改質処理を含んでもよい。
(工程ST200:レジスト膜形成)
 次に、基板Wは、第2の搬送装置HD2により、レジスト膜形成モジュールPM2に搬送される。レジスト膜形成モジュールPM2により、基板W上にレジスト膜が形成される。一実施形態において、レジスト膜の形成は、ウェットプロセスで行われる。例えば、レジスト膜形成モジュールPM2のウェットコーティングユニットを用いて、基板W上にレジスト膜をスピンコーティングすることで、レジスト膜が形成される。一実施形態において、基板Wへのレジスト膜の形成は、気相堆積法等のドライプロセスにより行われる。例えば、レジスト膜形成モジュールPM2のドライコーティングユニットを用いて、基板W上にレジスト膜を蒸着することで、レジスト膜が形成される。
 なお、基板Wへのレジスト膜の形成は、ドライプロセスとウェットプロセスの双方を用いて行われてもよい。例えば、ドライプロセスにより基板Wに第1のレジスト膜を形成した後で、ウェットプロセスにより第1のレジスト膜上に第2のレジスト膜を形成してよい。この場合、第1のレジスト膜と第2のレジスト膜の膜厚、材料及び/又は組成は、同一でも異なってもよい。
(工程ST300:PAB)
 次に、基板Wは、第2の搬送装置HD2により、第1の熱処理モジュールPM3に搬送される。第1の熱処理モジュールPM3により、基板Wに加熱処理(プリベーク:PAB)が施される。プリベークは、大気雰囲気で行ってもよく、不活性雰囲気でおこなってもよい。また、プリベークは、基板Wを50℃以上又は80℃以上に加熱することにより行ってよい。基板Wの加熱温度は、250℃以下、200℃以下又は150℃以下であってよい。一例において、基板の加熱温度は50℃以上、250℃以下であってよい。工程ST200においてドライプロセスでレジスト膜を形成する場合、一実施形態において、プリベークは工程ST200を実行したドライコーティングユニットで連続して実行されてよい。一実施形態において、プリベーク後に、基板Wの端部のレジスト膜を除去する処理(Edge Bead Removal:EBR)が施されてよい。
(工程ST400:EUV露光)
 次に、基板Wは、第2の搬送装置HD2により、第1のインターフェイスステーションIS1の第3の搬送装置HD3に受け渡される。そして基板Wは、第3の搬送装置HD3により、露光装置EXに搬送される。基板Wは、露光装置EXにおいて露光マスク(レチクル)を介してEUV露光を受ける。これにより、基板Wには、露光マスク(レチクル)のパターンに対応して、EUV露光がなされた第1領域と、EUV露光がなされていない第2領域とが形成される。
(工程ST500:PEB)
 次に、基板Wは、第2のインターフェイスステーションIS2の第4の搬送装置HD4から第2の処理ステーションPS2の第5搬送装置HD5に受け渡される。そして基板Wは、第5の搬送装置HD5により、第2の熱処理モジュールPM4に搬送される。そして、基板Wには、第2の熱処理モジュールPM4において、加熱処理(ポストエクスポージャーベーク:PEB)が施される。ポストエクスポージャーベークは、大気雰囲気で行ってよい。また、ポストエクスポージャーベークは、基板Wを180℃以上250℃以下に加熱することにより行ってよい。 
(工程ST600:測定)
 次に、基板Wは、第5の搬送装置HD5により測定モジュールPM5に搬送される。測定モジュールPM5により、基板Wの測定がされる。測定は、光学的な測定であってもよく、他の測定であってもよい。一実施形態において、測定モジュールPM5による測定はCCDカメラを用いた基板Wの外観及び/又は寸法の測定を含む。一実施形態において、測定モジュールPM5による測定はハイパースペクトルカメラを用いたレジスト膜のパターン形状、寸法、膜厚、組成、膜密度のいずれか1つ以上(以下「パターン形状等」ともいう。)の測定を含む。
 一実施形態において、制御部CTは、測定された基板Wの外観や寸法、及び/又は、パターン形状等に基づいて、当該基板Wの露光異常の有無を判定する。一実施形態において、制御部CTにおいて露光異常があると判定された場合、工程ST700による現像行うことなく、基板Wのリワークまたは破棄が行われてよい。基板Wのリワークは、基板W上のレジストを除去し、再度、工程ST200に戻ってレジスト膜を形成することで行なってよい。現像後のリワークは基板Wへの損傷を伴うことがあるが、現像前にリワークを行うことで、基板Wへの損傷を回避又は抑制し得る。
(工程ST700:現像)
 次に、基板Wは、第5の搬送装置HD5により、現像モジュールPM6に搬送される。現像モジュールPM6において、基板Wのレジスト膜が現像される。現像処理は、ドライ現像で行ってよい。工程ST700における現像処理は、本処理方法(図4、図13参照)で行われてよい。現像処理の後で又は現像処理の間に、脱離(desorption)処理が1回以上実行されてよい。脱離処理は、ヘリウム等の不活性ガス又は当該不活性ガスのプラズマにより、レジスト膜の表面からスカムを除去する(descum)又は表面を滑らかにする(smoothing)ことを含む。また、現像処理モジュールPM6では、現像処理の後に、現像されたレジスト膜をマスクとして、下地膜の一部をエッチングしてよい。
(工程ST800:PB)
 次に、基板Wは、第5の搬送装置HD5により、第3の熱処理モジュールPM7に搬送され、加熱処理(ポストベーク)が施される。ポストベークは、大気雰囲気で行ってよく、N又はOを含む減圧雰囲気で行ってもよい。また、ポストベークは、基板Wを150℃以上250℃以下に加熱することにより行ってよい。ポストベークは、第3の熱処理モジュールPM7に代えて、第2の熱処理モジュールPM4で行ってもよい。一実施形態において、ポストベーク後に、測定モジュールPM4PM5により基板Wの光学的な測定がされてよい。かかる測定は、工程ST600における測定に加えてまたは工程ST600における測定に代えて実行されてよい。一実施形態において、制御部CTは、測定された基板Wの外観や寸法、及び/又は、パターン形状等に基づいて、当該基板Wの現像パターンの欠陥、傷、異物の付着等の異常の有無等を判定する。一実施形態において、制御部CTにおいて異常があると判定された場合、工程ST900によるエッチングを行うことなく、基板Wのリワークまたは破棄が行われてよい。一実施形態において、制御部CTにおいて異常があると判断された場合、ドライコーティングユニット(CVD装置、ALD装置等)を用いて基板Wのレジスト膜の開口寸法が調整されてよい。
(工程ST900:エッチング)
 工程ST800の実行後、基板Wは、第5の搬送装置HD5により第2のキャリアステーションCS2の第6の搬送装置HD6に受け渡され、第6の搬送装置HD6により第2の載置板ST2の第2のキャリアC2に搬送される。その後、第2のキャリアC2はプラズマ処理システム(図示せず)に搬送される。プラズマ処理システムは、例えば、図2及び図3で示したプラズマ処理システムであってよい。プラズマ処理システムにおいて、現像後のレジスト膜をマスクとして基板Wの下地膜UFがエッチングされる。以上により、方法MTが終了する。なお、工程ST700において、プラズマ処理装置を用いてレジスト膜を現像する場合、エッチングは、当該プラズマ処理装置のプラズマ処理チャンバ内で続けて実行されてよい。また、第2の処理ステーションPS2が、現像モジュールPM6に加えて、プラズマ処理モジュールを備える場合には、エッチングは、当該プラズマ処理モジュール内で実行されてもよい。エッチングの前に又はエッチングの間に上述した脱離処理が1回以上実行されてよい。
 本開示の実施形態は、以下の態様をさらに含む。
(付記1)
 基板処理方法であって、
 (a)下地膜と前記下地膜上に形成された金属含有レジスト膜とを有する基板をチャンバ内の基板支持部上に提供する工程であって、前記金属含有レジスト膜は露光された第1領域と露光されていない第2領域とを有する工程と、
 (b)前記チャンバに処理ガスを供給して前記基板を現像し、前記金属含有レジスト膜から前記第2領域を選択的に除去する工程と、を含み、
 前記(b)の工程は、
  (b1)前記基板又は前記基板支持部の温度を第1の温度に制御して現像を行う工程と、
  (b2)前記基板又は前記基板支持部の温度を前記第1の温度と異なる第2の温度に制御して現像を行う工程と、を含む、
基板処理方法。
(付記2)
 前記第2の温度は、前記第1の温度よりも高い、付記1に記載の基板処理方法。
(付記3)
 前記(b2)の工程において、前記チャンバに処理ガスが供給されないか、又は、前記チャンバに供給される処理ガスの流量が前記(b1)の工程において前記チャンバに供給される処理ガスの流量よりも小さい、付記1又は付記2に記載の基板処理方法。
(付記4)
 前記(b2)の工程における前記チャンバ内の圧力は、前記(b1)の工程における前記チャンバ内の圧力よりも低い、付記1から付記3のいずれか1つに記載の基板処理方法。
(付記5)
 前記(b)の工程は、前記(b1)の工程と前記(b2)の工程との間に、前記チャンバ内の前記処理ガスをパージする工程をさらに含む、付記1から付記4のいずれか1つに記載の基板処理方法。
(付記6)
 前記(b1)の工程と前記(b2)の工程において、前記チャンバに一定の流量で処理ガスが供給される、付記1から付記5のいずれか1つに記載の基板処理方法。
(付記7)
 基板処理方法であって、
 (a)下地膜と前記下地膜上に形成された金属含有レジスト膜とを有する基板をチャンバ内の基板支持部に提供する工程であって、前記金属含有レジスト膜は露光された第1領域と露光されていない第2領域とを有する工程と、
 (b)前記チャンバに処理ガスを供給して前記基板を現像し、前記金属含有レジスト膜から前記第1領域及び前記第2領域のいずれかを選択的に除去する工程と、を含み、
 前記(b)の工程は、
  (b1)第1の現像条件で前記基板の現像を行う工程と、
  (b2)前記第1の現像条件と異なる第2の現像条件で前記基板の現像を行う工程であって、前記第2の現像条件は、前記基板又は前記基板支持部の温度、前記チャンバ内の圧力、前記処理ガスの流量、前記処理ガスの種類及び前記基板に対する前記処理ガスのレジデンスタイムを含む現像パラメータの少なくとも1つが前記第1の現像条件と異なる工程と、を含む、
基板処理方法。
(付記8)
 前記(b)の工程において、前記現像は処理ガスから生成したプラズマを用いて行われ、前記現像パラメータは、前記チャンバに供給されるプラズマ生成用のソースRF信号の電力のレベル、及び、前記チャンバに供給されるバイアス信号の電力又は電圧のレベルをさらに含む、付記7に記載の基板処理方法。
(付記9)
 前記バイアス信号はバイアスRF信号又は電圧パルスを含み、
 前記現像パラメータは、前記ソースRF信号の周波数、前記バイアスRF信号の周波数及び前記電圧パルスの周波数の少なくともいずれかを1つさらに含む、付記8に記載の基板処理方法。
(付記10)
 前記ソースRF信号及び前記バイアスRF信号の少なくとも一方がパルス化されており、前記現像パラメータは、パルス化された前記ソースRF信号のデューティ比及びパルス化された前記バイアス信号のデューティ比の少なくともいずれかをさらに含む、付記8又は付記9に記載の基板処理方法。
(付記11)
 前記(b2)の工程において、前記第2の現像条件は、前記現像パラメータの2つ以上が前記第1の現像条件と異なる、付記7から付記10のいずれか1つに記載の基板処理方法。
(付記12)
 基板処理方法であって、
 前記(b)の工程において、前記(b1)の工程と前記(b2)の工程とが繰り返される、付記1から付記11のいずれか1つに記載の基板処理方法。
(付記13)
 前記(b)の工程において、前記(b1)の工程と前記(b2)の工程とを含むサイクルが1回以上実施された後、さらに前記(b1)の工程が実施される、付記1から付記11のいずれか1つに記載の基板処理方法。
(付記14)
 前記(b)の工程は、前記(b1)の工程と前記(b2)の工程とを含むサイクルを前記処理ガスからプラズマを生成せずに1回以上実施した後に、前記(b1)の工程と前記(b2)の工程とを含むサイクルを前記処理ガスからプラズマを生成して一回以上実施する工程を含む、付記1から付記11のいずれか1つに記載の基板処理方法。
(付記15)
 前記(b)の工程は、前記(b1)の工程と前記(b2)の工程とを含むサイクルを前記処理ガスからプラズマを生成して1回以上実施した後に、前記(b1)の工程と前記(b2)の工程とを含むサイクルを前記処理ガスからプラズマを生成せずに一回以上実施する工程を含む、付記1から付記11のいずれか1つに記載の基板処理方法。
(付記16)
 前記(b1)の工程及び前記(b2)の工程の少なくとも一方において、前記処理ガスから生成したプラズマを用いて前記第1領域又は前記第2領域を選択的に除去する、付記1から付記11のいずれか1つに記載の基板処理方法。
(付記17)
 前記金属含有レジスト膜は、Sn、Hf及びTiからなる群から選択される少なくとも1種の金属を含む、付記1から付記16のいずれか1つに記載の基板処理方法。
(付記18)
  前記処理ガスは、ハロゲン含有ガスを含む、付記1から付記17のいずれか1つに記載の基板処理方法。
(付記19)
 前記(b1)の工程で用いる処理ガスの酸性度と、前記(b2)の工程で用いる処理ガスの酸性度が異なる、付記1から付記18のいずれか1つに記載の基板処理方法。
(付記20)
 (c)前記(b)の工程の後で、前記現像後の金属含有膜をマスクとして前記下地膜をエッチングする工程をさらに備える、付記1から付記19のいずれか1つに記載の基板処理方法。
(付記21)
 前記(c)の工程は、前記(b)の工程で用いたチャンバとは異なるチャンバ内で実行される、付記20に記載の基板処理方法。
(付記22)
 前記(c)の工程は、前記(b)の工程で用いたチャンバ内で実行される、付記20に記載の基板処理方法。
(付記23)
 前記第1領域はEUV露光されている、付記1から付記22のいずれか1つに記載の基板処理方法。
(付記24)
 前記基板又は前記基板支持部の温度は、前記基板支持部内のヒータの出力、前記基板支持部を収容するチャンバの側壁内のヒータの出力、前記チャンバの天井内のヒータの出力、前記基板支持部内を流れる伝熱流体の温度、前記基板の裏面と前記基板支持部の表面との間に供給される伝熱ガスの圧力及び前記基板の表面に対して照射するように構成された電磁波の出力からなる群から選択される少なくとも1種により制御される、付記1~付記23のいずれか1つに記載の基板処理方法。
(付記25)
 チャンバを有する基板処理装置と制御部とを有する基板処理システムであって、前記制御部は、前記基板処理装置に対して、
 (a)下地膜と前記下地膜上に形成された金属含有レジスト膜とを有する基板をチャンバの基板支持部に提供する制御であって、前記金属含有レジスト膜は露光された第1領域と露光されていない第2領域とを有する制御と、
 (b)前記チャンバに処理ガスを供給して前記基板を現像し、前記金属含有レジスト膜から前記第2領域を選択的に除去する制御と、を実行するように構成され、
 前記(b)の制御は、
  (b1)前記基板又は前記基板支持部の温度を第1の温度に制御して現像を行う制御と、
  (b2)前記基板又は前記基板支持部の温度を前記第1の温度と異なる第2の温度に制御して現像を行う制御と、を含む、
基板処理システム。
(付記26)
 チャンバを有する基板処理装置と制御部とを有する基板処理システムであって、前記制御部は、前記基板処理装置に対して、
 (a)下地膜と前記下地膜上に形成された金属含有レジスト膜とを有する基板をチャンバ内の基板支持部に提供する制御であって、前記金属含有レジスト膜は露光された第1領域と露光されていない第2領域とを有する制御と、
 (b)前記チャンバに処理ガスを供給して前記基板を現像し、前記金属含有レジスト膜から前記第1領域及び前記第2領域のいずれかを選択的に除去する制御と、を実行するように構成され、
 前記(b)の制御は、
  (b1)第1の現像条件で前記基板の現像を行う制御と、
  (b2)前記第1の現像条件と異なる第2の現像条件で前記基板の現像を行う制御であって、前記第2の現像条件は、前記基板の温度、前記チャンバ内の圧力、前記処理ガスの流量、前記処理ガスの種類及び前記基板に対する前記処理ガスのレジデンスタイムを含む現像パラメータの少なくとも1つが前記第1の現像条件と異なる制御と、を含む
基板処理システム。
(付記27)
 デバイス製造方法であって、
 (a)下地膜と前記下地膜上に形成された金属含有レジスト膜とを有する基板をチャンバ内の基板支持部上に提供する工程であって、前記金属含有レジスト膜は露光された第1領域と露光されていない第2領域とを有する工程と、
 (b)前記チャンバに処理ガスを供給して前記基板を現像し、前記金属含有レジスト膜から前記第2領域を選択的に除去する工程と、を含み、
 前記(b)の工程は、
  (b1)前記基板又は前記基板支持部の温度を第1の温度に制御して現像を行う工程と、
  (b2)前記基板又は前記基板支持部の温度を前記第1の温度と異なる第2の温度に制御して現像を行う工程と、を含む、
デバイス製造方法。
(付記28)
 デバイス製造方法であって、
 (a)下地膜と前記下地膜上に形成された金属含有レジスト膜とを有する基板をチャンバ内の基板支持部に提供する工程であって、前記金属含有レジスト膜は露光された第1領域と露光されていない第2領域とを有する工程と、
 (b)前記チャンバに処理ガスを供給して前記基板を現像し、前記金属含有レジスト膜から前記第1領域及び前記第2領域のいずれかを選択的に除去する工程と、を含み、
 前記(b)の工程は、
  (b1)第1の現像条件で前記基板の現像を行う工程と、
  (b2)前記第1の現像条件と異なる第2の現像条件で前記基板の現像を行う工程であって、前記第2の現像条件は、前記基板又は前記基板支持部の温度、前記チャンバ内の圧力、前記処理ガスの流量、前記処理ガスの種類及び前記基板に対する前記処理ガスのレジデンスタイムを含む現像パラメータの少なくとも1つが前記第1の現像条件と異なる工程と、を含む、
 デバイス製造方法。
(付記29)
 1又は複数の基板処理装置と制御部とを有する基板処理システムのコンピュータに、
 (a)下地膜と前記下地膜上に形成された金属含有レジスト膜とを有する基板をチャンバの基板支持部に提供する制御であって、前記金属含有レジスト膜は露光された第1領域と露光されていない第2領域とを有する制御と、
 (b)前記チャンバに処理ガスを供給して前記基板を現像し、前記金属含有レジスト膜から前記第2領域を選択的に除去する制御と、を実行させるプログラムであって、
 前記(b)の制御は、
  (b1)前記基板又は前記基板支持部の温度を第1の温度に制御して現像を行う制御と、
  (b2)前記基板又は前記基板支持部の温度を前記第1の温度と異なる第2の温度に制御して現像を行う制御と、を含む、
プログラム。
(付記30)
 1又は複数の基板処理装置と制御部とを有する基板処理システムのコンピュータに、
 (a)下地膜と前記下地膜上に形成された金属含有レジスト膜とを有する基板をチャンバ内の基板支持部に提供する制御であって、前記金属含有レジスト膜は露光された第1領域と露光されていない第2領域とを有する制御と、
 (b)前記チャンバに処理ガスを供給して前記基板を現像し、前記金属含有レジスト膜から前記第1領域及び前記第2領域のいずれかを選択的に除去する制御と、を実行させるプログラムであって、
 前記(b)の制御は、
  (b1)第1の現像条件で前記基板の現像を行う制御と、
  (b2)前記第1の現像条件と異なる第2の現像条件で前記基板の現像を行う制御であって、前記第2の現像条件は、前記基板又は前記基板支持部の温度、前記チャンバ内の圧力、前記処理ガスの流量、前記処理ガスの種類及び前記基板に対する前記処理ガスのレジデンスタイムを含む現像パラメータの少なくとも1つが前記第1の現像条件と異なる制御と、を含む
プログラム。
(付記31)
 付記29又は付記30に記載のプログラムを格納した、記憶媒体。
 以上の各実施形態は、説明の目的で記載されており、本開示の範囲を限定することを意図するものではない。各実施形態は、本開示の範囲及び趣旨から逸脱することなく種々の変形をなし得る。例えば、ある実施形態における一部の構成要素を、他の実施形態に追加することができる。また、ある実施形態における一部の構成要素を、他の実施形態の対応する構成要素と置換することができる。
1……プラズマ処理装置、2……制御部、10……プラズマ処理チャンバ、1……基板支持部、20……ガス供給部、30……電源、100……熱処理装置、102……処理チャンバ、120……ステージヒータ、121……基板支持部、141……ガスノズル、200……制御部、OP……開口、RM……レジスト膜、RM1……第1領域、RM2……第2領域、UF……下地膜、W……基板

Claims (26)

  1.  基板処理方法であって、
     (a)下地膜と前記下地膜上に形成された金属含有レジスト膜とを有する基板をチャンバ内の基板支持部上に提供する工程であって、前記金属含有レジスト膜は露光された第1領域と露光されていない第2領域とを有する工程と、
     (b)前記チャンバに処理ガスを供給して前記基板を現像し、前記金属含有レジスト膜から前記第2領域を選択的に除去する工程と、を含み、
     前記(b)の工程は、
      (b1)前記基板又は前記基板支持部の温度を第1の温度に制御して現像を行う工程と、
      (b2)前記基板又は前記基板支持部の温度を前記第1の温度と異なる第2の温度に制御して現像を行う工程と、を含む、
    基板処理方法。
  2.  前記第2の温度は、前記第1の温度よりも高い、請求項1に記載の基板処理方法。
  3.  前記(b2)の工程において、前記チャンバに処理ガスが供給されないか、又は、前記チャンバに供給される処理ガスの流量が前記(b1)の工程において前記チャンバに供給される処理ガスの流量よりも小さい、請求項1又は請求項2に記載の基板処理方法。
  4.  前記(b2)の工程における前記チャンバ内の圧力は、前記(b1)の工程における前記チャンバ内の圧力よりも低い、請求項1又は請求項2に記載の基板処理方法。
  5.  前記(b)の工程は、前記(b1)の工程と前記(b2)の工程との間に、前記チャンバ内の前記処理ガスをパージする工程をさらに含む、請求項1又は請求項2に記載の基板処理方法。
  6.  前記(b1)の工程と前記(b2)の工程において、前記チャンバに一定の流量で処理ガスが供給される、請求項1又は請求項2に記載の基板処理方法。
  7.  基板処理方法であって、
     (a)下地膜と前記下地膜上に形成された金属含有レジスト膜とを有する基板をチャンバ内の基板支持部に提供する工程であって、前記金属含有レジスト膜は露光された第1領域と露光されていない第2領域とを有する工程と、
     (b)前記チャンバに処理ガスを供給して前記基板を現像し、前記金属含有レジスト膜から前記第1領域及び前記第2領域のいずれかを選択的に除去する工程と、を含み、
     前記(b)の工程は、
      (b1)第1の現像条件で前記基板の現像を行う工程と、
      (b2)前記第1の現像条件と異なる第2の現像条件で前記基板の現像を行う工程であって、前記第2の現像条件は、前記基板又は前記基板支持部の温度、前記チャンバ内の圧力、前記処理ガスの流量、前記処理ガスの種類及び前記基板に対する前記処理ガスのレジデンスタイムを含む現像パラメータの少なくとも1つが前記第1の現像条件と異なる工程と、を含む、
    基板処理方法。
  8.  前記(b)の工程において、前記現像は前記処理ガスから生成したプラズマを用いて行われ、前記現像パラメータは、前記チャンバに供給されるプラズマ生成用のソースRF信号の電力のレベル、及び、前記チャンバに供給されるバイアス信号の電力又は電圧のレベルをさらに含む、請求項7に記載の基板処理方法。
  9.  前記バイアス信号はバイアスRF信号又は電圧パルスを含み、
     前記現像パラメータは、前記ソースRF信号の周波数、前記バイアスRF信号の周波数及び前記電圧パルスの周波数の少なくともいずれかを1つさらに含む、請求項8に記載の基板処理方法。
  10.  前記ソースRF信号及び前記バイアスRF信号の少なくとも一方がパルス化されており、前記現像パラメータは、パルス化された前記ソースRF信号のデューティ比及びパルス化された前記バイアス信号のデューティ比の少なくともいずれかをさらに含む、請求項8に記載の基板処理方法。
  11.  前記(b2)の工程において、前記第2の現像条件は、前記現像パラメータの2つ以上が前記第1の現像条件と異なる、請求項7から請求項10のいずれか1つに記載の基板処理方法。
  12.  前記(b)の工程において、前記(b1)の工程と前記(b2)の工程とが繰り返される、請求項1又は請求項7に記載の基板処理方法。
  13.  前記(b)の工程において、前記(b1)の工程と前記(b2)の工程とを含むサイクルが1回以上実施された後、さらに前記(b1)の工程が実施される、請求項1又は請求項7に記載の基板処理方法。
  14.  前記(b)の工程は、前記(b1)の工程と前記(b2)の工程とを含むサイクルを前記処理ガスからプラズマを生成せずに1回以上実施した後に、前記(b1)の工程と前記(b2)の工程とを含むサイクルを前記処理ガスからプラズマを生成して一回以上実施する工程を含む、請求項1又は請求項7に記載の基板処理方法。
  15.  前記(b)の工程は、前記(b1)の工程と前記(b2)の工程とを含むサイクルを前記処理ガスからプラズマを生成して1回以上実施した後に、前記(b1)の工程と前記(b2)の工程とを含むサイクルを前記処理ガスからプラズマを生成せずに一回以上実施する工程を含む、請求項1又は請求項7に記載の基板処理方法。
  16.  前記(b1)の工程及び前記(b2)の工程の少なくとも一方において、前記処理ガスから生成したプラズマを用いて前記第1領域又は前記第2領域を選択的に除去する、請求項1又は請求項7に記載の基板処理方法。
     
  17.  前記金属含有レジスト膜は、Sn、Hf及びTiからなる群から選択される少なくとも1種の金属を含む、請求項1又は請求項7に記載の基板処理方法。
  18.  前記処理ガスは、ハロゲン含有ガスを含む、請求項1又は請求項7に記載の基板処理方法。
  19.  前記(b1)の工程で用いる処理ガスの酸性度と、前記(b2)の工程で用いる処理ガスの酸性度が異なる、請求項1又は請求項7に記載の基板処理方法。
  20.  (c)前記(b)の工程の後で、現像後の金属含有膜をマスクとして前記下地膜をエッチングする工程をさらに備える、請求項1又は請求項7に記載の基板処理方法。
  21.  前記(c)の工程は、前記(b)の工程で用いたチャンバとは異なるチャンバ内で実行される、請求項20に記載の基板処理方法。
  22.  前記(c)の工程は、前記(b)の工程で用いたチャンバ内で実行される、請求項20に記載の基板処理方法。
  23.  前記第1領域はEUV露光されている、請求項1又は請求項7に記載の基板処理方法。
  24.  前記基板又は前記基板支持部の温度は、前記基板支持部内のヒータの出力、前記基板支持部を収容するチャンバの側壁内のヒータの出力、前記チャンバの天井内のヒータの出力、前記基板支持部内を流れる伝熱流体の温度、前記基板の裏面と前記基板支持部の表面との間に供給される伝熱ガスの圧力及び前記基板の表面に対して照射するように構成された電磁波の出力からなる群から選択される少なくとも1種により制御される、請求項1又は請求項7に記載の基板処理方法。
  25.  チャンバを有する基板処理装置と制御部とを有する基板処理システムであって、前記制御部は、前記基板処理装置に対して、
     (a)下地膜と前記下地膜上に形成された金属含有レジスト膜とを有する基板をチャンバの基板支持部に提供する制御であって、前記金属含有レジスト膜は露光された第1領域と露光されていない第2領域とを有する制御と、
     (b)前記チャンバに処理ガスを供給して前記基板を現像し、前記金属含有レジスト膜から前記第2領域を選択的に除去する制御と、を実行するように構成され、
     前記(b)の制御は、
      (b1)前記基板又は前記基板支持部の温度を第1の温度に制御して現像を行う制御と、
      (b2)前記基板又は前記基板支持部の温度を前記第1の温度と異なる第2の温度に制御して現像を行う制御と、を含む、
    基板処理システム。
  26.  チャンバを有する基板処理装置と制御部とを有する基板処理システムであって、前記制御部は、前記基板処理装置に対して、
     (a)下地膜と前記下地膜上に形成された金属含有レジスト膜とを有する基板をチャンバ内の基板支持部に提供する制御であって、前記金属含有レジスト膜は露光された第1領域と露光されていない第2領域とを有する制御と、
     (b)前記チャンバに処理ガスを供給して前記基板を現像し、前記金属含有レジスト膜から前記第1領域及び前記第2領域のいずれかを選択的に除去する制御と、を実行するように構成され、
     前記(b)の制御は、
      (b1)第1の現像条件で前記基板の現像を行う制御と、
      (b2)前記第1の現像条件と異なる第2の現像条件で前記基板の現像を行う制御であって、前記第2の現像条件は、前記基板又は前記基板支持部の温度、前記チャンバ内の圧力、前記処理ガスの流量、前記処理ガスの種類及び前記基板に対する前記処理ガスのレジデンスタイムを含む現像パラメータの少なくとも1つが前記第1の現像条件と異なる制御と、を含む、
    基板処理システム。
     
PCT/JP2023/033080 2022-09-13 2023-09-11 基板処理方法及び基板処理システム WO2024058135A1 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2022-145646 2022-09-13
JP2022145646 2022-09-13

Publications (1)

Publication Number Publication Date
WO2024058135A1 true WO2024058135A1 (ja) 2024-03-21

Family

ID=90275020

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2023/033080 WO2024058135A1 (ja) 2022-09-13 2023-09-11 基板処理方法及び基板処理システム

Country Status (1)

Country Link
WO (1) WO2024058135A1 (ja)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60113427A (ja) * 1983-11-24 1985-06-19 Mitsubishi Electric Corp 微細パタ−ン形成方法
JPH11283791A (ja) * 1998-01-28 1999-10-15 Tokyo Ohka Kogyo Co Ltd ホトレジストの現像方法
JP2003100719A (ja) * 2001-09-26 2003-04-04 Tokyo Electron Ltd 処理方法
JP2022538040A (ja) * 2019-06-26 2022-08-31 ラム リサーチ コーポレーション ハロゲン化化学物質によるフォトレジスト現像

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60113427A (ja) * 1983-11-24 1985-06-19 Mitsubishi Electric Corp 微細パタ−ン形成方法
JPH11283791A (ja) * 1998-01-28 1999-10-15 Tokyo Ohka Kogyo Co Ltd ホトレジストの現像方法
JP2003100719A (ja) * 2001-09-26 2003-04-04 Tokyo Electron Ltd 処理方法
JP2022538040A (ja) * 2019-06-26 2022-08-31 ラム リサーチ コーポレーション ハロゲン化化学物質によるフォトレジスト現像

Similar Documents

Publication Publication Date Title
US20220244645A1 (en) Photoresist development with halide chemistries
JP6646978B2 (ja) 高アスペクト比構造におけるコンタクト洗浄
US8569178B2 (en) Plasma processing method and plasma processing apparatus
US9953843B2 (en) Chamber for patterning non-volatile metals
TWI518217B (zh) Etching method and etching device
KR101423019B1 (ko) 미세 패턴의 형성 방법
US20230416606A1 (en) Photoresist development with organic vapor
US20240145272A1 (en) Integrated dry processes for patterning radiation photoresist patterning
US20230230811A1 (en) Surface modification for metal-containing photoresist deposition
WO2024058135A1 (ja) 基板処理方法及び基板処理システム
WO2024024919A1 (ja) 基板処理方法及び基板処理システム
WO2024024373A1 (ja) 基板処理方法及び基板処理システム
WO2024070834A1 (ja) 基板処理方法及び基板処理システム
WO2024111454A1 (ja) ドライ現像方法及びドライ現像装置
WO2024070833A1 (ja) 基板処理方法及び基板処理システム
TW202414112A (zh) 基板處理方法及基板處理系統
WO2024101166A1 (ja) 基板処理方法、金属含有レジスト形成用組成物、金属含有レジスト及び基板処理システム
TWI837391B (zh) 利用鹵化物化學品的光阻顯影
KR20240032175A (ko) 금속-함유 포토레지스트의 재작업 (rework)
WO2024006938A1 (en) Cyclic development of metal oxide based photoresist for etch stop deterrence
CN115244664A (zh) 用于减少euv图案化缺陷的多层硬掩模
TW202244312A (zh) 基板處理方法
JP2024001648A (ja) 基板処理方法、及び基板処理システム
CN115428124A (zh) 芯部去除

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 23865488

Country of ref document: EP

Kind code of ref document: A1