KR20240032175A - 금속-함유 포토레지스트의 재작업 (rework) - Google Patents

금속-함유 포토레지스트의 재작업 (rework) Download PDF

Info

Publication number
KR20240032175A
KR20240032175A KR1020247006713A KR20247006713A KR20240032175A KR 20240032175 A KR20240032175 A KR 20240032175A KR 1020247006713 A KR1020247006713 A KR 1020247006713A KR 20247006713 A KR20247006713 A KR 20247006713A KR 20240032175 A KR20240032175 A KR 20240032175A
Authority
KR
South Korea
Prior art keywords
metal
containing resist
resist
implementations
exposing
Prior art date
Application number
KR1020247006713A
Other languages
English (en)
Inventor
다니엘 피터
멍 쑤
다 리
정이 유
사만다 시암화 탄
욱 최
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20240032175A publication Critical patent/KR20240032175A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Metallurgy (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

금속-함유 포토레지스트의 포토레지스트 재작업 (rework) 이 개시된다. 재작업은 기판을 상승된 온도 및 에칭 가스에 노출시킴으로써 열적 프로세스를 사용하여 달성될 수 있다. 재작업은 또한 기판을 무기 산성 용액에 노출시킴으로써 습식 프로세스를 사용하여 달성될 수 있다. 잔여물 또는 다른 오염물들은 고온들, 플라즈마, 또는 습식 세정에 노출함으로써 재작업 후에 기판으로부터 세정될 수도 있다.

Description

금속-함유 포토레지스트의 재작업 (REWORK)
본 개시는 반도체 제조시 포토레지스트 재료의 제거, 더 구체적으로 반도체 제조시 패터닝 가능한 금속-함유 포토레지스트 재료의 재작업 (rework) 에 관한 것이다.
집적 회로들과 같은 반도체 디바이스들의 제조는 포토리소그래피 (photolithography) 를 수반하는 다단계 프로세스이다. 일반적으로, 프로세스는 웨이퍼 상에 재료의 증착, 및 반도체 디바이스의 구조적 피처들 (예를 들어, 트랜지스터들 및 회로) 을 형성하기 위해 리소그래픽 기법들을 통해 재료를 패터닝하는 것을 포함한다. 당업계에 공지된 통상적인 포토리소그래피 프로세스의 단계들은: 기판을 준비하는 단계; 스핀 코팅에 의해서와 같이 포토레지스트를 도포하는 단계; 포토레지스트의 노출된 영역들로 하여금 현상 용액에 더 잘 또는 덜 용해성 (soluble) 이 되게 하도록, 목표된 패턴의 광에 포토레지스트를 노출시키는 단계; 포토레지스트의 노출된 영역들 또는 노출되지 않은 (unexposed) 영역들을 제거하기 위해 현상 용액을 도포함으로써 현상하는 단계; 및 에칭 또는 재료 증착에 의해서와 같이 포토레지스트가 제거된 기판의 영역들 상에 피처들을 생성하기 위한 후속 프로세싱 단계를 포함한다.
반도체 설계의 발전은 반도체 기판 재료들로 훨씬 더 작은 피처들을 생성할 필요성을 생성하였고, 생성하는 능력에 의해 구동되었다. 이 기술의 발달 (progression) 은 치밀 집적 회로들에서 트랜지스터들의 밀도가 2 년마다 2 배가 되는 (double) "Moore의 법칙"으로 특징화되었다. 사실, 칩 설계 및 제작은 최신 마이크로프로세서들이 단일 칩 상에 수십억 개의 트랜지스터들 및 다른 회로 피처들을 포함할 수도 있도록 발달되었다. 이러한 칩들 상의 개별적인 피처들은 대략 22 나노미터 (㎚) 이하, 일부 경우들에서 10 ㎚ 미만일 수도 있다.
이러한 작은 피처들을 갖는 디바이스를 제작하는 것의 일 과제는 충분한 분해능을 갖는 포토리소그래피 마스크들을 신뢰성 있고 재생 가능하게 생성하는 능력이다. 현재 포토리소그래피 프로세스들은 통상적으로 포토레지스트를 노출시키기 위해 통상적으로 193 ㎚ 자외선 광 (UV light) 을 사용한다. 광이 반도체 기판 상에서 생성될 목표된 사이즈의 피처들보다 훨씬 더 큰 파장을 갖는다는 사실은 고유의 이슈들을 생성한다. 광의 파장보다 더 작은 피처 사이즈들을 달성하는 것은 멀티패터닝과 같은 복잡한 분해능 향상 기법들의 사용을 필요로 한다. 따라서, 10 ㎚ 내지 15 ㎚, 예를 들어, 13.5 ㎚의 파장을 갖는 극자외선 (extreme ultraviolet radiation; EUV) 과 같은 더 짧은 파장 광을 사용하는 포토리소그래피 기법들의 개발에 상당한 관심 및 연구 노력이 있다.
그러나, EUV 포토리소그래피 프로세스들은 낮은 전력 출력 및 패터닝 동안 광의 손실을 포함하는 문제들을 제공할 수 있다. 193 ㎚ UV 리소그래피에 사용된 것들과 유사한 종래의 유기 화학적으로 증폭된 레지스트들 (chemically amplified resists; CARs) 은 EUV 리소그래피에 사용될 때, 특히 EUV 영역에서 낮은 흡수 계수들을 갖고, 광-활성화된 화학 종의 확산은 블러 (blur) 또는 라인 에지 거칠기를 발생시킬 수 있기 때문에 잠재적인 결점들을 갖는다. 또한, 하부 (underlying) 디바이스를 패터닝하기 위해 요구된 에칭 내성을 제공하기 위해, 종래의 CAR 재료들로 패터닝된 작은 피처들은 패턴 붕괴의 위험이 있는 고 종횡비들을 발생시킬 수 있다. 따라서, 감소된 두께, 더 큰 흡광도, 및 더 큰 에칭 내성과 같은 특성들을 갖는, 개선된 EUV 포토레지스트 재료들에 대한 필요성이 남아 있다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 기술 (technology) 의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 기술에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
참조로서 인용
PCT 신청 양식은 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 본 명세서에 인용된다.
금속-함유 레지스트를 제거하는 방법이 본 명세서에 제공된다. 방법은 반도체 기판의 하부층 (underlayer) 상에 금속-함유 레지스트를 프로세스 챔버 내에 제공하는 단계, 및 금속-함유 레지스트를 제거하기 위해 제 1 상승된 온도에서 할라이드를 포함하는 에칭 가스에 금속-함유 레지스트를 노출시키는 단계를 포함한다.
일부 구현 예들에서, 금속-함유 레지스트를 에칭 가스에 노출시키는 단계는 하부층에 대해 금속-함유 레지스트를 선택적으로 제거하는 단계를 포함한다. 일부 구현 예들에서, 금속-함유 레지스트를 에칭 가스에 노출시키는 단계는 플라즈마에 대한 노출 없이 수행된다. 일부 구현 예들에서, 금속-함유 레지스트를 에칭 가스에 노출시키는 단계는 플라즈마에 대한 노출과 함께 수행된다. 일부 구현 예들에서, 방법은 금속-함유 레지스트를 제거한 후 하부층 및 잔여 할라이드들을 제거하기 위해 하부층 및 잔여 할라이드들을 제거 가스에 노출시키는 단계를 더 포함하고, 제거 가스는 제 1 상승된 온도보다 더 높은 제 2 상승된 온도에서 산화 가스 또는 수소 가스를 포함한다. 일부 구현 예들에서, 방법은 금속-함유 레지스트를 제거한 후 하부층 및 잔여 할라이드들을 제거하기 위해 하부층 및 잔여 할라이드들을 플라즈마에 노출시키는 단계를 더 포함하고, 플라즈마는 산화 가스 또는 수소 가스의 이온들 및/또는 라디칼들을 포함한다. 일부 구현 예들에서, 방법은 금속-함유 레지스트를 제거한 후 하부층의 표면을 처리하기 위해 하부층을 플라즈마에 노출시키는 단계를 더 포함한다. 일부 구현 예들에서, 방법은 반도체 기판을 희석된 불산 (dHF) 의 수용액에 노출시키는 단계, 및 반도체 기판을 희석된 염산 (dHCl) 의 수용액 또는 암모늄 하이드록사이드 (NH4OH) 및 과산화수소 (H2O2) 를 포함하는 세정 용액에 노출시키는 단계를 더 포함한다. 일부 구현 예들에서, 금속-함유 레지스트는 포토패터닝된 금속-함유 EUV 레지스트이다. 일부 구현 예들에서, 에칭 가스는 수소 플루오라이드 (HF), 수소 클로라이드 (HCl), 수소 브로마이드 (HBr), 수소 아이오다이드 (HI), 수소 가스 및 불소 가스 (H2 + F2), 수소 가스 및 염소 가스 (H2 + Cl2), 수소 가스 및 브롬 가스 (H2 + Br2), 수소 가스 및 아이오다이드 가스 (H2 + I2), 또는 브롬 트리클로라이드 (BCl3) 를 포함한다. 일부 구현 예들에서, 제 1 상승된 온도는 약 60 ℃ 내지 약 250 ℃이다. 일부 구현 예들에서, 금속-함유 레지스트의 에칭 가스에 대한 노출 동안 챔버 압력은 약 100 mTorr 내지 약 2000 mTorr이고, 금속-함유 레지스트의 에칭 가스에 대한 노출 동안 에칭 가스의 플로우 레이트는 약 100 sccm 내지 약 5000 sccm이다. 일부 구현 예들에서, 하부층은 스핀-온 유리 (spin-on glass; SOG), 스핀-온 탄소 (spin-on carbon; SOC), 비정질 또는 결정질 탄소, 또는 실리콘 옥시나이트라이드 (SiON) 를 포함한다. 일부 구현 예들에서, 방법은 금속-함유 레지스트 상에 마스크 층을 컨포멀하게 (conformally) 증착하는 단계, 및 금속-함유 레지스트의 상단 표면을 노출하도록 마스크 층의 일부를 제거하는 단계를 더 포함하고, 금속-함유 레지스트를 에칭 가스에 노출시키는 단계는 마스크 층에 대해 금속-함유 레지스트를 선택적으로 제거한다. 일부 구현 예들에서, 금속-함유 레지스트를 제 1 상승된 온도에서 에칭 가스에 노출시키는 단계는 반도체 기판의 전면을 복수의 발광 다이오드들 (light-emitting diodes; LEDs) 로부터의 광에 노출시키는 단계를 포함한다.
또한 금속-함유 레지스트를 제거하는 방법이 본 명세서에 제공된다. 방법은 반도체 기판의 하부층 상에 금속-함유 레지스트를 프로세스 챔버 내에 제공하는 단계, 및 금속-함유 레지스트를 제거하기 위해 적어도 희석된 산의 수용액에 금속-함유 레지스트를 노출시키는 단계를 포함한다.
일부 구현 예들에서, 적어도 희석된 산의 수용액에 금속-함유 레지스트를 노출시키는 단계는 반도체 기판을 희석된 불산 (dHF) 의 수용액에 노출시키는 단계, 및 반도체 기판을 희석된 염산 (dHCl) 의 수용액 또는 암모늄 하이드록사이드 (NH4OH) 및 과산화수소 (H2O2) 를 포함하는 세정 용액에 노출시키는 단계를 포함한다. 일부 구현 예들에서, 금속-함유 레지스트는 포토패터닝된 금속-함유 EUV 레지스트이다. 일부 구현 예들에서, 금속-함유 레지스트를 적어도 희석된 산의 수용액에 노출시키는 단계는 하부층에 대해 금속-함유 레지스트를 선택적으로 제거한다. 일부 구현 예들에서, 하부층은 스핀-온 유리 (spin-on glass; SOG), 스핀-온 탄소 (spin-on carbon; SOC), 비정질 또는 결정질 탄소, 또는 실리콘 옥시나이트라이드 (SiON) 를 포함한다. 일부 구현 예들에서, 금속-함유 레지스트를 적어도 희석된 산의 수용액에 노출시키는 단계는 반도체 기판의 전면 및 배면을 희석된 산의 수용액에 노출시키는 단계를 포함한다. 일부 구현 예들에서, 방법은 금속-함유 레지스트를 제거한 후 하부층의 표면을 처리하기 위해 하부층을 플라즈마에 노출시키는 단계를 더 포함한다.
도 1은 일부 구현 예들에 따라 포토레지스트를 증착하고 현상하기 위한 예시적인 방법의 흐름도를 제시한다.
도 2는 일부 구현 예들에 따라 금속-함유 레지스트를 제거하는 예시적인 방법의 흐름도를 제시한다.
도 3은 일부 구현 예들에 따라 금속-함유 레지스트를 제거하는 대안적인 예시적인 방법의 흐름도를 제시한다.
도 4a 내지 도 4f는 일부 구현 예들에 따라 금속-함유 포토레지스트를 제거하기 위한 다양한 프로세싱 기법들의 단면 개략적 예시들을 도시한다.
도 5a 내지 도 5c는 일부 구현 예들에 따른 금속-함유 레지스트 제거 및 복수의 패터닝의 다양한 스테이지들의 단면 개략적 예시들을 도시한다.
도 6a 내지 도 6c는 일부 구현 예들에 따른 습식 기법을 사용한 금속-함유 포토레지스트 제거의 다양한 스테이지들의 단면 개략적 예시들을 도시한다.
도 7은 일부 구현 예들에 따른 재작업 (rework) 동작들을 수행하기 적합한 예시적인 프로세스 스테이션의 개략적인 예시를 도시한다.
도 8은 본 명세서에 기술된 다양한 현상, 세정, 재작업, 디스컴 (descum) 및 평활화 동작들의 구현에 적합한 예시적인 멀티-스테이션 프로세싱 툴의 개략적인 예시를 도시한다.
도 9는 본 명세서에 기술된 특정한 구현 예들 및 동작들을 구현하기 위한 예시적인 유도 커플링된 플라즈마 장치의 단면 개략도를 도시한다.
도 10은 본 명세서에 기술된 프로세스들의 구현 예들에 적합한, 진공 이송 모듈과 인터페이싱하는 진공-통합된 증착 및 패터닝 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다.
도 11은 일부 구현 예들에 따른 건식 증착 장치의 예의 단면 개략도를 도시한다.
본 개시의 특정한 실시 예들에 대한 참조가 본 명세서에서 상세히 이루어진다. 구체적인 실시 예들의 예들은 첨부된 도면들에 예시된다. 본 개시가 이들 구체적인 실시 예들과 함께 기술될 (describe) 것이지만, 이는 이러한 특정한 실시 예들로 본 개시를 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다. 반대로, 이는 본 개시의 정신 및 범위 내에 포함될 수도 있는 바와 같이 대안들, 수정들, 및 등가물들을 커버하도록 의도된다. 이하의 기술에서, 본 개시의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 본 개시는 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 본 개시를 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다.
도입
반도체 프로세싱에서 박막들의 패터닝은 종종 반도체들의 제조에서 중요한 단계이다. 패터닝은 리소그래피 (lithography) 를 수반한다. 193 ㎚ 포토리소그래피와 같은 종래의 포토리소그래피에서, 패턴들은 광자 소스로부터 마스크 상으로 광자들을 방출하고 패턴을 감광성 포토레지스트 상에 프린팅하고, 이에 따라 현상 후 패턴을 형성하기 위해 포토레지스트에서 포토레지스트의 특정한 부분들을 제거하는 화학 반응을 유발함으로써 프린팅된다.
(ITRS (International Technology Roadmap for Semiconductors) 에 의해 규정된) 발전된 기술 노드들은 22 ㎚, 16 ㎚, 및 이를 넘어서는 노드들을 포함한다. 16 ㎚ 노드에서, 예를 들어, 다마신 (Damascene) 구조체의 통상적인 비아 (via) 또는 라인의 폭은 통상적으로 약 30 ㎚ 이하이다. 발전된 반도체 집적 회로들 (Integrated Circuits; IC들) 및 다른 디바이스들 상의 피처들의 스케일링은 분해능을 개선하기 위해 리소그래피를 구동하는 것이다.
극자외선 (extreme ultraviolet; EUV) 리소그래피는 종래의 포토리소그래피 방법들로 달성될 수 있는 것보다 더 작은 이미징 소스 파장들로 이동함으로써 리소그래피 기술 (technology) 을 확장할 수 있다. 대략 10 내지 20 ㎚, 또는 11 내지 14 ㎚ 파장, 예를 들어 13.5 ㎚ 파장의 EUV 광원들이 또한 스캐너들로 지칭되는, 최첨단 리소그래피 툴들에 사용될 수 있다. EUV 복사선은 석영 및 수증기를 포함하는 넓은 범위의 고체 (solid) 재료 및 유체 (fluid) 재료에 강하게 흡수되고, 따라서 진공에서 동작한다.
EUV 리소그래피는 아래에 놓인 층들을 에칭하는 데 사용하기 위해 마스크들을 형성하도록 패터닝된 EUV 레지스트들을 사용한다. EUV 레지스트들은 액체-기반 스핀-온 (spin-on) 기법들 (techniques) 에 의해 생성된 폴리머-기반 화학적으로 증폭된 레지스트들 (chemically amplified resists; CARs) 일 수도 있다. CAR들에 대한 일 대안은 예를 들어, 적어도 포토패터닝 가능한 금속 옥사이드-함유 막들의 개시를 위해 본 명세서에 참조로서 인용된, 미국 특허 공보 US 2017/0102612, US 2016/021660, 및 US 2016/0116839에 기술되고 그리고 Inpria Corp. (Corvallis, OR) 로부터 입수 가능한 것과 같은, 직접 포토패터닝 가능한 금속 옥사이드-함유 막들이다. 이러한 막들은 스핀-온 기법들에 의해 생성되거나 건식 기상 증착될 수도 있다. 금속 옥사이드-함유 막은 예를 들어, 2018년 6월 12일 허여되고 명칭이 EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS인 미국 특허 제 9,996,004 호, 2019년 5월 9일에 출원되고 명칭이 METHODS FOR MAKING EUV PATTERNABLE HARD MASKS인 국제 특허 출원 PCT/US2019/031618에 기술된 바와 같이, 30 ㎚ 이하의 패터닝 분해능을 제공하는 진공 분위기 (ambient) 에서 EUV 노출에 의해 직접 (즉, 별도의 포토레지스트를 사용하지 않고) 패터닝될 수 있고, 적어도 EUV 레지스트 마스크들을 형성하기 위해 직접 포토패터닝 가능한 금속 옥사이드 막들의 조성, 증착 및 패터닝에 관한 이의 개시들이 본 명세서에 참조로서 인용된다. 일반적으로, 패터닝은 레지스트 내에 포토패턴을 형성하기 위해 EUV 복사선으로 EUV 레지스트의 노출, 이어서 마스크를 형성하기 위해 포토패턴에 따라 레지스트의 일부를 제거하기 위한 현상을 수반한다.
본 개시가 EUV 리소그래피에 의해 예시된 리소그래피 패터닝 기법들 및 재료들에 관한 것이지만, 이는 또한 다른 차세대 리소그래피 기법들에 적용 가능하다는 것이 또한 이해되어야 한다. 현재 사용 및 현상 중인 표준 13.5 ㎚ EUV 파장을 포함하는 EUV에 더하여, 이러한 리소그래피와 가장 관련이 있는 복사선 소스들은, 일반적으로 248 ㎚ 또는 193 ㎚ 엑시머 레이저 소스들의 사용을 지칭하는 DUV (Deep-UV), X-선 범위의 더 낮은 에너지 범위의 EUV를 공식적으로 포함하는 X-선, 뿐만 아니라 넓은 에너지 범위를 커버할 수 있는 e-빔이다. 특정한 방법들은 반도체 기판 및 궁극적인 반도체 디바이스에 사용된 특정한 재료들 및 적용 예들에 종속될 수도 있다. 따라서, 본 출원에 기술된 방법들은 단지 본 기술에서 사용될 수도 있는 방법들 및 재료들의 예시이다.
직접 포토패터닝 가능한 EUV 레지스트들은 유기 컴포넌트들 내에 혼합된 금속들 및/또는 금속 옥사이드들로 구성되거나 이를 함유할 수도 있다. 금속들/금속 옥사이드들은 EUV 광자 흡착을 향상시키고 2 차 전자들을 생성할 수 있고 그리고/또는 하부 막 스택 및 디바이스 층들에 대해 상승된 에칭 선택도를 나타낼 수 있다는 점에서 매우 유망하다. 이들 레지스트들은 습식 (용매) 접근법 (approach) 또는 건식 접근법을 사용하여 현상될 수 있다.
패터닝된 포토레지스트들은 기판의 선택된 영역들을 보호하기 위해 에칭 동안 기판 상에 패턴들을 형성하기 위한 마스크들로서 사용된다. 현상 후, ADI (after-develop-inspection) 와 같은 검사가 수행된다. 검사는 포토리소그래피 프로세스가 올바르게 그리고 명시된 허용 오차 내에서 수행되었는지를 보장할 수 있다. 일부 예들에서, 포토레지스트들은 오정렬될 수도 있고, 용인할 수 없는 임계 치수들을 가질 수도 있고, 또는 결함있는 (defective) 패턴들을 구현할 수도 있다. 결함있는 포토레지스트 패턴 또는 오정렬된 포토레지스트 패턴은 반도체 기판 프로세싱에 유해할 수 있고 심지어 디바이스 고장을 야기할 수 있다. 전체 기판을 폐기하는 대신, 포토레지스트에 오정렬 또는 다른 에러가 있을 때 포토레지스트는 스트립핑되거나, 제거되거나, 재작업될 (rework) 수 있다.
몇몇 상이한 타입들의 포토레지스트 재작업 기법들이 있다. 일 방법은 산소 플라즈마 애싱 (ashing) 으로 지칭되는 산소 플라즈마에 의해 기판으로부터 포토레지스트를 연소시키는 것을 수반할 수도 있다. 그러나, 애싱 프로세스가 완료된 후에도 측벽 폴리머들 및 무기 물질들이 여전히 존재할 수도 있다. 또 다른 방법은 습식 스트립핑 (stripping) 을 수반할 수도 있고, 유기 용매가 적용될 수도 있다. 또는, 습식 스트립핑은 금속 층들 전에 황산 (H2SO4) 과 같은 용액, 그리고 금속 층들 후에 아민 용액을 채용할 수 있다. 일부 예들에서, 포토레지스트 제거는 산소 플라즈마 애싱에 이어 습식 스트립핑을 수반할 수도 있다. 이들 프로세스들은 포토레지스트 재작업에 대해 수많은 단점들을 가질 수도 있다. 예를 들어, 이러한 종래의 포토레지스트 재작업 프로세스들은 긴 사이클 시간 및 큰 비용을 가질 수도 있다. 게다가, 이들 종래의 포토레지스트 재작업 프로세스들은 포토패터닝 가능한 EUV 레지스트와 같은 금속-함유 포토레지스트의 제거에 적합하지 않을 수도 있다. 종래의 포토레지스트 제거 기법들은 스핀-코팅된 포토레지스트들과 같은 종래의 포토레지스트들을 효과적으로 제거할 수도 있지만, 산소 플라즈마 애싱, 유기 용매들, 황산 용액들, 등을 포함하는 이러한 종래의 포토레지스트 제거 기법들은 금속-함유 포토레지스트들을 효과적으로 제거하지 못한다.
금속-함유 레지스트의 포토레지스트 재작업
본 개시의 다양한 양태들에 따라, 포토패터닝 가능한 금속-함유 레지스트가 반도체 기판 상에 제공되고 상승된 온도에서 에칭 가스를 사용하여 제거된다. 금속-함유 레지스트는 플라즈마에 노출되지 않고 열적 분위기 (즉, 플라즈마-프리 에칭 가스) 에서 제거될 수도 있다. 그러나, 일부 실시 예들에서, 금속-함유 레지스트는 금속-함유 레지스트의 제거를 가속화하도록 플라즈마에 대한 노출과 함께 열적 분위기에서 제거될 수도 있다. 상승된 온도는 약 60 ℃ 내지 약 250 ℃일 수도 있다. 금속-함유 레지스트는 포토패터닝 가능한 금속-함유 EUV 레지스트를 포함할 수도 있다. 에칭 가스는 수소 플루오라이드 (HF), 수소 클로라이드 (HCl), 수소 브로마이드 (HBr), 수소 아이오다이드 (HI), 수소 가스 및 불소 가스 (H2 + F2), 수소 가스 및 염소 가스 (H2 + Cl2), 수소 가스 및 브롬 가스 (H2 + Br2), 수소 가스 및 아이오다이드 가스 (H2 + I2), 및/또는 브롬 트리클로라이드 (BCl3) 와 같은 할라이드를 포함할 수도 있다. 일부 실시 예들에서, 금속-함유 레지스트는 하부층 상에 증착되고, 여기서 금속-함유 레지스트를 에칭 가스에 노출시키는 것은 하부층 (underlayer) 에 대해 금속-함유 레지스트를 선택적으로 제거한다. 일부 실시 예들에서, 하부층 및 잔여 할라이드들은 더 높은 상승된 온도에서 산화 가스 또는 수소 가스에 의해 제거될 수도 있다. 또는, 하부층 및 잔여 할라이드들은 플라즈마에 의해 제거될 수도 있고, 플라즈마는 산화 가스 또는 수소 가스의 이온들 및/또는 라디칼들을 포함할 수 있다. 하부층은 스핀-온 유리 (spin-on glass; SOG), 스핀-온 탄소 (spin-on carbon; SOC), 비정질 또는 결정질 탄소, 또는 실리콘 옥시나이트라이드 (SiON) 를 포함할 수도 있다.
본 개시의 다양한 양태들에 따라, 포토패터닝 가능한 금속-함유 레지스트가 반도체 기판 상에 제공되고 습식 프로세스를 사용하여 제거된다. 습식 프로세스는 금속-함유 레지스트를 제거하기 위해 적어도 희석된 산의 수용액을 포함할 수도 있다. 희석된 산의 수용액에 대한 노출은 희석된 불산 (dHF) 에 노출 및 희석된 염산 (dHCl) 에 노출, 또는 희석된 불산에 노출 및 암모늄 하이드록사이드 (NH4OH) 및 과산화수소 (H2O2) 를 포함하는 세정 용액에 대한 노출을 포함할 수도 있다. 금속-함유 레지스트는 포토패터닝 가능한 금속-함유 EUV 레지스트를 포함할 수도 있다. 일부 실시 예들에서, 금속-함유 레지스트는 하부층 상에 증착되고, 여기서 금속-함유 레지스트를 희석된 산의 수용액에 노출하는 것은 하부층에 대해 금속-함유 레지스트를 선택적으로 제거한다. 하부층은 스핀-온 유리, 스핀-온 탄소, 비정질 또는 결정질 탄소, 또는 실리콘 옥시나이트라이드를 포함할 수도 있다.
도 1은 일부 구현 예들에 따라 포토레지스트를 증착하고 현상하기 위한 예시적인 방법의 흐름도를 제시한다. 프로세스 (100) 의 동작들은 상이한 순서들로 그리고/또는 상이한, 더 적은 또는 부가적인 동작들과 함께 수행될 수도 있다. 프로세스 (100) 의 양태들은 도 2, 도 3, 도 4a 내지 도 4f, 도 5a 내지 도 5c, 및 도 6a 내지 도 6c를 참조하여 기술될 수도 있다. 프로세스 (100) 의 하나 이상의 동작들은 도 7 내지 도 11 중 어느 하나에 기술된 장치를 사용하여 수행될 수도 있다. 일부 구현 예들에서, 프로세스 (100) 의 동작들은 하나 이상의 비일시적 컴퓨터 판독 가능 매체에 저장된 소프트웨어에 따라 적어도 부분적으로 구현될 수도 있다. 일부 구현 예들에서, 포토레지스트 재작업은 포토레지스트 증착, 배면 및 베벨 에지 세정, 도포 후 소성 (post application bake; PAB), 광 노출, 노출 후 소성 (post exposure bake; PEB), 또는 현상 (패터닝) 후에 수행될 수도 있다.
프로세스 (100) 의 블록 (102) 에서, 포토레지스트 층이 증착된다. 이는 기상 증착 프로세스와 같은 건식 증착 프로세스 또는 스핀-온 증착 프로세스와 같은 습식 프로세스일 수도 있다.
포토레지스트는 금속-함유 EUV 레지스트일 수도 있다. EUV 감응 금속 또는 금속 옥사이드-함유 막이 습식 (예를 들어, 스핀-온) 또는 건식 (예를 들어, CVD (chemical vapor deposition)) 증착 기법들을 포함하는, 임의의 적합한 기법에 의해 반도체 기판 상에 증착될 수도 있다. 예를 들어, 기술된 프로세스들은 (예를 들어, OR, Corvallis 소재의 Inpria Corp로부터 입수 가능한) 스핀-코팅가능 포뮬레이션들 (formulations) 및 건식 진공 증착 기법들을 사용하여 적용된 포뮬레이션들 모두에 적용가능한, 유기 주석 옥사이드들에 기초한 EUV 포토레지스트 조성물들에 대해 입증되었고, 이하에 더 기술된다.
반도체 기판들은 포토리소그래픽 프로세싱, 구체적으로 집적 회로들 및 다른 반도체 디바이스들의 생산에 적합한 임의의 재료 구성을 포함할 수도 있다. 일부 구현 예들에서, 반도체 기판들은 실리콘 웨이퍼들이다. 반도체 기판들은 그 위에 불규칙한 표면 토포그래피 (topography) 를 갖는 피처들 ("하부 피처들") 이 생성되는 실리콘 웨이퍼들일 수도 있다. 본 명세서에 참조된 바와 같이, "표면"은 상부에 본 개시의 막이 증착되거나 프로세싱 동안 EUV에 노출되는 표면이다. 하부 피처들은 이 개시의 방법을 수행하기 전 프로세싱 동안 (예를 들어, 에칭에 의해) 재료가 제거된 영역들 또는 (예를 들어, 증착에 의해) 재료들이 부가된 영역들을 포함할 수도 있다. 이러한 사전 프로세싱은 이 개시의 방법들 또는 2 개 이상의 피처들의 층들이 기판 상에서 형성되는 반복 프로세스의 다른 프로세싱 방법들을 포함할 수도 있다.
EUV-감응 박막들이 반도체 기판 상에서 증착될 수도 있고, 이러한 막들은 후속 EUV 리소그래피 및 프로세싱에 대한 레지스트들로서 동작 가능하다. 이러한 EUV-감응 박막들은 EUV에 노출 시, 더 치밀한 M-O-M 결합된 금속 옥사이드 재료들에 이들의 교차 결합 (crosslinking) 을 허용하여, 저 밀도 M-OH 풍부 재료들의 금속 원자들에 결합된 벌키 (bulky) 펜던트 치환들의 손실과 같은 변화들을 겪는 (undergo) 재료들을 포함한다. EUV 패터닝을 통해, 노출되지 않은 영역들에 대해 물리적 또는 화학적 특성들이 변경된 막의 영역들이 생성된다. 이들 특성들은 후속 프로세싱에서, 예컨대 노출 영역 또는 노출되지 않은 영역을 용해시키도록, 또는 노출 영역 또는 노출되지 않은 영역 상에 재료들을 선택적으로 증착하도록 활용될 수도 있다. 일부 구현 예들에서, 노출되지 않은 막은 이러한 후속 프로세싱이 수행되는 조건들 하에서 노출된 막보다 더 소수성인 (hydrophobic) 표면을 갖는다. 예를 들어, 재료의 제거는 막의 화학적 조성, 밀도 및 교차-결합의 차이를 활용함으로써 (leveraging) 수행될 수도 있다. 제거는 이하에 더 기술된 바와 같이 습식 프로세싱 또는 건식 프로세싱에 의한 것일 수도 있다.
다양한 구현 예들에서, 박막들은 유기금속 재료들, 예를 들어 주석 옥사이드를 포함하는 유기 주석 재료들, 또는 다른 금속 옥사이드 재료들/모이어티들 (moieties) 이다. 유기금속 화합물들은 대응-반응 물질 (counter-reactant) 과 유기금속 전구체의 증기상 (vapor phase) 반응으로 제조될 수도 있다. 다양한 구현 예들에서, 유기금속 화합물들은 대응-반응물질들과 벌키 알킬기들 또는 플루오로알킬기들을 갖는 유기금속 전구체들의 특정한 조합들을 혼합 및 반도체 기판 상에 증착하는 저밀도, EUV-감응 재료를 생성하기 위해 증기상의 혼합물을 중합하는 것을 통해 형성된다.
다양한 구현 예들에서, 유기금속 전구체들은 증기상 반응에서 살아남을 수 있는 금속 원자 각각 상에 적어도 하나의 알킬기를 포함하지만, 금속 원자에 배위결합된 (coordinate) 다른 리간드들 (ligands) 또는 이온들은 대응-반응 물질들에 의해 대체될 수 있다. 유기금속 전구체들은 화학식
MaRbLc
(화학식 1) 을 포함하고,
여기서, M은 높은 패터닝 복사-흡수 단면을 갖는 원소이고; R은 알킬, 예컨대 CnH2n+1이고, 바람직하게 n = 1 내지 6이고; L은 대응-반응 물질과 반응성인 다른 리간드, 이온 또는 모이어티이고; a ≥ 1; b ≥ 1; c ≥ 1이다. 다양한 구현 예들에서, M은 1x107 ㎠/mol 이상인 원자 흡수 단면을 갖는다. M은 예를 들어, 주석, 하프늄, 텔루륨, 비스무트, 인듐, 안티몬, 아이오딘, 게르마늄, 및 이들의 조합들로 구성된 그룹으로부터 선택될 수도 있다. 일부 구현 예들에서, M은 주석이다. R은 플루오르화될 수도 있고, 예를 들어, 화학식 CnFxH(2n+1) 을 갖는다. 다양한 구현 예들에서, R은 적어도 하나의 베타-수소 또는 베타-불소를 갖는다. 예를 들어, R은 메틸, 에틸, i-프로필, n-프로필, t-부틸, i-부틸, n-부틸, sec-부틸, n-펜틸, i-펜틸, t-펜틸, sec-펜틸, 및 이들의 혼합물들로 구성된 그룹으로부터 선택될 수도 있다. L은 아민들 (예컨대 디알킬아미노, 모노알킬아미노), 알콕시, 카르복실레이트들, 할로겐들, 및 이들의 혼합물들로 구성된 그룹으로부터 선택된 모이어티와 같은, M-OH 모이어티를 생성하기 위해 대응-반응 물질에 의해 용이하게 치환된 임의의 모이어티일 수도 있다.
유기금속 전구체들은 임의의 폭넓고 다양한 후보 금속-유기 전구체들일 수도 있다. 예를 들어, M이 주석이면, 이러한 전구체들은 t-부틸 트리스(디메틸아미노) 주석, i-부틸 트리스(디메틸아미노) 주석, n-부틸 트리스 (디메틸아미노) 주석, sec-부틸 트리스(디메틸아미노) 주석, i-프로필(트리스)디메틸아미노 주석, n-프로필 트리스(디메틸아미노) 주석, 에틸 트리스(디메틸아미노) 주석 및 t-부틸 트리스(t-부톡시) 주석과 같은 유사한 알킬(트리스)(t-부톡시) 주석 화합물들을 포함한다. 일부 구현 예들에서, 유기금속 전구체들은 부분적으로 플루오르화된다.
대응-반응 물질들은 화학적 결합을 통해 적어도 2 개의 금속 원자들을 연결하도록 (link) 반응성 모이어티들, 리간드들 또는 이온들 (예를 들어, 상기 화학식 1의 L) 을 대체하는 능력을 갖는다. 대응-반응 물질들은 물, 과산화물들 (예를 들어, 과산화수소), 디하이드록시 알코올들 또는 폴리하이드록시 알코올들, 플루오르화된 디하이드록시 알코올들 또는 플루오르화된 폴리하이드록시 알코올들, 플루오르화된 글리콜들, 및 하이드록실 모이어티들의 다른 소스들을 포함할 수 있다. 다양한 구현 예들에서, 대응-반응 물질은 이웃하는 금속 원자들 사이에 산소 브리지들 (bridges) 을 형성함으로써 유기금속 전구체와 반응한다. 다른 잠재적인 대응-반응 물질들은 황 브리지들을 통해 금속 원자들을 교차 결합하는 수소 설파이드 및 수소 디설파이드를 포함한다.
박막들은 막의 화학적 또는 물리적 특성들을 수정하도록, 예컨대 EUV에 대한 막의 감도를 수정하거나 에칭 내성을 향상시키기 위해 유기금속성 전구체 및 대응-반응 물질들에 더하여 선택 가능한 (optional) 재료들을 포함할 수도 있다. 이러한 선택 가능한 재료들은 반도체 기판 상에 증착 전, 박막의 증착 후, 또는 둘 모두에 증기상 형성 동안 도핑에 의해서와 같이 도입될 수도 있다. 일부 구현 예들에서, 약한 (gentle) 리모트 H2 플라즈마는 일부 Sn-L 결합들을 Sn-H로 대체하도록 도입될 수도 있고, 이는 EUV 하에 레지스트의 반응성을 증가시킬 수 있다.
다양한 구현 예들에서, EUV-패터닝 가능한 막들이 제조되고, 당업계에 공지된 기상 증착 장비 및 프로세스들을 사용하여 반도체 기판 상에 증착된다. 이러한 프로세스들에서, 중합된 유기금속 재료는 증기상으로 또는 반도체 기판의 표면 상에 인 시츄 (in situ) 형성된다. 적합한 프로세스들은 금속 전구체들 및 대응-반응 물질들이 시간 또는 공간에서 분리되는 예를 들어, CVD (Chemical Vapor Deposition), ALD (atomic layer deposition), 및 불연속적인, ALD-유사 프로세스와 같은, CVD 컴포넌트를 사용하는 ALD를 포함한다. 일부 구현 예들에서, EUV-패터닝 가능한 막들이 제조되고, 당업계에 공지된 습식 증착 장비 및 프로세스들을 사용하여 반도체 기판 상에 증착된다. 예를 들어, 유기금속성 재료는 반도체 기판의 표면 상의 스핀-코팅에 의해 형성된다. 그럼에도 불구하고, 포토레지스트 재작업 및 다른 연관된 리소그래피 동작들은 금속-함유 EUV 레지스트가 어떻게 증착되는지와 무관하게 금속-함유 EUV 레지스트 상에 후속하여 적용될 수도 있다.
반도체 기판의 표면 상에 형성된 EUV-패터닝 가능한 막의 두께는 표면 특징들, 사용된 재료들, 및 프로세싱 조건들에 따라 가변할 수도 있다. 다양한 구현 예들에서, 막 두께는 0.5 ㎚ 내지 100 ㎚ 범위일 수도 있고, EUV 패터닝의 조건들 하에서 대부분의 EUV 광을 흡수하기 충분한 두께일 수도 있다. EUV-패터닝 가능한 막은, EUV-패터닝 가능한 막의 하단부를 향해 사용 가능한 상당히 더 적은 EUV 광자들을 갖도록, 30 % 이상의 흡수를 수용할 수도 있다. 더 높은 EUV 흡수는 EUV 노출된 막의 하단부와 비교하여 EUV 노출된 막의 상단부 근방에서 더 많은 교차 결합 및 치밀화를 야기한다. EUV 광자들의 효율적인 활용은 더 높은 전체 흡수율을 갖는 EUV-패터닝 가능한 막들을 사용하여 발생할 수도 있지만, 일부 예들에서, EUV-패터닝 가능한 막은 약 30 % 미만일 수도 있다는 것이 이해될 것이다. 비교를 위해, 대부분의 다른 레지스트 막들의 최대 전체 흡수는 레지스트 막의 하단부의 레지스트 재료가 충분히 노출되도록 30 % 미만 (예를 들어, 10 % 이하, 또는 5 % 이하) 이다. 일부 구현 예들에서, 막 두께는 10 ㎚ 내지 40 ㎚ 또는 10 ㎚ 내지 20 ㎚이다. 게다가, 상기 논의된 바와 같이, 증착된 막들은 표면 피처들에 밀접하게 컨폼할 (conform) 수도 있어, 하부 피처들을 갖는 기판들과 같은 기판들 위에 이러한 피처들을 "충진 (filling in)" 또는 달리 평탄화하지 않고 마스크들을 형성하는 이점들을 제공한다.
프로세스 (100) 의 블록 (114) 에서, 포토레지스트 재작업이 프로세스 (100) 의 블록 (102) 에서 금속-함유 EUV 레지스트 박막의 증착 후에 수행된다. 금속-함유 EUV 레지스트 박막의 제거는 금속-함유 EUV 레지스트 박막의 패터닝 전에 일어날 수도 있다. 금속-함유 EUV 레지스트 박막의 제거는 순수하게 열적 분위기에서 또는 습식 프로세스를 사용하여 발생할 수도 있다. 일부 실시 예들에서, 금속-함유 EUV 레지스트 막의 증착 및 제거는 동일한 프로세스 챔버에서 수행될 수도 있다. 그러나, 포토레지스트 재작업은 구현 예들에서 증착 동작과 상이한 프로세스 챔버에서 수행될 수도 있다는 것이 이해될 것이다. 실제로, 포토레지스트 재작업은 증착 챔버와 동일하거나 동일하지 않을 수도 있는, 베벨 에지 및/또는 배면 세정, 소성, 노출, 현상, 또는 에칭 동작에 후속하여 수행될 수도 있다.
제거될 증착된 EUV 레지스트 재료들은 일반적으로 Sn, O 및 C로 구성될 수 있지만, 막 스트립핑 및 포토레지스트 재작업이 다른 금속 옥사이드 레지스트들 및 재료들의 막들로 확장될 수 있다.
블록 (104) 에서, 반도체 기판의 배면 및/또는 베벨 에지를 세정하기 위해 선택 가능한 (optional) 세정 프로세스가 수행된다. 배면 및/또는 베벨 에지 세정은 기판 배면 및 베벨 에지 상의 다양한 레벨들의 산화 또는 교차 결합 (crosslinking) 을 갖는 막을 균등하게 제거하도록 EUV 레지스트 막을 비선택적으로 에칭할 수도 있다. 습식 증착 프로세싱 또는 건식 증착 프로세싱에 의한 EUV-패터닝 가능한 막의 도포 동안, 기판 베벨 에지 및/또는 배면 상에 어느 정도 레지스트 재료의 의도되지 않은 증착이 있을 수도 있다. 의도되지 않은 증착은 나중에 반도체 기판의 상단 표면으로 이동하고 입자 결함들이 되는 바람직하지 않은 입자들을 야기할 (lead) 수도 있다. 부가적으로, 이 베벨 에지 및 배면 증착은 패터닝 (스캐너) 및 현상 툴들의 오염을 포함하는 다운스트림 프로세싱 문제들을 유발할 수 있다. 이 베벨 에지 및 배면 증착의 제거는 습식 세정 기법 또는 건식 세정 기법에 의해 이루어질 수 있다.
예로서, 기판 베벨 에지 및/또는 배면 세정은 건식 세정 프로세스일 수도 있다. 일부 구현 예들에서, 건식 세정 프로세스는 다음 가스들: HBr, HCl, BCl3, SOCl2, Cl2, BBr3, H2, O2, PCl3, CH4, 메탄올, 암모니아, 포름산, NF3, HF 중 하나 이상을 갖는 증기 및/또는 플라즈마를 수반한다. 일부 구현 예들에서, 건식 세정 프로세스는 건식 현상 프로세스와 동일한 화학 물질들을 사용할 수도 있다. 베벨 에지 및/또는 배면 세정 프로세스를 위해, 증기 및/또는 플라즈마는 기판의 전면 상의 어떠한 막 열화도 없이, 배면 및 베벨만이 제거되는 것을 보장하도록 기판의 특정한 영역으로 제한되어야 한다.
프로세스 조건들은 베벨 에지 및/또는 배면 세정을 위해 최적화될 수도 있다. 일부 구현 예들에서, 더 높은 온도, 더 높은 압력, 및/또는 더 높은 반응 물질 플로우는 상승된 에칭 레이트를 야기할 수도 있다. 건식 베벨 에지 및 배면 세정을 위한 적합한 프로세스 조건들은 포토레지스트 막 및 조성 및 특성들에 따라 100 sccm 내지 10000 sccm (예를 들어, 500 sccm의 HCl, HBr, HI, 또는 H2 및 Cl2 또는 Br2, BCl3 또는 H2, 또는 다른 할로겐-함유 화합물) 의 반응 물질 플로우, 20 ℃ 내지 140 ℃ (예를 들어, 80 ℃) 의 온도, 20 mTorr 내지 1000 mTorr (예를 들어, 100 mTorr) 의 압력 또는 50 Torr 내지 765 Torr (예를 들어, 760 Torr) 의 압력, 고 주파수 (예를 들어, 13.56 ㎒) 의 0 내지 500 W의 플라즈마 전력, 그리고 약 10 초 내지 20 초의 시간 동안일 수도 있다. 베벨 및/또는 배면 세정은 CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 Coronus® 툴을 사용하여 달성될 수도 있지만, 프로세싱 반응기의 능력들에 따라 더 넓은 범위의 프로세스 조건들이 사용될 수도 있다.
베벨 에지 및/또는 배면 세정은 대안적으로, 원본 (original) 포토레지스트가 손상되었거나 결함이 있을 때와 같이, 도포된 EUV 포토레지스트가 제거되고 포토레지스트 재도포를 위해 반도체 기판이 준비되는, 본 명세서에 기술된 바와 같은 전체 포토레지스트 제거 또는 포토레지스트 "재작업 (rework)"으로 확장될 수도 있다. 포토레지스트 재작업은 통상적으로 하부 반도체 기판을 손상시키지 않고 달성되고, 따라서 산소-기반 에칭이 보통 방지된다. 대신, 본 명세서에 기술된 바와 같은 유기 증기 화학 물질들 또는 할라이드-함유 화학 물질들의 변형들이 사용될 수도 있다. 포토레지스트 재작업 동작은 프로세스 (100) 동안 임의의 스테이지에서 적용될 수도 있다는 것이 이해될 것이다. 따라서, 포토레지스트 재작업 동작은 증착 후, 베벨 에지 및/또는 배면 세정 후, PAB 처리 후, EUV 노출 후, PEB 처리 후, 현상 후 또는 하드 소성 (hard bake) 후 적용될 수도 있다. 일부 구현 예들에서, 포토레지스트 재작업은 포토레지스트의 노출된 영역 및 노출되지 않은 영역의 비선택적 제거를 위해 수행될 수도 있지만, 하부 층에 대해서는 선택적이다.
프로세스 (100) 의 블록 (114) 에서, 포토레지스트 재작업은 프로세스 (100) 의 블록 (104) 에서 베벨 에지 및/또는 배면 세정 후에 수행된다. 이는 베벨 에지 및/또는 배면 세정 및 포토레지스트 재작업이 동일한 프로세스 챔버 내에서 수행되게 한다. 그러나, 포토레지스트 재작업은 일부 구현 예들에서 베벨 에지 및/또는 배면 세정과 상이한 프로세스 챔버에서 수행될 수도 있다는 것이 이해될 것이다.
프로세스 (100) 의 블록 (106) 에서, 선택 가능한 도포 후 소성 (post-application bake; PAB) 이 금속-함유 EUV 레지스트 막의 증착 후 그리고 EUV 노출 전에 수행된다. PAB 처리는 금속-함유 EUV 레지스트 막의 EUV 감도 (sensitivity) 를 상승시키기 위한 열 처리, 화학적 노출, 및 수분의 조합을 수반할 수도 있어, 금속-함유 EUV 레지스트 막에서 패턴을 현상하기 위해 EUV 도즈를 감소시킨다. PAB 처리 온도는 금속-함유 EUV 레지스트 막의 감도를 상승시키기 위해 튜닝되고 (tune) 최적화될 수도 있다. 예를 들어, 처리 온도는 약 90 ℃ 내지 약 200 ℃ 또는 약 150 ℃ 내지 약 190 ℃일 수도 있다. 일부 구현 예들에서, PAB 처리는 대기압과 진공 사이의 압력, 및 약 1 내지 15 분, 예를 들어 약 2 분의 처리 지속 기간으로 수행될 수도 있다. 일부 구현 예들에서, PAB 처리는 약 100 ℃ 내지 230 ℃의 온도에서 약 1 분 내지 2 분 동안 수행된다.
프로세스 (100) 의 블록 (114) 에서, 포토레지스트 재작업 동작은 프로세스 (100) 의 블록 (106) 에서 PAB 처리 후에 수행될 수도 있다. 이는 소성 및 포토레지스트 재작업이 동일한 프로세스 챔버 내에서 수행되게 한다. 그러나, 포토레지스트 재작업은 일부 구현 예들에서 PAB 처리와 상이한 프로세스 챔버에서 수행될 수도 있다는 것이 이해될 것이다.
프로세스 (100) 의 블록 (108) 에서, 금속-함유 EUV 레지스트 막은 패턴을 현상하기 위해 EUV 복사선에 노출된다. 일반적으로 말하면, EUV 노출은 화학적 조성의 변화 및 금속-함유 EUV 레지스트 막의 교차 결합에서 변화를 유발하여, 후속 현상을 위해 이용될 (exploit) 수 있는 에칭 선택도의 콘트라스트를 생성한다.
이어서 금속-함유 EUV 레지스트 막은 통상적으로 상대적으로 고 진공 하에서 막의 영역을 EUV 광에 노출함으로써 패터닝될 수도 있다. 본 명세서에 유용한 것들 중 EUV 디바이스들 및 이미징 (imaging) 방법들은 당업계에 공지된 방법들을 포함한다. 특히, 상기 논의된 바와 같이, 막의 노출된 영역들은 노출되지 않은 영역들에 대해 물리적 또는 화학적 특성들이 변경된 EUV 패터닝을 통해 생성된다. 예를 들어, 노출된 영역들에서, 금속-탄소 결합 분열 (cleavage) 이 베타-하이드라이드 (beta-hydride) 제거를 통해 발생할 수도 있어서, 후속 노출 후 소성 (post-exposure bake; PEB) 단계 동안 금속-산소 브리지들을 통해 하이드록사이드 및 교차 결합된 금속 옥사이드 모이어티들로 변환될 수 있는 반응성 및 액세스 가능 금속 하이드라이드 작용기들을 남긴다. 이 프로세스는 네거티브 톤 레지스트로서 현상을 위해 화학적 콘트라스트를 생성하도록 사용될 수 있다. 일반적으로, 알킬기의 더 큰 수의 베타-H는 더 감응성 막을 발생시킨다. 이는 또한 더 많은 분기들을 갖는 더 약한 Sn-C 결합으로 설명될 수 있다. 노출에 이어, 금속 옥사이드 막의 부가적인 교차 결합을 유발하도록 금속-함유 EUV 레지스트 막은 소성될 수도 있다. 노출된 영역과 노출되지 않은 영역 사이의 특성들의 차이는 노출되지 않은 영역들을 용해시키고 또는 노출된 영역들 상에 재료들을 증착하는 것과 같은 후속 프로세싱에 이용될 수도 있다. 예를 들어 패턴은 금속 옥사이드-함유 마스크를 형성하기 위해 적합한 방법을 사용하여 현상될 수 있다.
특히, 다양한 구현 예들에서, 표면 상에 존재하는 하이드로카빌-종단된 주석 옥사이드는, 특히 노출이 EUV를 사용하여 진공에서 수행될 때 이미징 층의 노출된 영역(들)에서 수소-종단된 주석 옥사이드로 변환된다. 그러나, 진공으로부터 공기로 노출된 이미징 층들을 제거하거나, 산소, 오존, H2O2, 또는 물의 제어된 도입은 표면 Sn-H의 Sn-OH로의 산화를 발생시킬 수 있다. 노출된 영역과 노출되지 않은 영역 사이의 특성들의 차이는, 예컨대 이미징 층에 재료를 선택적으로 첨가하거나 이미징 층으로부터 재료를 제거하도록 하나 이상의 시약들과 조사된 영역, 조사되지 않은 영역, 또는 둘 모두를 반응시킴으로써 후속 프로세싱에서 이용될 수도 있다.
본 기술 (technology) 의 메커니즘, 기능 또는 실용성을 제한하지 않고, 예를 들어 10 mJ/㎠ 내지 100 mJ/㎠의 도즈들에서 EUV 노출은 Sn-C 결합들의 분열을 발생시켜 알킬 치환기의 손실을 발생시키고, 입체 장애를 완화시키고, 저 밀도 막으로 하여금 붕괴되게 한다. 또한, 베타-하이드라이드 제거 반응들에서 생성된 반응성 금속-H 결합은 막의 하이드록실들과 같은 이웃하는 활성기들과 반응할 수 있어서, 추가 교차 결합 및 치밀화로 이어지고, 노출된 영역(들)과 노출되지 않은 영역(들) 사이의 화학적 콘트라스트를 생성한다.
EUV 광에 대한 금속-함유 EUV 레지스트 막의 노출에 이어서, 포토패터닝된 금속-함유 EUV 레지스트가 제공된다. 포토패터닝된 금속-함유 EUV 레지스트는 EUV 노출된 영역 및 노출되지 않은 영역을 포함한다.
프로세스 (100) 의 블록 (114) 에서, 포토레지스트 재작업 동작은 프로세스 (100) 의 블록 (108) 에서 EUV 노출 후에 수행될 수도 있다. 이는 포토패터닝된 금속-함유 EUV 레지스트를 형성한 후 포토레지스트 재작업이 발생하게 한다. 포토레지스트 재작업은 일부 구현 예들에서 EUV 노출과 상이한 프로세스 챔버에서 수행될 수도 있다는 것이 이해될 것이다.
프로세스 (100) 의 블록 (110) 에서, 선택 가능한 노출 후 소성 (PEB) 은 포토패터닝된 금속-함유 EUV 레지스트의 에칭 선택도의 콘트라스트를 더 증가시키도록 수행된다. 포토패터닝된 금속-함유 EUV 레지스트는 EUV 노출된 영역들의 교차 결합을 용이하게 하도록 다양한 화학 종의 존재 하에 열적으로 처리될 수 있거나, 대기 (ambient air) 에서 예를 들어 1 분 내지 5 분 동안 100 ℃ 내지 250 ℃ (예를 들어, 2 분 동안 190 ℃) 의 핫 플레이트 상에서 단순히 소성될 수 있다.
다양한 구현 예들에서, 소성 전략은 소성 분위기의 신중한 제어, 반응성 가스들의 도입, 및/또는 소성 온도의 램핑 (ramping) 레이트의 신중한 제어를 수반한다. 유용한 반응성 가스들의 예들은 예를 들어, 공기, H2O, H2O2 증기, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, 알코올, 아세틸아세톤, 포름산, Ar, He, 또는 이들의 혼합물들을 포함한다. PEB 처리는 (1) EUV 노출 동안 생성되는 유기 단편들의 완전한 증발을 유도하고 (3) EUV 노출에 의해 생성된 모든 Sn-H, Sn-Sn, 또는 Sn 라디칼 종을 금속 하이드록사이드로 산화시키고, 그리고 (3) 더 치밀하게 교차 결합된 SnO2-유사 네트워크를 형성하도록 이웃하는 Sn-OH 기들 사이의 교차 결합을 용이하게 하도록 설계된다. 소성 온도는 최적의 EUV 리소그래피 성능을 달성하도록 신중하게 선택된다. 너무 낮은 PEB 온도는 불충분한 교차 결합을 야기할 것이고, 결과적으로 주어진 도즈에서 현상을 위한 더 적은 화학적 콘트라스트를 야기할 것이다. 너무 높은 PEB 온도는 또한 노출되지 않은 영역 (이 예에서 마스크를 형성하기 위해 패터닝된 막의 현상에 의해 제거되는 영역) 에서 심각한 산화 및 막 수축을 포함하여 유해한 영향들을 가질 뿐만 아니라, 포토패터닝된 금속-함유 EUV 레지스트와 하부 층 사이의 계면에서 목표되지 않은 상호확산 (interdiffusion) 을 가질 것이고, 이들 모두는 화학적 콘트라스트의 손실 및 불용성 스컴 (scum) 으로 인한 결함 밀도의 상승에 원인이 될 수 있다. PEB 처리 온도는 약 100 ℃ 내지 약 300 ℃, 약 170 ℃ 내지 약 290 ℃, 또는 약 200 ℃ 내지 약 240 ℃일 수도 있다. 일부 구현 예들에서, PEB 처리는 대기압과 진공 사이의 압력, 및 약 1 내지 15 분, 예를 들어 약 2 분의 처리 지속 기간으로 수행될 수도 있다. 일부 구현 예들에서, PEB 열 처리는 에칭 선택도를 더 상승시키도록 반복될 수도 있다.
프로세스 (100) 의 블록 (114) 에서, 포토레지스트 재작업 동작은 프로세스 (100) 의 블록 (110) 에서 PEB 처리 후에 수행될 수도 있다. 이는 소성 및 포토레지스트 재작업이 동일한 프로세스 챔버 내에서 수행되게 한다. 그러나, 포토레지스트 재작업은 일부 구현 예들에서 PEB 처리와 상이한 프로세스 챔버에서 수행될 수도 있다는 것이 이해될 것이다.
프로세스 (100) 의 블록 (112) 에서, 포토패터닝된 금속-함유 EUV 레지스트가 레지스트 마스크를 형성하도록 현상된다. 다양한 구현 예들에서, 노출된 영역들이 제거되거나 (포지티브 톤) 노출되지 않은 영역들이 제거된다 (네거티브 톤). 일부 구현 예들에서, 현상은 포토패터닝된 금속-함유 EUV 레지스트의 노출된 영역 또는 노출되지 않은 영역 상에 선택적인 증착, 이어서 에칭 동작을 포함할 수도 있다. 일부 구현 예들에서, 현상은 건식 화학 물질들에 대한 노출로 이루어질 수도 있다. 일부 구현 예들에서 플라즈마를 스트라이킹 (strike) 하지 않고 현상이 행해질 수도 있다. 또는, 현상은 리모트 플라즈마 소스에서 활성화되거나 리모트 UV 복사에 대한 노출에 의해 활성화된 건식 화학 물질들의 플로우들로 행해질 수도 있다. 현상을 위한 포토레지스트는 주석, 하프늄, 텔루륨, 비스무트, 인듐, 안티몬, 아이오딘, 및 게르마늄으로 구성된 그룹으로부터 선택된 원소를 포함할 수도 있다. 이 원소는 높은 패터닝 복사-흡수 단면을 가질 수도 있다. 일부 구현 예들에서, 이 원소는 높은 EUV-흡수 단면을 가질 수도 있다. 일부 구현 예들에서, 금속-함유 EUV 레지스트는 30 %보다 더 큰 전체 흡수를 가질 수도 있다. 완전-건식 리소그래피 프로세스에서, 이는 EUV 광자들의 더 효율적인 활용을 제공하여, 더 두껍고 더 EUV-불투명 레지스트들의 현상을 가능하게 한다.
현상을 위한 프로세스들의 예들은 EUV 노출 도즈 및 노출 후 소성 (post-exposure bake) 을 겪은 다음 현상되는, 유기 주석 옥사이드 함유 EUV-감응 포토레지스트 박막 (예를 들어, 10 내지 40 ㎚ 두께, 예컨대 20 ㎚) 을 수반한다. 포토레지스트 막은 예를 들어, 이소프로필(트리스)(디메틸아미노)주석과 같은 유기주석 전구체와 수증기의 가스상 반응에 기초하여 증착될 수도 있고, 또는 유기 매트릭스 내에 주석 클러스터들을 포함하는 스핀-온 막일 수도 있다.
프로세스 (100) 의 블록 (114) 에서, 포토레지스트 재작업이 프로세스 (100) 의 블록 (112) 에서 현상 후에 수행될 수도 있다. 이는 현상 및 포토레지스트 재작업이 동일한 프로세스 챔버 내에서 수행되게 한다. 그러나, 포토레지스트 재작업은 일부 구현 예들에서 현상과 상이한 프로세스 챔버에서 수행될 수도 있다는 것이 이해될 것이다.
도 2는 일부 구현 예들에 따라 금속-함유 레지스트를 건식 현상하는 예시적인 방법의 흐름도를 제시한다. 프로세스 (200) 의 동작들은 상이한 순서들로 그리고/또는 상이한, 더 적은 또는 부가적인 동작들과 함께 수행될 수도 있다. 프로세스 (200) 의 양태들은 도 4a 내지 도 4f, 도 5a 내지 도 5c, 및 도 6a 내지 도 6c를 참조하여 기술될 수도 있다. 프로세스 (200) 의 하나 이상의 동작들은 도 7 내지 도 11 중 어느 하나에 기술된 장치를 사용하여 수행될 수도 있다. 일부 구현 예들에서, 프로세스 (200) 의 동작들은 하나 이상의 비일시적 컴퓨터 판독 가능 매체에 저장된 소프트웨어에 따라 적어도 부분적으로 구현될 수도 있다.
프로세스 (200) 의 블록 (202) 에서, 금속-함유 레지스트가 프로세스 챔버 내의 반도체 기판의 하부층 상에 제공된다. 금속-함유 레지스트가 반도체 기판의 표면 상에 증착될 수도 있다. 금속-함유 레지스트는 반도체 기판 상에 건식 또는 습식 증착된다. 일부 구현 예들에서, 금속-함유 레지스트는 현상을 겪은 후 포토패터닝된 금속-함유 레지스트로서 제공된다. 일부 구현 예들에서, 금속-함유 레지스트는 EUV 노출 후 EUV 노출된 영역 및 EUV 노출되지 않은 영역을 갖는 포지티브 톤 레지스트 또는 네거티브 톤 레지스트로서 제공된다. 일부 구현 예들에서, 금속-함유 레지스트는 EUV 노출 및 현상 전에 포토패터닝 가능한 금속-함유 레지스트로서 제공된다. 일부 구현 예들에서, 금속-함유 레지스트는 금속-함유 EUV 레지스트이고, 여기서 금속-함유 EUV 레지스트는 유기-금속 옥사이드 또는 유기-금속-함유 막일 수도 있다. 금속-함유 레지스트 재료의 조성은, 예를 들어, 전체가 모든 목적들을 위해 참조로서 본 명세서에 인용된, 2019년 5월 9일 출원된 국제 특허 출원 번호 PCT/US2019/031618에 기술될 수도 있다. 방법들은 중합된 (polymerized) 유기금속 재료들이 증기상으로 생성되고, 반도체 기판 상에 증착되는 것을 포함한다. 예를 들어, 금속-함유 레지스트 재료의 원소는 주석, 하프늄, 텔루륨, 비스무트, 인듐, 안티몬, 아이오딘, 게르마늄, 및 이들의 조합들로 구성된 그룹으로부터 선택될 수도 있다.
금속-함유 레지스트는 기판의 하부층 상에 배치된다 (dispose). 하부층은 마스크로서 금속-함유 레지스트를 사용하여 패터닝될 디바이스 층을 포함할 수도 있다. 금속-함유 레지스트의 현상 후, 하부층은 금속-함유 레지스트의 패턴에 따라 에칭될 수도 있다. 일부 구현 예들에서, 하부층은 SOG (spin-on glass), SOC (spin-on carbon), 비정질 또는 결정질 탄소, 또는 실리콘 옥시나이트라이드 (SiON) 를 포함한다. 예를 들어, 하부층은 PECVD (plasma-enhanced chemical vapor deposition) 에 의해 증착된 탄소와 같은 탄소를 포함할 수도 있다. 금속-함유 레지스트는 후속하는 포토레지스트 재작업이 하부층에 대해 금속-함유 레지스트에 대해 선택 가능할 수도 있도록 하부층과 상이한 재료로 구성된다.
프로세스 (200) 의 블록 (204) 에서, 금속-함유 레지스트는 금속-함유 레지스트를 제거하기 위해 제 1 상승된 온도에서 할라이드를 포함하는 에칭 가스에 노출된다. 일부 실시 예들에서, 포토레지스트 재작업은 플라즈마에 대한 어떠한 노출도 없이 열적 분위기에서 수행된다. 일부 대안적인 실시 예들에서, 포토레지스트 재작업은 금속-함유 레지스트의 제거를 가속화하도록 플라즈마에 노출하는 열적 분위기에서 수행된다. 포토레지스트 재작업을 위한 에칭 가스는 할로겐-함유 가스를 포함한다. 일부 실시 예들에서, 금속-함유 레지스트는 하부층에 대해 선택적으로 제거된다. 일부 다른 실시 예들에서, 금속-함유 레지스트 및 하부층은 제 1 상승된 온도에서 에칭 가스에 대한 노출과 함께 제거된다.
포토레지스트 재작업은 삼염화 붕소 (BCl3) 증기, 불소 가스 (F2), 염소 가스 (Cl2), 브롬 가스 (Br2), 또는 아이오딘 가스 (I2) 와 혼합된 수소 가스 (H2) 와 같은 할로겐 증기들, 또는 수소 플루오라이드 (HF), 수소 클로라이드 (HCl), 수소 브로마이드 (HBr), 또는 수소 아이오다이드 (HI) 와 같은 수소 할라이드의 증기를 수반할 수도 있다. 그러나, 이러한 증기들은 현상 후 잔여물 또는 스컴을 남길 수도 있다. 잔여물은 반도체 기판의 표면들 상에 흡착된 잔여 에칭 부산물을 포함할 수도 있다. 예를 들어, 할로겐 증기들은 제거하기 어려운 잔여 에칭 부산물들을 형성하도록 수분 또는 산소와 반응할 수도 있다. 일부 경우들에서, 잔여물은 다운스트림 프로세싱 툴들을 오염시킬 수 있는 고 금속 농도들 또는 입자들 또는 금속 옥사이드 (예를 들어, SnOx) 의 클러스터들을 포함할 수도 있다. 포토레지스트 재작업이 진행됨에 따라, 금속 옥사이드의 클러스터들은 더 집중될 수도 있다. 금속 옥사이드의 클러스터들은 일반적으로 제거하기 어렵다. 그리고 잔여물이 제거하기 어려울 수도 있고 쉽게 휘발되지 않을 수도 있기 때문에, 별도의 플라즈마 단계 또는 플라즈마 성능 (capability) 을 갖는 별도의 챔버가 필요할 수도 있다. 그렇지 않으면, 잔여물을 휘발시키도록 고온 스윙들이 수행된다. 일부 실시 예들에서, 포토레지스트 재작업을 위한 에칭 가스는 유기산과 같은 유기 증기를 포함한다. 일부 구현 예들에서, 유기산은 카르복시산을 포함한다. 일부 구현 예들에서, 유기산은 트리플루오로아세트산 (CF3COOH), 헥사플루오로-아세틸아세톤 (CF3CCH2CCF3), 트리플루오로아세트산 안하이드라이드 ((CF3CO)2O), 아세트산 안하이드라이드 ((CH3CO)2O), 트리클로로아세트산 (CCl3COOH), 모노-플루오로아세트산 (CFH2COOH), 디-플루오로아세트산 (CF2HCOOH), 클로로-디플루오로-아세트산, 아세트산의 황-함유 유사체, 티오아세트산 (CH3COSH), 또는 티오글리콜산 (HSCH2CO2H) 과 같은 혼합된 할라이드 아세트산을 포함한다.
본 개시의 포토레지스트 재작업은 플라즈마-프리 열적 프로세스에서 수행될 수도 있다. 이는 포토레지스트 재작업을 위한 프로세스 챔버가 플라즈마 능력이 없을 수도 있다는 것을 의미한다. 플라즈마 노출을 제거함으로써, 이는 반도체 기판에 대한 플라즈마 손상을 방지하고 비용을 상당히 감소시키고 쓰루풋을 증가시킬 수 있다. 게다가, 프로세스 챔버의 내부 표면들은 플라즈마에 내성뿐만 아니라 수소 할라이드들과 같은 할로겐 증기에 내성이 있을 필요가 없는 재료들로 이루어질 수도 있다. 플라즈마-프리 열적 접근법을 적용함으로써, 저 비용 열적 진공 챔버/오븐에서 동시에 복수의 웨이퍼들이 배치 (batch) 현상될 수 있기 때문에 생산성이 상당히 개선될 수 있다. 그러나, 일부 구현 예들에서, 열적 포토레지스 재작업 프로세스는 플라즈마에 대한 노출이 이어질 수도 있다. 플라즈마에 대한 후속 노출은 탈착, 디스커밍 (descumming), 처리, 또는 다른 프로세싱 동작들을 위해 발생할 수도 있다. 대안적으로, 일부 구현 예들에서, 열적 포토레지스트 재작업 프로세스는 금속-함유 레지스트의 제거를 가속화하기 위해 플라즈마에 대한 노출을 수반할 수도 있다.
일부 실시 예들에서, 열적 포토레지스트 재작업은 다른 포토리소그래피 동작들과 동일한 플랫폼 또는 심지어 동일한 프로세스 챔버에 통합될 수도 있다. 이러한 방식으로, 열적 포토레지스트 재작업은 동작들 사이에 진공 브레이크를 도입하지 않고 수행될 수도 있다. 예로서, 프로세스 챔버는 포토레지스트 재작업을 수행하기 위한 재작업 챔버일 수도 있고, 재작업 챔버는 또한 포토레지스트의 건식 현상 및/또는 하부 재료들의 건식 에칭을 수행하도록 구성될 수도 있다.
금속-함유 레지스트의 포토레지스트 재작업은 금속-함유 레지스트의 건식 증착 (예를 들어, CVD), 건식 챔버 세정, 또는 하부층의 건식 에칭, 또는 건식 현상과 같은 다른 건식 프로세싱 동작들과 조합될 수도 있다. 일부 구현 예들에서, 반도체 기판의 프로세싱은 기상 증착, EUV 리소그래피 패터닝, 건식 현상 및 건식 포토레지스트 재작업에 의한 막 형성을 포함하는 완전 건식 단계들을 결합할 수도 있다. 소성 동작들, 베벨 에지 및/또는 배면 세정 동작들, 및 챔버 세정 동작들은 또한 건식 동작들일 수도 있다. 이러한 프로세싱 동작들은 습식 현상과 같은 습식 프로세싱 동작들과 연관된 재료 및 생산성 비용을 방지할 수도 있다. 더욱이, 건식 프로세스는 더 많은 튜닝 가능성 (tunability) 을 제공하고, 추가 임계 치수 (Critical Dimension; CD) 제어 및 가능한 스컴 (scum) 제거를 부가할 수 있다. 완전-건식 프로세싱 동작들을 채용하는 것은 주변 공기 또는 함유된 미량의 오염 물질들에 의한 오염 및 노출 없이 상호 연결된 진공 프로세싱 챔버 내 통합을 용이하게 할 수도 있다.
일부 구현 예들에서, 프로세스 챔버는 에칭 가스의 전달을 위한 샤워헤드를 포함할 수도 있다. 일부 구현 예들에서, 프로세스 챔버는 에칭 가스의 전달을 위해 샤워헤드 이외의 가스 유입구들을 포함할 수도 있다. 가스 유입구들은 에칭 가스가 샤워헤드를 통한 전달을 통해 도달할 가능성이 더 작은 프로세스 챔버의 영역들에 포지셔닝될 수도 있다. 일부 구현 예들에서, 가스 유입구들은 기판 지지부 아래에 포지셔닝될 수도 있고, 프로세스 챔버의 벽들 내에 포지셔닝될 수도 있고, 그리고/또는 프로세스 챔버의 배기부에 가깝게 포지셔닝될 수도 있다. 복수의 가스 유입구들이 프로세스 챔버 내로 에칭 가스의 전달을 위해 사용될 수도 있다. 이는 반도체 기판으로부터 그리고 심지어 프로세스 챔버 전체에서 금속-함유 레지스트의 완전한 제거를 보장할 수 있다.
일부 구현 예들에서, 프로세스 챔버는 온도 제어를 위한 하나 이상의 챔버 부품들을 갖는 열적 프로세스 챔버일 수도 있다. 일부 실시 예들에서, 열적 프로세스 챔버는 반도체 기판 밑에 (underneath) 포지셔닝된 가열 어셈블리를 포함할 수도 있다. 일부 실시 예들에서, 가열 어셈블리는 복수의 독립적으로 제어 가능한 가열 존들을 포함할 수도 있다. 일부 실시 예들에서, 가열 어셈블리는 발광 다이오드들 (light-emitting diodes; LEDs) 과 같은 복수의 가열 엘리먼트들을 포함할 수도 있다. LED들은 LED 기판 지지부 또는 척의 일부를 형성할 수도 있다. 일부 실시 예들에서, 가열 어셈블리는 하나 이상의 적외선 (IR) 램프들을 포함할 수도 있는 복사 가열 어셈블리를 포함할 수도 있다.
일부 구현 예들에서, 열적 프로세스 챔버는 반도체 기판 위에 포지셔닝된 가열 어셈블리를 포함할 수도 있다. 가열 어셈블리는 기판 온도 제어를 위해 반도체 기판과 대면할 수도 있다. 그 결과, 열은 배면을 통하지 않고 반도체 기판의 전면으로 지향될 수도 있다. 이는 반도체 기판의 배면으로부터 전달될 열을 요구하지 않고 금속-함유 레지스트로 하여금 가열되게 한다. 일부 실시 예들에서, 가열 어셈블리는 LED들과 같은 복수의 가열 엘리먼트들을 포함할 수도 있다. 가열 엘리먼트들은 반도체 기판을 가열하기 위해 윈도우 또는 포트를 통해 프로세스 챔버 외부로부터 복사선을 방출할 수도 있다. 가열 엘리먼트들은 대안적으로 프로세스 챔버 내부로부터 복사선을 방출할 수도 있고, 여기서 가열 엘리먼트들은 샤워헤드 둘레에 포지셔닝되거나 샤워헤드 상에 포지셔닝될 수도 있다. 일부 실시 예들에서, 가열 어셈블리는 하나 이상의 IR 램프들을 포함할 수도 있는 복사 가열 어셈블리를 포함할 수도 있다.
가열 어셈블리는 금속-함유 레지스트의 제거 동안 반도체 기판을 제 1 상승된 온도로 가열하기 위해 채용될 수도 있다. 가열 어셈블리는 다른 동작들을 위해 반도체 기판을 가열하기 위해 채용될 수도 있다. 예를 들어, 가열 어셈블리는 반도체 기판 (예를 들어, 하부층) 상의 또는 프로세스 챔버의 다른 곳 (예를 들어, 챔버 벽들) 의 탈할로겐화 (dehalogenation) (예를 들어, 잔여 할라이드들의 제거) 를 위해 반도체 기판을 가열하도록 채용될 수도 있다. 부가적으로 또는 대안적으로, 가열 어셈블리는 하부층을 처리하고 그리고/또는 에칭 부산물들의 휘발을 촉진하기 위해 채용될 수도 있다.
일반적으로, 온도가 더 낮을수록 에칭 선택도의 콘트라스트를 상승시킬 수도 있는 한편, 온도가 더 높을수록 에칭 선택도의 콘트라스트를 감소시킬 수도 있다. 따라서, 더 높은 온도들은 반도체 기판 상의 잔여물 형성을 제한할 수도 있고 그리고 에칭 부산물들의 휘발을 증가시킬 수도 있다. 게다가, 더 높은 온도들은 금속-함유 레지스트와 하부층 사이의 에칭 선택도를 감소시킬 수도 있다.
기판 온도는 에칭 가스를 사용하여 금속-함유 레지스트의 제거를 촉진하도록 튜닝될 수도 있다. 기판 온도는 금속-함유 레지스트와 하부층 사이의 에칭 선택도에 영향을 줄 수도 있다. 반도체 기판은 제 1 상승된 온도로 가열될 수도 있고, 제 1 상승된 온도는 약 40 ℃ 내지 약 300 ℃, 약 60 ℃ 내지 약 250 ℃, 또는 약 80 ℃ 내지 약 150 ℃일 수도 있다. 바람직하게, 제 1 상승된 온도는 약 100 ℃일 수도 있다.
챔버 압력은 튜닝될 수도 있고, 챔버 압력은 금속-함유 레지스트와 하부층 사이의 에칭 선택도에 영향을 줄 수도 있다. 일반적으로, 더 높은 압력들은 금속-함유 레지스트와 하부층 사이의 에칭 선택도를 포함하는 에칭 선택도를 감소시킨다. 일부 구현 예들에서, 챔버 압력은 약 50 mTorr 내지 약 765 Torr (주변 압력 이상), 약 100 mTorr 내지 약 760 Torr (주변 압력), 약 100 mTorr 내지 약 2000 mTorr, 또는 약 200 mTorr 내지 약 1000 mTorr일 수도 있다. 바람직하게, 챔버 압력은 약 400 mTorr일 수도 있다.
에칭 가스의 가스 플로우 레이트는 튜닝될 수도 있고, 가스 플로우는 포토레지스트 재작업 동안 금속-함유 레지스트와 하부층 사이의 에칭 선택도에 영향을 줄 수도 있다. 일부 구현 예들에서, 가스 플로우는 약 50 sccm 내지 약 20000 sccm, 약 100 sccm 내지 약 10000 sccm, 또는 약 100 sccm 내지 약 5000 sccm, 또는 약 200 sccm 내지 약 5000 sccm일 수도 있다. 바람직하게, 에칭 가스 (예를 들어, 수소 할라이드) 의 가스 플로우 레이트는 약 470 sccm일 수도 있다.
에칭 가스에 대한 노출의 지속 기간은 포토레지스트 재작업 프로세스에서 튜닝될 수도 있고, 여기서 노출 시간들은 금속-함유 레지스트와 하부층 사이의 에칭 선택도에 영향을 줄 수도 있다. 일반적으로 말하면, 더 긴 노출 시간들은 금속-함유 레지스트와 하부층 사이의 에칭 선택도를 포함하는 에칭 선택도를 감소시킨다. 노출의 지속 기간은 다른 인자들 중에서도, 제거될 금속-함유 레지스트의 양, 에칭 가스 화학 물질, 레지스트의 교차 결합량, 및 레지스트의 조성 및 특성들에 종속될 수도 있다. 일부 구현 예들에서, 노출의 지속 기간은 약 1 분 내지 약 30 분, 약 2 분 내지 약 20 분, 또는 약 3 분 내지 약 15 분일 수도 있다.
상기 기술된 바와 같이, 포토레지스트 재작업 동안 에칭 선택도는 다른 튜닝 가능한 프로세스 조건들 중에서 온도, 압력, 가스 플로우, 지속 기간 및 가스 조성과 같은 프로세스 조건들을 제어함으로써 튜닝 가능하다. 단일 단계 또는 복수의 단계들에서 에칭 선택도를 튜닝하는 것은 목표된 결과들을 달성할 수도 있다. 보다 구체적으로, 하부층의 디스커밍, 평활화, 탈할로겐화, 처리 또는 제거는 부분적으로 포토레지스트 재작업 동안 프로세스 조건들에 종속될 수도 있다.
본 개시의 열적 프로세스들을 사용하는 포토레지스트 재작업은 반도체 기판 상에 남아 있는 결함 흔적들 및 잔여물들 (예를 들어, 잔여 할라이드들) 이 거의 없거나 전혀 없도록 금속-함유 레지스트를 효과적으로 제거할 수도 있다. 일부 구현 예들에서, 본 개시의 열적 프로세스들을 사용한 포토레지스트 재작업은 금속 원자들 (예를 들어, 주석 원자들) 이 반도체 기판의 표면 상에 약 1x1010 atoms/㎠ 미만의 양으로 존재하도록 금속-함유 레지스트를 실질적으로 제거할 수도 있다.
블록 (204) 에서 포토레지스트 재작업은 하나 이상의 후속 동작들을 수반할 수도 있다. 이러한 동작들은 일부 구현 예들에서 반도체 기판 상에 남아 있는 모든 금속-함유 레지스트를 제거할 수도 있다. 예를 들어, 결함은 에칭 가스에 노출 후 부가적인 습식 세정 단계에 의해 개선될 수도 있다. 대안적으로, 하나 이상의 후속하는 동작들은 하부층을 처리하거나 하부층을 제거할 수도 있다. 전술한 동작들은 플라즈마 또는 열적 노출을 활용할 수도 있다.
프로세스 (200) 의 블록 (206) 에서, 하부층을 처리하거나 제거하기 위해 하부층은 선택 가능하게 (optionally) 제 2 상승된 온도에서 제거 가스에 노출된다. 하부층은 금속-함유 레지스트의 제거 후 또는 금속-함유 레지스트의 제거와 동시에 처리되거나 제거될 수도 있다. 하부층의 처리는 반도체 기판의 표면 상의 잔여물 (예를 들어, 잔여 할라이드들 또는 금속 옥사이드의 클러스터들) 또는 다른 오염물들을 제거할 수도 있다. 일부 구현 예들에서, 제 2 상승된 온도는 제 1 상승된 온도보다 더 높을 수도 있다. 일부 구현 예들에서, 제 2 상승된 온도는 약 120 ℃ 내지 약 600 ℃, 약 160 ℃ 내지 약 500 ℃, 또는 약 200 ℃ 내지 약 400 ℃일 수도 있다. 상승된 온도는 금속-함유 레지스트와 하부층 사이의 에칭 선택도를 감소시킨다. 일부 구현 예들에서, 제거 가스는 에칭 가스와 상이할 수도 있다. 예를 들어, 제거 가스는 산소 (O2), 오존 (O3), 또는 이산화탄소 (CO2) 와 같은 산화 화학 물질을 포함할 수 있다. 또 다른 예에서, 제거 가스는 수소 (H2) 또는 형성 가스 (H2 및 N2의 혼합물) 와 같은 환원 화학 물질을 포함할 수 있다.
프로세스 (200) 의 블록 (208) 에서, 하부층은 하부층을 처리하거나 제거하기 위해 선택 가능하게 플라즈마에 노출된다. 하부층은 금속-함유 레지스트의 제거 후 또는 금속-함유 레지스트의 제거와 동시에 처리되거나 제거될 수도 있다. 플라즈마는 탈착, 디스커밍, 탈할로겐화 및 평활화 동작들에 유용할 수도 있다. 하부층의 플라즈마 처리는 반도체 기판의 표면으로부터 잔여물 (예를 들어, 잔여 할라이드들 또는 금속 옥사이드 클러스터들) 또는 다른 오염물들을 제거할 수도 있다. 플라즈마 처리는 후속하는 금속-함유 레지스트 증착을 위해 반도체 기판의 표면을 재활성화할 수도 있고, 이는 "표면 리프레시"로 지칭될 수도 있다. 일부 예들에서, 포토레지스트 재작업 후 잔여물 또는 스컴이 있을 수도 있다. 잔여물 또는 스컴은 스핀-코팅 기법들에 의해 적용된 것들을 포함하는, 덜 균질 EUV 레지스트 포뮬레이션들에서 더 느린 에칭 컴포넌트들로부터 발생할 수도 있다. 이러한 스컴은 후속하는 반도체 프로세싱 동작들 동안 문제가 될 수도 있는 고 금속 농도들을 갖는 입자들 또는 클러스터들을 포함할 수도 있다. 따라서, 포토레지스트 재작업은 플라즈마 처리와 같은 처리를 수반할 수도 있다. 플라즈마 처리 동안, 플라즈마 전력은 고 이온 에너지를 가져 상대적으로 낮을 수도 있다. 일부 구현 예들에서, 플라즈마 전력은 약 50 W 내지 약 1000 W, 또는 약 100 W 내지 약 300 W일 수도 있다. 일부 구현 예들에서, 웨이퍼 바이어스는 약 10 V 내지 약 500 V, 또는 약 50 V이다. 및 약 300 V. 플라즈마에 대한 노출 지속 기간은 과잉 플라즈마를 방지하기 위해 상대적으로 짧을 수도 있다. 일부 구현 예들에서, 플라즈마 노출의 지속 기간은 약 0.5 초 내지 약 20 초 또는 약 1 초 내지 약 5 초이다. 일부 구현 예들에서, 플라즈마는 산소, 오존, 또는 이산화탄소와 같은 산화제의 라디칼들 및/또는 이온들을 포함할 수도 있다. 일부 구현 예들에서, 플라즈마는 수소와 같은 환원제의 라디칼들 및/또는 이온들을 포함할 수도 있다. 일부 구현 예들에서, 플라즈마는 ICP (inductively-coupled plasma) 반응기, TCP (transformer-coupled plasma) 반응기, CCP (capacitively-coupled plasma) 반응기, 또는 당업계에 공지된 다른 반응기들과 같은 플라즈마-생성 챔버에서 생성될 수도 있다.
일부 구현 예들에서, 프로세스 (200) 는 반도체 기판으로부터 잔여 금속-함유 레지스트를 제거하기 위해 반도체 기판 상에서 습식 세정을 수행하는 단계를 더 포함한다. 습식 세정은 블록 (204) 에서 포토레지스트 재작업 후, 블록 (206) 에서 제 2 상승된 온도에서 제거 가스에 노출 후, 또는 블록 (208) 에서 플라즈마에 노출 후 수행될 수도 있다. 습식 세정은 하나 이상의 무기 산성 용액을 채용할 수도 있다. 일부 구현 예들에서, 반도체 기판은 희석된 불산 (dHF) 과 같은 희석된 산의 수용액에 노출되고 이어서 희석된 염산 (dHCl) 과 같은 희석된 산의 또 다른 수용액에 노출될 수도 있다. 이러한 희석된 산들은 약 10 : 1 이상, 20 : 1 이상, 또는 100 : 1 이상의 몰비 (혼합비) 를 가질 수도 있다. 일부 구현 예들에서, 반도체 기판은 dHF와 같은 희석된 산의 수용액에 노출될 수도 있고 암모늄 하이드록사이드 (NH4OH) 및 과산화수소 (H2O2) 를 포함하는 세정 용액으로의 노출이 이어질 수도 있다. 이에 더하여 또는 대안적으로, 반도체 기판은 황산 (H2SO4) 및 물, H2O2, 및 HF와의 혼합물들에 노출될 수도 있고, 이는 또한 DSP (희석된 과산화 황) 또는 DSP+ (희석된 과산화 황-HF) 로서 지칭될 수도 있다. 일부 구현 예들에서, 습식 세정은 아세트산과 같은 하나 이상의 유기산들을 채용할 수도 있다. 일부 구현 예들에서, 습식 세정은 반수성 용매들을 채용할 수도 있다.
일부 구현 예들에서, 프로세스 (200) 는 원치 않은 입자들을 제거하기 위해 프로세스 챔버를 퍼지하고 그리고/또는 펌핑하는 단계를 더 포함한다. 퍼지 가스는 반도체 기판뿐만 아니라 프로세스 챔버 내에서 금속 유기 전구체 및 잔여 할라이드들과 같은 원치 않은 입자들의 제거를 용이하게 하도록 프로세스 챔버 내로 흐를 수도 있다. 금속 유기 전구체 및 잔여 할라이드들의 퍼지가 원치 않은 부산물들을 방지하는데 유용할 수도 있다. 퍼지 및/또는 펌핑은 프로세스 챔버 및 반도체 기판의 탈할로겐화를 수행할 수도 있다.
열적 건조 환경에서 포토레지스트 재작업을 수행하는 대신, 금속-함유 레지스트의 포토레지스트 재작업은 습식 화학 물질들을 사용하여 달성될 수 있다. 이와 같이, 습식 화학 물질들은 할로겐-함유 가스들과 같은 건식 화학 물질들로부터 보조 없이 금속-함유 레지스트를 효과적으로 제거할 수도 있다. 도 3은 일부 구현 예들에 따라 금속-함유 레지스트를 제거하는 대안적인 예시적인 방법의 흐름도를 제시한다. 프로세스 (300) 의 양태들은 도 4a 내지 도 4f, 도 5a 내지 도 5c, 및 도 6a 내지 도 6c를 참조하여 기술될 수도 있다. 프로세스 (300) 의 하나 이상의 동작들은 도 7 내지 도 11 중 어느 하나에 기술된 장치를 사용하여 수행될 수도 있다. 일부 구현 예들에서, 프로세스 (300) 의 동작들은 하나 이상의 비일시적 컴퓨터 판독 가능 매체에 저장된 소프트웨어에 따라 적어도 부분적으로 구현될 수도 있다.
프로세스 (300) 의 블록 (302) 에서, 금속-함유 레지스트가 프로세스 챔버 내의 반도체 기판의 하부층 상에 제공된다. 금속-함유 레지스트가 반도체 기판의 표면 상에 증착될 수도 있다. 금속-함유 레지스트는 반도체 기판 상에 건식 또는 습식 증착된다. 일부 구현 예들에서, 금속-함유 레지스트는 현상을 겪은 후 포토패터닝된 금속-함유 레지스트로서 제공된다. 일부 구현 예들에서, 금속-함유 레지스트는 EUV 노출 후 EUV 노출된 영역 및 EUV 노출되지 않은 영역을 갖는 포지티브 톤 레지스트 또는 네거티브 톤 레지스트로서 제공된다. 일부 구현 예들에서, 금속-함유 레지스트는 EUV 노출 및 현상 전에 포토패터닝 가능한 금속-함유 레지스트로서 제공된다. 일부 구현 예들에서, 금속-함유 레지스트는 금속-함유 EUV 레지스트이고, 여기서 금속-함유 EUV 레지스트는 유기-금속 옥사이드 또는 유기-금속-함유 막일 수도 있다.
금속-함유 레지스트는 기판의 하부층 상에 증착된다. 하부층은 마스크로서 금속-함유 레지스트를 사용하여 패터닝될 디바이스 층을 포함할 수도 있다. 금속-함유 레지스트의 현상 후, 하부층은 금속-함유 레지스트의 패턴에 따라 에칭될 수도 있다. 일부 구현 예들에서, 하부층은 스핀-온 유리, 스핀-온 탄소, 비정질 또는 결정질 탄소, 또는 실리콘 옥시나이트라이드를 포함한다. 예를 들어, 하부층은 PECVD에 의해 증착된 탄소와 같은 탄소를 포함할 수도 있다. 금속-함유 레지스트는 후속하는 포토레지스트 재작업이 하부층에 대해 금속-함유 레지스트에 대해 선택 가능할 수도 있도록 하부층과 상이한 재료로 구성된다.
프로세스 (300) 의 블록 (304) 에서, 금속-함유 레지스트는 금속-함유 레지스트를 제거하기 위해 적어도 무기 산성 용액에 노출된다. 특히, 포토레지스트 재작업은 프로세스 챔버로서 습식 세정 챔버 내에서 습식 세정을 사용하여 수행될 수도 있다. 따라서, 포토레지스트 재작업은 플라즈마 또는 건식 화학 물질로부터의 보조 없이 습식 화학 물질을 사용하여 진행될 수도 있다. 무기 산성 용액은 약 3.8 이하인 pKa를 갖는 강산을 포함할 수도 있다. 일부 실시 예들에서, 금속-함유 레지스트는 하부층에 대해 선택적으로 제거된다. 일부 다른 실시 예들에서, 금속-함유 레지스트 및 하부층은 습식 화학 물질들에 대한 노출과 함께 제거된다. 무기 산성 용액은 반도체 기판의 일면 또는 양면에 도포될 수도 있다. 예를 들어, 금속-함유 레지스트를 무기 산성 용액에 노출시키는 것은 반도체 기판의 전면 및 배면을 무기 산성 용액에 노출시키는 것을 포함할 수도 있다.
통상적으로, 포토레지스트는 무기 산성 용액들 대신 유기 용매들을 사용하여 제거된다. 무기 산성 용액들은 통상적으로 포토레지스트들의 제거에 채용되지 않는다. 그러나, 무기 산성 용액들은 포토레지스트 재작업을 위해 유기-금속 옥사이드 포토레지스트들과 같은 금속-함유 레지스트들에 도포될 수도 있다. 무기 산성 용액들은 dHF 및 dHCl과 같은 희석된 산들을 포함할 수도 있다. 이러한 희석된 산들은 약 2 : 1 이상, 5 : 1 이상, 10 : 1 이상, 또는 20 : 1 이상의 몰비 (혼합비) 를 가질 수도 있다. 다른 무기 산성 용액들은 DSP 또는 DSP+를 포함할 수도 있다. 그러나, 일부 다른 구현 예들에서, 습식 세정에 의한 포토레지스트 재작업은 아세트산 또는 반수성 용매들과 같은 유기 산성 용액들을 활용할 수도 있다는 것이 이해될 것이다.
습식 화학 물질들을 사용한 포토레지스트 재작업은 다단계 순차적인 방식으로 진행될 수도 있다. 일부 구현 예들에서, 무기 산성 용액이 처음에 반도체 기판에 도포될 수도 있고 이어서 또 다른 무기 산성 용액 또는 세정 용액이 도포될 수도 있다. 일 예에서, 습식 세정에 의한 포토레지스트 재작업은 반도체 기판을 dHF에 노출시키고 이어서 반도체 기판을 dHCl에 노출시킴으로써 발생할 수도 있다. dHF와 같은 산성 용액에 대한 노출은 주로 포토레지스트의 금속-함유 레지스트 재료를 제거하는 역할할 (serve) 수도 있다. 또 다른 예에서, 습식 세정에 의한 포토레지스트 재작업은 반도체 기판을 dHF에 노출시키고 이어서 반도체 기판을 NH4OH 및 H2O2를 포함하는 세정 용액에 노출시킴으로써 발생할 수도 있다. 이러한 세정 용액의 도포는 RCA Corporation에 의해 개발된 RCA-1 세정을 구성할 수도 있고, 이는 또한 표준 세정-1 ("SC-1") 로 지칭된다.
금속-함유 레지스트의 포토레지스트 재작업은 습식 증착 (예를 들어, 스핀-온 기법들), 하부층의 습식 에칭, 또는 습식 현상과 같은 다른 습식 프로세싱 동작들과 결합될 수도 있다. 일부 구현 예들에서, 반도체 기판의 프로세싱은 습식 증착, 습식 현상, 및 습식 포토레지스트 재작업에 의한 막 형성을 포함하는 복수의 습식 단계들을 결합할 수도 있다.
일부 구현 예들에서, 프로세스 챔버는 유체 전달을 위한 하나 이상의 부품들을 갖는 습식 세정 챔버일 수도 있다. 일부 구현 예들에서, 프로세스 챔버는 반도체 프로세싱 툴 내의 SRD (spin-rinse-drying) 스테이션일 수도 있다. 습식 세정 챔버는 무기 산성 용액과 같은 유체들을 배출하기 위한 하나 이상의 노즐들을 구비할 수도 있다. 일부 실시 예들에서, 하나 이상의 노즐들은 반도체 기판의 특정한 위치들 위에 포지셔닝하기 위해 이동 가능할 수도 있다. 일부 실시 예들에서, 습식 세정 챔버는 세정/산성 용액들이 회전하는 기판의 에지로부터 외측으로 구동될 수도 있도록 회전 가능한 기판 지지부 또는 척을 구비할 수도 있다. 일부 실시 예들에서, 습식 세정 챔버는 습식 포토레지스트 재작업 동안 온도 제어를 위해 하나 이상의 가열 엘리먼트들을 구비할 수도 있다. 이러한 가열 엘리먼트들은 하나 이상의 LED들 또는 IR 램프들을 포함할 수도 있다. 일부 실시 예들에서, 하나 이상의 가열 엘리먼트들은 반도체 기판 아래에 포지셔닝될 수도 있고 반도체 기판의 배면을 향할 수도 있다. 반도체 기판을 가열하는 것은 금속-함유 레지스트의 제거를 촉진할 수도 있다. 부가적으로 또는 대안적으로, 반도체 기판을 가열하는 것은 반도체 기판으로부터 액체의 증발을 촉진할 수도 있다. 반도체 기판을 가열하는 것은 또한 탈할로겐화, 탈착, 디스커밍, 또는 평활화 동작들을 촉진할 수도 있다. 금속-함유 레지스트의 습식 세정에 영향을 주도록 튜닝될 수도 있는 다른 조건들은 회전 가능한 기판 지지부의 스핀 속도를 포함할 수도 있고, 더 빠른 스핀 속도들은 금속-함유 레지스트의 제거, 및 붐 스윙 (boom swing) (즉, 반도체 기판 위 디스펜서 암 위치 이동) 을 촉진할 수도 있다.
습식 화학 물질들을 사용하는 포토레지스트 재작업은 금속-함유 레지스트를 제거할뿐만 아니라 하부층을 처리하거나 제거할 수도 있다. 일부 실시 예들에서, 적어도 무기 산성 용액의 도포는 하부층에 대해 금속-함유 레지스트를 선택적으로 제거한다. 즉, 무기 산성 용액은 하부층을 실질적으로 보존하면서 금속-함유 레지스트를 제거할 수도 있다. 일부 실시 예들에서, 습식 세정은 하부층의 표면을 처리할 수도 있다. 하부층의 습식 세정 처리는 희석된 무기 산성 용액 또는 SC-1과 같은 세정 용액을 수반할 수도 있다. 습식 세정 처리는 잔여물들 및 다양한 오염물들을 제거할 수도 있어서, 표면이 포토레지스트의 후속 증착을 위해 재활성화될 수도 있다. 이러한 방식으로, 리소그래피 프로세스들은 습식 화학 물질들을 사용하는 포토레지스트 재작업 후 무시할만한 또는 상당한 영향 없이 하부층 상에서 반복될 수도 있다.
본 개시의 습식 화학 물질들을 사용한 포토레지스트 재작업은 반도체 기판 상에 남아 있는 결함 흔적들 및 잔여물들이 거의 없거나 전혀 없도록 금속-함유 레지스트를 효과적으로 제거할 수도 있다. 일부 구현 예들에서, 본 개시의 습식 화학 물질들을 사용한 포토레지스트 재작업은 금속 원자들 (예를 들어, 주석 원자들) 이 반도체 기판의 표면 상에 약 1x1010 atoms/㎠ 미만의 양으로 존재하도록 금속-함유 레지스트를 실질적으로 제거할 수도 있다.
일부 구현 예들에서, 프로세스 (300) 는 본 개시에 기술된 바와 같이 열적 프로세스 또는 플라즈마 프로세스에 대한 노출을 더 포함할 수도 있다. 열적 프로세스 또는 플라즈마 프로세스는 개선된 결함을 위해 하부층을 제거하거나 하부층을 처리하도록 채용될 수도 있다.
도 4a는 제 1 구현 예 (케이스 1) 에서 금속-함유 포토레지스트 제거의 다양한 스테이지들의 개략적인 예시를 도시한다. 이 구현 예에서, 기판 (400) 에는 하부층 (401) 및 하부층 (401) 상에 배치된 금속-함유 포토레지스트 (402) 가 제공된다. 금속-함유 포토레지스트 (402) 는 패터닝되거나 패터닝되지 않을 수도 있다. 일부 구현 예들에서, 금속-함유 포토레지스트 (402) 는 유기-금속 옥사이드 포토레지스트를 포함한다. 도 4a에서, 금속-함유 포토레지스트 (402) 는 하부층 (401) 에 대해 선택적으로 제거된다. 선택적인 제거는 플라즈마 노출 없이 열적 프로세스를 사용하여 발생할 수도 있다. 예를 들어, 열적 프로세스는 반도체 기판 (400) 을 약 50 ℃ 초과, 또는 약 60 ℃ 내지 약 250 ℃의 상승된 온도에서 할라이드 (예를 들어, 수소 할라이드) 를 포함하는 에칭 가스에 노출시킬 수도 있다. 대안적으로, 선택적인 제거는 습식 프로세스를 사용하여 발생할 수도 있다. 예를 들어, 습식 프로세스는 반도체 기판 (400) 을 적어도 dHF와 같은 무기 산성 용액에 노출시킬 수도 있고, 이어서 dHCl과 같은 또 다른 무기 산성 용액 또는 SC-1과 같은 세정 용액에 노출될 수도 있다. 선택적인 제거는 잔여물이 거의 없거나 전혀 없는 1x1010 atoms/㎠ 미만의 금속 원자들의 레벨을 달성할 수도 있다.
도 4b는 제 2 구현 예 (케이스 2) 에서 금속-함유 포토레지스트 제거의 다양한 스테이지들의 개략적인 예시를 도시한다. 이 구현 예에서, 기판 (410) 에는 하부층 (411) 및 하부층 (411) 상에 배치된 금속-함유 포토레지스트 (412) 가 제공된다. 금속-함유 포토레지스트 (412) 는 패터닝되거나 패터닝되지 않을 수도 있다. 일부 구현 예들에서, 금속-함유 포토레지스트 (412) 는 유기-금속 옥사이드 포토레지스트를 포함한다. 도 4b에서, 금속-함유 포토레지스트 (412) 는 제 1 단계에서 제거되고 하부층 (411) 은 제 2 단계에서 제거된다. 일 예에서, 금속-함유 포토레지스트 (412) 는 제 1 단계에서 열적 프로세스를 사용하여 제거될 수도 있고 하부층 (411) 은 제 2 단계에서 플라즈마 프로세스를 사용하여 제거될 수도 있다. 플라즈마 프로세스가 제 2 단계를 위해 적용된다면, 플라즈마는 산화제 또는 환원제의 이온들 및/또는 라디칼들을 포함할 수도 있다. 예를 들어, 산소-기반 플라즈마 또는 수소-기반 플라즈마는 다운스트림 플라즈마 프로세스에서 하부층 (411) 을 제거할 수도 있다. 또 다른 예에서, 금속-함유 포토레지스트 (412) 는 제 1 단계에서 제 1 에칭 가스를 사용하는 제 1 열적 프로세스를 사용하여 제거될 수도 있고 하부층 (411) 은 제 2 단계에서 제 2 에칭 가스를 사용하는 제 2 열적 프로세스를 사용하여 제거될 수도 있다. 제 1 열적 프로세스는 할라이드 (예를 들어, 수소 할라이드) 를 포함하는 제 1 에칭 가스를 사용하여 약 50 ℃ 초과 또는 약 60 ℃ 내지 약 250 ℃의 온도를 적용할 수도 있다. 제 2 열적 프로세스는 산화제 또는 환원제를 포함하는 제 2 에칭 가스를 사용하여 약 50 ℃ 초과 또는 약 60 ℃ 내지 약 250 ℃의 온도를 적용할 수도 있다. 또 다른 예에서, 금속-함유 포토레지스트 (412) 는 제 1 단계에서 열적 프로세스를 사용하여 제거될 수도 있고 하부층 (411) 은 습식 프로세스를 사용하여 제거될 수도 있다. 열적 프로세스는 할라이드 (예를 들어, 수소 할라이드) 를 포함하는 에칭 가스를 사용하여 약 50 ℃ 초과 또는 약 60 ℃ 내지 약 250 ℃의 온도를 적용할 수도 있다. 습식 프로세스는 적어도 dHF와 같은 무기 산성 용액을 도포할 수도 있고, 선택 가능하게 dHCl과 같은 또 다른 무기 산성 용액 또는 SC-1과 같은 세정 용액이 이어질 수도 있다.
도 4c는 제 3 구현 예 (케이스 3) 에서 금속-함유 포토레지스트 제거의 다양한 스테이지들의 개략적인 예시를 도시한다. 이 구현 예에서, 기판 (420) 에는 하부층 (421) 및 하부층 (421) 상에 배치된 금속-함유 포토레지스트 (422) 가 제공된다. 금속-함유 포토레지스트 (422) 는 패터닝되거나 패터닝되지 않을 수도 있다. 일부 구현 예들에서, 금속-함유 포토레지스트 (422) 는 유기-금속 옥사이드 포토레지스트를 포함한다. 도 4c에서, 금속-함유 포토레지스트 (422) 는 제 1 단계에서 제거되고 하부층 (421) 은 제 2 단계에서 입자들 (423) 의 제거를 위해 처리된다. 일 예에서, 금속-함유 포토레지스트 (422) 는 제 1 열적 프로세스를 사용하여 제거될 수도 있고, 여기서 제 1 열적 프로세스는 약 50 ℃ 초과 또는 약 60 ℃ 내지 약 250 ℃의 온도를 적용하고 할라이드 (예를 들어, 수소 할라이드) 를 포함하는 에칭 가스를 사용한다. 하부층 (421) 의 처리는 잔여 할라이드들, 잔여 금속 원자들 또는 금속 옥사이드 입자들, 또는 다른 잔여 오염물들과 같은 입자들 (423) 을 제거할 수도 있다. 이러한 처리는 제 2 열적 프로세스를 수반할 수도 있고, 제 2 열적 프로세스는 약 50 ℃ 초과 또는 약 60 ℃ 내지 약 250 ℃의 온도를 적용하고 산화제 또는 환원제와 같은 처리 가스를 사용한다. 또는, 이러한 처리는 플라즈마 프로세스를 수반할 수도 있고, 플라즈마 프로세스는 하부층 (421) 의 표면을 산화제 또는 환원제의 이온들 및/또는 라디칼들에 노출시킨다. 예를 들어, 하부층 (421) 의 표면은 CO2 플라즈마에 노출될 수도 있다. 대안적으로, 이러한 처리는 습식 프로세스를 수반할 수도 있고, 습식 프로세스는 적어도 dHF와 같은 무기 산성 용액에 하부층 (421) 의 표면을 노출시키고, 선택 가능하게 dHCl과 같은 또 다른 무기 산성 용액 또는 SC-1과 같은 세정 용액이 이어질 수도 있다. 처리는 후속하는 포토리소그래피 프로세스들이 반복되도록 하부층 (421) 의 표면을 리프레시할 수도 있다. 또 다른 예에서, 금속-함유 포토레지스트 (422) 는 습식 프로세스를 사용하여 제거될 수도 있고 습식 프로세스를 사용하여 처리될 수도 있다. 구체적으로, 금속-함유 포토레지스트 (422) 는 dHF와 같은 무기 산성 용액을 사용하여 제거될 수도 있고, 입자들 (423) 은 dHCl과 같은 또 다른 무기 산성 용액 또는 SC-1과 같은 세정 용액을 사용하여 처리 동안 제거될 수도 있다.
도 4d는 제 4 구현 예 (케이스 4) 에서 금속-함유 포토레지스트 제거의 다양한 스테이지들의 개략적인 예시를 도시한다. 이 구현 예에서, 기판 (430) 에는 하부층 (431) 및 하부층 (431) 상에 배치된 금속-함유 포토레지스트 (432) 가 제공된다. 금속-함유 포토레지스트 (432) 는 패터닝되거나 패터닝되지 않을 수도 있다. 일부 구현 예들에서, 금속-함유 포토레지스트 (432) 는 유기-금속 옥사이드 포토레지스트를 포함한다. 도 4d에서, 금속-함유 포토레지스트 (432) 는 제 1 단계에서 제거되고 하부층 (431) 및 잔여 입자들 (433) 은 제 2 단계에서 제거된다. 일 예에서, 금속-함유 포토레지스트 (432) 는 제 1 열적 프로세스를 사용하여 제거될 수도 있고, 여기서 제 1 열적 프로세스는 약 50 ℃ 초과 또는 약 60 ℃ 내지 약 250 ℃의 온도를 적용하고 할라이드 (예를 들어, 수소 할라이드) 를 포함하는 에칭 가스를 사용한다. 하부층 (431) 및 잔여 입자들 (433) 의 제거는 제 2 열적 프로세스를 수반할 수도 있고, 제 2 열적 프로세스는 약 50 ℃ 초과 또는 약 60 ℃ 내지 약 250 ℃의 온도를 적용하고 산화제 또는 환원제와 같은 제거 가스를 사용한다. 또는, 하부층 (431) 및 잔여 입자들 (433) 의 제거는 플라즈마 프로세스를 수반할 수도 있고, 플라즈마 프로세스는 하부층 (431) 의 표면을 산화제 또는 환원제의 이온들 및/또는 라디칼들에 노출시킨다. 대안적으로, 하부층 (431) 및 잔여 입자들 (433) 의 제거는 습식 프로세스를 수반할 수도 있고, 습식 프로세스는 적어도 dHF와 같은 무기 산성 용액에 하부층 (431) 의 표면을 노출시키고, 선택 가능하게 dHCl과 같은 또 다른 무기 산성 용액 또는 SC-1과 같은 세정 용액이 이어질 수도 있다. 또 다른 예에서, 금속-함유 포토레지스트 (432) 는 습식 프로세스를 사용하여 제거될 수도 있고 하부층 (431) 및 잔여 입자들 (433) 은 습식 프로세스를 사용하여 제거된다. 특히, 금속-함유 포토레지스트 (432) 는 dHF와 같은 무기 산성 용액을 사용하여 제거될 수도 있고, 하부층 (431) 및 잔여 입자들 (433) 은 dHCl과 같은 또 다른 무기 산성 용액 또는 SC-1과 같은 세정 용액을 사용하여 제거될 수도 있다.
도 4e는 제 5 구현 예 (케이스 5) 에서 금속-함유 포토레지스트 제거의 다양한 스테이지들의 개략적인 예시를 도시한다. 이 구현 예에서, 기판 (440) 에는 하부층 (441) 및 하부층 (441) 상에 배치된 금속-함유 포토레지스트 (442) 가 제공된다. 금속-함유 포토레지스트 (442) 는 패터닝되거나 패터닝되지 않을 수도 있다. 일부 구현 예들에서, 금속-함유 포토레지스트 (442) 는 유기-금속 옥사이드 포토레지스트를 포함한다. 도 4e에서, 금속-함유 포토레지스트 (442) 및 하부층 (441) 은 함께 제거된다. 포토레지스트 재작업은 금속-함유 포토레지스트 (442) 및 하부층 (441) 에 대해 선택적이지 않다. 일 예에서, 금속-함유 포토레지스트 (442) 및 하부층 (441) 은 열적 프로세스를 사용하여 제거될 수도 있고, 여기서 열적 프로세스는 약 50 ℃ 초과 또는 약 60 ℃ 내지 약 250 ℃의 온도를 적용하고 할라이드 (예를 들어, 수소 할라이드) 를 포함하는 에칭 가스를 사용한다. 또 다른 예에서, 금속-함유 포토레지스트 (442) 및 하부층 (441) 은 습식 프로세스를 사용하여 제거되고, 습식 프로세스는 적어도 무기 산성 용액을 도포할 수도 있다. 일부 구현 예들에서, 습식 프로세스는 dHF와 같은 무기 산성 용액을 도포할 수도 있고, dHCl과 같은 또 다른 무기 산성 용액 또는 SC-1과 같은 세정 용액이 선택 가능하게 이어질 수도 있다.
도 4f는 제 5 구현 예 (케이스 6) 에서 금속-함유 포토레지스트 제거의 다양한 스테이지들의 개략적인 예시를 도시한다. 이 구현 예에서, 기판 (450) 에는 하부층 (451) 및 하부층 (451) 상에 배치된 금속-함유 포토레지스트 (452) 가 제공된다. 금속-함유 포토레지스트 (452) 는 패터닝되거나 패터닝되지 않을 수도 있다. 일부 구현 예들에서, 금속-함유 포토레지스트 (452) 는 유기-금속 옥사이드 포토레지스트를 포함한다. 도 4f에서, 금속-함유 포토레지스트 (442) 및 하부층 (441) 은 제 1 단계에서 함께 제거되고 기판 (450) 상의 잔여 입자들 (453) 은 제 2 단계에서 제거된다. 제 1 단계에서, 포토레지스트 재작업은 금속-함유 포토레지스트 (452) 및 하부층 (451) 에 대해 선택적이지 않다. 일 예에서, 금속-함유 포토레지스트 (452) 및 하부층 (451) 은 제 1 열적 프로세스를 사용하여 제거될 수도 있고, 여기서 제 1 열적 프로세스는 약 50 ℃ 초과 또는 약 60 ℃ 내지 약 250 ℃의 온도를 적용하고 할라이드 (예를 들어, 수소 할라이드) 를 포함하는 에칭 가스를 사용한다. 또 다른 예에서, 금속-함유 포토레지스트 (452) 및 하부층 (451) 은 습식 프로세스를 사용하여 제거되고, 습식 프로세스는 적어도 무기 산성 용액을 도포할 수도 있다. 일부 구현 예들에서, 습식 프로세스는 dHF와 같은 무기 산성 용액을 도포할 수도 있고, dHCl과 같은 또 다른 무기 산성 용액 또는 SC-1과 같은 세정 용액이 선택 가능하게 이어질 수도 있다. 제 2 단계에서, 잔여 입자들 (453) 을 제거하는 기판 (450) 의 처리가 발생한다. 일 예에서, 이러한 처리는 제 2 열적 프로세스를 적용하는 것을 수반할 수도 있고, 제 2 열적 프로세스는 약 50 ℃ 초과 또는 약 60 ℃ 내지 약 250 ℃의 온도를 적용하고 산화제 또는 환원제와 같은 처리 가스를 사용한다. 또 다른 예에서, 이러한 처리는 플라즈마 프로세스를 적용하는 것을 수반할 수도 있고, 플라즈마 프로세스는 기판 (450) 의 표면을 산화제 또는 환원제의 이온들 및/또는 라디칼들에 노출시킨다. 처리는 후속하는 포토리소그래피 프로세스들이 반복되도록 기판 (450) 의 표면을 리프레시할 수도 있다.
도 5a 내지 도 5c는 일부 구현 예들에 따른 금속-함유 레지스트 제거 및 복수의 패터닝의 다양한 스테이지들의 단면 개략적 예시들을 도시한다. 더블 패터닝 및 쿼드 패터닝은 광학적 한계들을 넘어 리소그래피 패터닝 기술을 확장하기 위해 사용된 예시적인 기술들이다.
도 5a는 제 1 재료 층 (520) 상에 리소그래피로 규정되거나 패터닝된 코어 (510) 를 갖는 기판 (500) 을 도시한다. 당업자는 반도체 프로세싱에 적합한 다층 스택이 제 1 재료 층 (520) 아래에 있을 수도 있다는 것을 인식할 것이다. 패터닝된 코어 (510) 는 금속-함유 포토레지스트 재료와 같은 포토레지스트 재료를 포함할 수도 있다. 예를 들어, 패터닝된 코어 (510) 는 유기-금속 옥사이드 포토레지스트 재료를 포함할 수도 있다. 컨포멀한 (conformal) 막 (530) 이 패터닝된 코어 (510) 위에 형성될 수도 있다. 일부 실시 예들에서, 컨포멀한 막 (530) 은 ALD (atomic layer deposition) 에 의해 증착될 수도 있다. 일부 실시 예들에서, 컨포멀한 막 (530) 은 실리콘 옥사이드 (SiO2) 와 같은 옥사이드 또는 실리콘 나이트라이드 (SiN) 와 같은 나이트라이드일 수도 있다.
도 5b에서, 컨포멀한 막 (530) 은 패터닝된 코어 (510) 의 상단 표면을 노출하도록 방향성으로 에칭되거나 평탄화된다. 컨포멀한 막 (530) 의 부분들은 패터닝된 코어 (510) 의 측벽들을 따라 스페이서들 (532) 을 형성하도록 제거된다. 스페이서들 (532) 의 패턴은 후속하는 층들을 패터닝하도록 사용된다. 스페이서들 (532) 은 패터닝된 코어 (510) 에 인접한 마스크 재료를 지칭한다는 것이 이해될 것이다.
도 5c에서, 패터닝된 코어 (510) 는 선택적으로 제거된다. 패터닝된 코어 (510) 의 제거는 본 개시에 기술된 바와 같은 열적 프로세스를 사용하거나 본 개시에 기술된 바와 같은 습식 프로세스를 사용하여 발생할 수도 있다. 일부 구현 예들에서, 패터닝된 코어 (510) 는 약 50 ℃ 초과 또는 약 60 ℃ 내지 약 250 ℃의 온도 및 할라이드 (예를 들어, 수소 할라이드) 를 포함하는 에칭 가스를 인가함으로써 선택적으로 제거된다. 일부 구현 예들에서, 패터닝된 코어 (510) 는 적어도 dHF와 dHCl의 조합 또는 dHF와 SC-1과 같은 세정 용액의 조합과 같은 무기 산성 용액을 도포함으로써 선택적으로 제거된다. 패터닝된 코어 (510) 의 선택적인 제거는 제 1 재료 층 (520) 상에 독립된 (free-standing) 스페이서들 (532) 을 남긴다. 독립된 스페이서들 (532) 은 제 1 재료 층 (520) 을 에칭하기 위한 마스크로서 역할할 수도 있다. 따라서, 본 개시의 포토레지스트 재작업은 복수의 패터닝 기술들에서 활용될 수도 있다.
도 6a 내지 도 6c는 일부 구현 예들에 따른 습식 기법을 사용한 금속-함유 포토레지스트 제거의 다양한 스테이지들의 단면 개략적 예시들을 도시한다. 기판 (600) 에는 하부층 (601) 및 하부층 (601) 상에 배치된 금속-함유 포토레지스트 (602) 가 제공된다. 금속-함유 포토레지스트 (602) 는 패터닝되거나 패터닝되지 않을 수도 있다. 일부 구현 예들에서, 금속-함유 포토레지스트 (602) 는 유기-금속 옥사이드 포토레지스트를 포함한다. 습식 프로세스에서, 금속-함유 포토레지스트 (602) 의 제거는 다단계 순차적인 방식으로 진행될 수도 있다. 도 6a에서, dHF와 같은 제 1 무기 산성 용액 (603) 이 기판 (600) 의 전면 및 배면 모두에 도포된다. 제 1 무기 산성 용액은 10 : 1 dHF일 수도 있고 약 30 초 내지 약 600 초, 또는 약 60 초 내지 약 300 초 동안 도포될 수도 있다. 도 6b에서, dHCl과 같은 제 2 무기 산성 용액 (604) 또는 SC-1과 같은 세정 용액 (604) 이 기판 (600) 의 전면 및 배면 모두에 도포된다. 제 2 무기 산성 용액은 10 : 1 dHCl일 수도 있고, 제 1 무기 산성 용액을 도포한 후 약 30 초 내지 약 600 초, 또는 약 60 초 내지 약 300 초 동안 도포될 수도 있다. 도 6c에서, 금속-함유 포토레지스트 (602) 는 습식 프로세스의 완료 후 기판 (600) 으로부터 선택적으로 제거된다. 하부층 (601) 은 기판 (600) 상에 남아 있을 수도 있다. 기판 (600) 의 표면에서 금속 원자들의 잔여량은 약 1x1010 atoms/㎠ 미만일 수도 있다.
본 개시는 종종 노출되고 그리고/또는 현상된 EUV-감응 막들의 제거를 지칭하지만, 기술된 제거 프로세스들은 유사한 조성의 막들 (예를 들어, 다른 MOxRy-기반 막들), 예를 들어, 금속 옥사이드를 함유하는 다른 막들 또는 유기금속 막으로 확장될 수 있다. 일부 구현 예들에서, EUV 레지스트 이외의 막들, 예를 들어, 다른 적용 예들을 갖는 유사한 조성의 하드 마스크들, UV 레지스트들 또는 막들; 이 점에서, 기술된 제거 프로세스는 막의 기능과 반대되는, 막의 화학적 조성과 관련된다.
장치
본 개시의 장치는 금속-함유 포토레지스트의 포토레지스트 재작업을 위해 구성된다. 장치는 증착, 베벨 및 배면 세정, 도포 후 소성, EUV 스캐닝, 노출 후 소성, 현상, 디스컴, 평활화, 경화, 및 다른 동작들과 같은 다른 프로세싱 동작들을 위해 구성될 수도 있다. 일부 구현 예들에서, 장치는 복수의 건식 동작들을 수행하도록 구성된다. 일부 구현 예들에서, 장치는 습식 동작 및 건식 동작의 조합을 수행하도록 구성된다. 장치는 단일 웨이퍼 챔버 또는 동일한 프로세스 챔버 내의 복수의 스테이션들을 포함할 수도 있다. 복수의 스테이션들을 갖는, 동일한 프로세스 챔버에서, 본 개시에 기술된 것과 같은 다양한 프로세싱 동작들은 동일한 프로세스 챔버 내의 상이한 스테이션들에서 수행될 수도 있다.
금속-함유 포토레지스트의 포토레지스트 재작업을 위해 구성된 장치는 기판 지지부를 갖는 프로세스 챔버를 포함한다. 장치는 에칭 가스의 전달을 위해 프로세스 챔버에 커플링된 에칭 가스 라인을 포함할 수도 있다. 일부 구현 예들에서, 에칭 가스는 수소 할라이드와 같은 할라이드를 포함한다. 장치는 온도 제어를 위한 하나 이상의 히터들을 포함할 수도 있다. 이러한 히터들은 프로세스 챔버 및/또는 기판 지지부 내에 제공될 수도 있다. 또는, 이러한 히터들은 프로세스 챔버 외부에 제공될 수도 있다. 장치는 입자 수, 웨이퍼 수, 두께 수, 또는 포토레지스트 재작업의 엔드 포인트를 트리거하기 위한 다른 파라미터들을 센싱하기 위한 하나 이상의 센서들을 더 포함할 수도 있다.
일부 구현 예들에서, 프로세스 챔버는 플라스틱과 같은 저렴한 재료로 이루어진다. 일부 다른 구현 예들에서, 프로세스 챔버는 양극 산화된 알루미늄과 같은 금속 또는 알루미늄 옥사이드와 같은 세라믹으로 이루어진다.
도 7은 일부 구현 예들에 따른 재작업 또는 다른 동작들을 수행하기 적합한 예시적인 프로세스 스테이션의 개략적인 예시를 도시한다. 복수의 프로세스 스테이션들 (700) 이 공통 저압 프로세스 툴 분위기에 포함될 수도 있다. 예를 들어, 도 8은 CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 VECTOR® 프로세싱 툴과 같은 멀티-스테이션 프로세싱 툴 (800) 의 구현 예를 도시한다. 일부 구현 예들에서, 이하에 상세히 논의된 것들을 포함하는, 프로세스 툴 (800) 의 하나 이상의 하드웨어 파라미터들이 하나 이상의 컴퓨터 제어기들 (850) 에 의해 프로그램적으로 조정될 수도 있다.
프로세스 스테이션은 클러스터 툴의 모듈로서 구성될 수도 있다. 도 10은 본 명세서에 기술된 구현 예들의 구현에 적합한 진공-통합된 증착 모듈 및 패터닝 모듈을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 이러한 클러스터 프로세스 툴 아키텍처는 도 9 및 도 10을 참조하여 상기 및 이하에 더 기술된 바와 같이, 레지스트 증착, 레지스트 노출 (EUV 스캐너), 레지스트 현상, 레지스트 재작업 및 에칭 모듈들을 포함할 수 있다.
도 7을 다시 참조하면, 프로세스 스테이션 (700) 은 분배 샤워헤드 (706) 로 프로세스 가스들을 전달하기 위해 반응 물질 전달 시스템 (701a) 과 유체로 연통한다. 반응 물질 전달 시스템 (701a) 은 샤워헤드 (706) 로의 전달을 위해, 프로세스 가스들을 블렌딩 (blending) 및/또는 컨디셔닝하기 (conditioning) 위한 혼합 용기 (mixing vessel) (704) 를 선택 가능하게 포함한다. 하나 이상의 혼합 용기 유입구 밸브들 (720) 은 프로세스 가스들의 혼합 용기 (704) 로의 도입을 제어할 수도 있다. 플라즈마 노출이 사용되면, 플라즈마는 또한 샤워헤드 (706) 로 전달될 수도 있고 또는 프로세스 스테이션 (700) 에서 생성될 수도 있다. 상기 주지된 바와 같이, 적어도 일부 구현 예들에서, 비플라즈마 열 노출이 유리하다.
도 7은 혼합 용기 (704) 로 공급될 액체 반응 물질을 기화시키기 위한 선택 가능한 기화 지점 (703) 을 포함한다. 일부 구현 예들에서, 기화 지점 (703) 의 업스트림 (upstream) 에 액체 유량 제어기 (liquid flow controller; LFC) 가 기화 및 프로세스 스테이션 (700) 으로의 전달을 위한 액체의 질량 유량 (mass flow) 을 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 열적 질량 유량계 (Mass Flow Meter; MFM) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 비례-적분-미분 (Proportional-Integral-Derivative; PID) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다.
샤워헤드 (706) 는 기판 (712) 을 향해 프로세스 가스들을 분배한다. 도 7에 도시된 구현 예에서, 기판 (712) 은 샤워헤드 (706) 밑에 위치되고 페데스탈 (708) 상에 놓인 (rest) 것으로 도시된다. 샤워헤드 (706) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (712) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 구현 예들에서, 페데스탈 (708) 은 기판 (712) 과 샤워헤드 (706) 사이의 볼륨에 기판 (712) 을 노출시키도록 상승되거나 하강될 수도 있다. 일부 구현 예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (750) 에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다. 일부 구현 예들에서, 샤워헤드 (706) 는 복수의 온도 제어들을 갖는 복수의 플레넘 볼륨들을 가질 수도 있다.
일부 구현 예들에서, 페데스탈 (708) 은 히터 (710) 를 통해 온도 제어될 수도 있다. 일부 구현 예들에서, 페데스탈 (708) 은 개시된 구현 예들에 기술된 바와 같이, 할라이드 또는 유기 증기 화학 물질에 대한 금속-함유 레지스트의 비플라즈마 열 노출 동안, 0 ℃ 초과 및 최대 300 ℃, 예를 들어 약 80 내지 200 ℃와 같은, 60 ℃ 내지 250 ℃의 온도로 가열될 수도 있다. 일부 구현 예들에서, 페데스탈 (708) 의 히터 (710) 는 복수의 독립적으로 제어 가능한 온도 제어 존들을 포함할 수도 있다.
또한, 일부 구현 예들에서, 프로세스 스테이션 (700) 에 대한 압력 제어가 버터플라이 밸브 (718) 에 의해 제공될 수도 있다. 도 7의 구현 예에 도시된 바와 같이, 버터플라이 밸브 (718) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다 (throttle). 그러나, 일부 구현 예들에서, 프로세스 스테이션 (700) 의 압력 제어는 또한 프로세스 스테이션 (700) 으로 도입된 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조정될 수도 있다.
일부 구현 예들에서, 샤워헤드 (706) 의 포지션은 기판 (712) 과 샤워헤드 (706) 사이의 볼륨을 가변하도록 페데스탈 (708) 에 대해 조정될 수도 있다. 또한, 페데스탈 (708) 및/또는 샤워헤드 (706) 의 수직 포지션는 본 개시의 범위 내의 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 인식될 것이다. 일부 구현 예들에서, 페데스탈 (708) 은 기판 (712) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 구현 예들에서, 이들 예시적인 조정들 중 하나 이상이 하나 이상의 적합한 컴퓨터 제어기들 (750) 에 의해 프로그램적으로 수행될 수도 있다는 것이 인식될 것이다.
예를 들어, 플라즈마가 사용될 수도 있는 디스커밍 동작, 처리 동작 또는 평활화 동작에서, 샤워헤드 (706) 및 페데스탈 (708) 은 플라즈마에 전력을 공급하기 위해 무선 주파수 (radio frequency; RF) 전력 공급부 (714) 및 매칭 네트워크 (716) 와 전기적으로 통신한다. 일부 구현 예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (714) 및 매칭 네트워크 (716) 는 목표된 조성의 라디칼 종을 갖는 플라즈마를 형성하도록 임의의 적합한 전력에서 동작될 수도 있다. 적합한 전력들의 예들은 최대 약 500 W이다.
일부 구현 예들에서, 제어기 (750) 에 대한 인스트럭션들은 입력/출력 제어 (input/output control; IOC) 시퀀싱 인스트럭션들을 통해 제공될 수도 있다. 일 예에서, 프로세스 페이즈 (phase) 에 대한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 페이즈에 대한 모든 인스트럭션들이 그 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 구현 예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들은 레시피 페이즈에 포함될 수도 있다. 예를 들어, 레시피 페이즈는 수소 할라이드와 같은 에칭 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 일부 구현 예들에서, 제어기 (750) 는 도 8의 시스템 제어기 (850) 에 대해 이하에 기술된 임의의 특징들을 포함할 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들이 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 8은 인바운드 로드록 (802) 및 아웃바운드 로드록 (804) 을 갖는 멀티-스테이션 프로세싱 툴 (800) 의 구현 예의 개략도를 도시하고, 인바운드 로드록 (802) 및 아웃바운드 로드록 (804) 중 하나 또는 모두는 리모트 플라즈마 소스를 포함할 수도 있다. 대기압에서 로봇 (806) 은, 카세트로부터 포드 (pod) (808) 를 통해 인바운드 로드록 (802) 으로 로딩된 웨이퍼들을 대기 포트 (810) 를 통해 이동시키도록 구성된다. 웨이퍼는 인바운드 로드록 (802) 내의 페데스탈 (812) 상에 로봇 (806) 에 의해 배치되고, 대기 포트 (810) 는 폐쇄되고, 로드록은 펌핑 다운된다 (pump down). 인바운드 로드록 (802) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (814) 내로 도입되기 전에 로드록 내에서 기판 표면을 처리하기 위해 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드록 (802) 내에서 또한 가열될 수도 있다. 다음에, 프로세싱 챔버 (814) 로의 챔버 이송 포트 (816) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위한 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 8에 도시된 구현 예는 로드록들을 포함하지만, 일부 구현 예들에서, 웨이퍼의 프로세스 스테이션으로의 직접적인 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (814) 는 도 8에 도시된 구현 예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 818로 도시됨), 및 가스 라인 유입구들을 갖는다. 일부 구현 예들에서, 프로세스 스테이션 각각이 상이한 목적 또는 복수의 목적들을 가질 수도 있다는 것이 인식될 것이다. 예를 들어, 일부 구현 예들에서, 프로세스 스테이션은 현상 모드와 에칭 프로세스 모드 사이에서 스위칭 가능할 수도 있다. 부가적으로 또는 대안적으로, 일부 구현 예들에서, 프로세싱 챔버 (814) 는 현상 스테이션 및 에칭 프로세스 스테이션의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (814) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 구현 예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 구현 예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 8은 프로세싱 챔버 (814) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (890) 의 구현 예를 도시한다. 일부 구현 예들에서, 웨이퍼 핸들링 시스템 (890) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 인식될 것이다. 비제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 8은 또한 프로세스 툴 (800) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (850) 의 구현 예를 도시한다. 시스템 제어기 (850) 는 하나 이상의 메모리 디바이스들 (856), 하나 이상의 대용량 저장 디바이스들 (854), 및 하나 이상의 프로세서들 (852) 을 포함할 수도 있다. 프로세서 (852) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부, 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 구현 예들에서, 시스템 제어기 (850) 는 프로세스 툴 (800) 의 모든 액티비티들을 제어한다. 시스템 제어기 (850) 는 대용량 저장 디바이스 (854) 에 저장되고 메모리 디바이스 (856) 내로 로딩되어 프로세서 (852) 상에서 실행되는 시스템 제어 소프트웨어 (858) 를 실행한다. 대안적으로, 제어 로직은 제어기 (850) 에 하드코딩될 (hard coded) 수도 있다. ASICs (applications specific integrated circuits), PLDs (programmable logic devices) (예를 들어, field-programmable gate arrays, 또는 FPGAs) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 비슷한 하드코딩된 로직이 그 자리에 사용될 수도 있다. 시스템 제어 소프트웨어 (858) 는 타이밍, 가스의 혼합물, 가스 플로우 레이트들, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 포지션, 및 프로세스 툴 (800) 에 의해 수행되는 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어 (858) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 프로세스들을 수행하는데 사용된 프로세스 툴 컴포넌트들의 동작을 제어하기 위해 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (858) 는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
일부 구현 예들에서, 시스템 제어 소프트웨어 (858) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (850) 와 연관된 대용량 저장 디바이스 (854) 및/또는 메모리 디바이스 (856) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 구현 예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (818) 상에 기판을 로딩하고 기판과 프로세스 툴 (800) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램이 유기 증기 조성 (예를 들어, 본 명세서에 기술된 바와 같은 트리플루오로아세트산) 및 플로우 레이트들을 제어하고, 선택 가능하게 프로세스 스테이션 내 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한, 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브를 조절함으로써, 프로세스 스테이션 내 압력, 프로세스 스테이션 내로 가스 플로우, 등을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하도록 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로 (헬륨과 같은) 열 전달 가스의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 구현 예들에 따라 하나 이상의 프로세스 스테이션들의 프로세스 전극들로 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 구현 예들에 따라 반응 챔버 내 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 구현 예들에서, 시스템 제어기 (850) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 구현 예들에서, 시스템 제어기 (850) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (850) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (800) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 플로우 제어기들, (마노미터들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouples), 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터 데이터와 함께 사용될 수도 있다.
시스템 제어기 (850) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 구현 예들에 따라 현상, 세정, 및/또는 에칭 프로세스들을 동작시키도록 파라미터들을 제어할 수도 있다.
시스템 제어기 (850) 는 통상적으로 장치가 개시된 구현 예들에 따른 방법을 수행하도록 인스트럭션들을 실행하게 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 개시된 구현 예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션을 포함하는 머신-판독가능 매체가 시스템 제어기 (850) 에 커플링될 수도 있다.
일부 구현 예들에서, 시스템 제어기 (850) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치와 통합될 수도 있다. 전자 장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들 (subparts) 을 제어할 수도 있는, "제어기"로서 지칭될 수도 있다. 시스템 제어기 (850) 는, 시스템의 프로세싱 조건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, RF 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 포지셔닝 및 동작 설정들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기 (850) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (850) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 구현 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (850) 는, 일부 구현 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (850) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 가능하게 할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (850) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 시스템 제어기 (850) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 시스템 제어기 (850) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 예컨대 공동의 목적을 향해 함께 네트워킹되고 작동되는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, EUV 리소그래피 챔버 (스캐너) 또는 모듈, 현상 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (850) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
특정한 구현 예들에서, 일부 구현 예들의 구현에 적합한 에칭 동작들에 적합할 수도 있는 ICP 반응기들이 이제 기술된다. ICP 반응기들이 본 명세서에 기술되었지만, 일부 구현 예들에서, 용량 커플링 플라즈마 반응기들이 또한 사용될 수도 있다는 것이 이해되어야 한다.
도 9는 건식 현상, 세정 및/또는 에칭과 같은 특정한 구현 예들 또는 구현 예들의 양태들을 구현하기 위해 적절한 유도 커플링 플라즈마 장치 (900) 의 단면도를 개략적으로 도시하고, 이의 일 예는 CA, Fremont 소재의 Lam Research Corp.에 의해 생산된 Kiyo® 반응기이다. 다른 구현 예들에서, 본 명세서에 기술된 건식 현상, 세정 프로세스 및/또는 에칭 프로세스를 수행하기 위한 기능성을 갖는 다른 툴들 또는 툴 타입들이 구현을 위해 사용될 수도 있다.
유도 커플링 플라즈마 장치 (900) 는 챔버 벽들 (901) 및 윈도우 (911) 에 의해 구조적으로 규정된 전체 프로세스 챔버 (924) 를 포함한다. 챔버 벽들 (901) 은 스테인리스 스틸, 알루미늄 또는 플라스틱으로 제조될 수도 있다. 윈도우 (911) 는 석영 또는 다른 유전체 재료로 제조될 수도 있다. 선택 가능한 내부 플라즈마 그리드 (950) 가 전체 프로세스 챔버를 상부 서브챔버 (902) 및 하부 서브챔버 (903) 로 분할한다. 대부분의 구현 예들에서, 플라즈마 그리드 (950) 는 제거될 수도 있고, 이에 따라 서브챔버들 (902 및 903) 로 이루어진 챔버 공간을 활용한다. 척 (917) 이 하단 내측 표면 근방의 하부 서브챔버 (903) 내에 포지셔닝된다. 척 (917) 은 에칭 프로세스 및 증착 프로세스가 수행되는 반도체 웨이퍼 (919) 를 수용하고 홀딩하도록 구성된다. 척 (917) 은 존재한다면 웨이퍼 (919) 를 지지하기 위한 정전 척일 수 있다. 일부 구현 예들에서, 에지 링 (미도시) 이 척 (917) 을 둘러싸고 그리고 척 (917) 위에 존재할 때, 웨이퍼 (919) 의 상단 표면과 거의 평면인 상부 표면을 갖는다. 척 (917) 은 또한 웨이퍼 (919) 를 척킹 (chucking) 및 디척킹하기 (dechucking) 위한 정전 전극들을 포함한다. 필터 및 DC 클램프 전력 공급부 (미도시) 가 이 목적을 위해 제공될 수도 있다. 척 (917) 으로부터 웨이퍼 (919) 를 리프팅하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (917) 은 RF 전력 공급부 (923) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (923) 는 연결부 (927) 를 통해 매칭 회로 (921) 에 접속된다. 매칭 회로 (921) 는 연결부 (925) 를 통해 척 (917) 에 접속된다. 이러한 방식으로, RF 전력 공급부 (923) 는 척 (917) 에 연결된다. 다양한 구현 예들에서, 정전 척의 바이어스 전력은 약 50 V로 설정될 수도 있고, 또는 개시된 구현 예들에 따라 수행된 프로세스에 따라 상이한 바이어스 전력으로 설정될 수도 있다. 예를 들어, 바이어스 전력은 약 20 Vb 내지 약 100 V, 또는 약 30 V 내지 약 150 V일 수도 있다.
플라즈마 생성을 위한 엘리먼트들은 윈도우 (911) 위에 포지셔닝된 코일 (933) 을 포함한다. 일부 구현 예들에서, 코일은 개시된 구현 예들에서 사용되지 않는다. 코일 (933) 은 전기적으로 전도성 재료로 제조되고, 적어도 하나의 완전한 턴 (turn) 을 포함한다. 도 9에 도시된 코일 (933) 의 예는 3 개의 턴들을 포함한다. 코일 (933) 의 단면들은 심볼들로 도시되고, "X"를 갖는 코일들은 페이지 내로 회전하여 연장하는 한편, "●"를 갖는 코일들은 페이지로부터 회전하여 연장한다. 플라즈마 생성을 위한 엘리먼트들은 또한 코일 (933) 에 RF 전력을 공급하도록 구성된 RF 전력 공급부 (941) 를 포함한다. 일반적으로, RF 전력 공급부 (941) 는 연결부 (945) 를 통해 매칭 회로 (939) 에 연결된다. 매칭 회로 (939) 는 연결부 (943) 를 통해 코일 (933) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (941) 는 코일 (933) 에 연결된다. 선택 가능한 패러데이 차폐부 (949a) 가 코일 (933) 과 윈도우 (911) 사이에 포지셔닝된다. 패러데이 차폐부 (949a) 는 코일 (933) 에 대해 이격된 관계로 유지될 수도 있다. 일부 구현 예들에서, 패러데이 차폐부 (949a) 는 윈도우 (911) 바로 위에 배치된다. 일부 구현 예들에서, 패러데이 차폐부 (949b) 는 윈도우 (911) 와 척 (917) 사이에 있다. 일부 구현 예들에서, 패러데이 차폐부 (949b) 는 코일 (933) 에 대해 이격된 관계로 유지되지 않는다. 예를 들어, 패러데이 차폐부 (949b) 는 갭 없이 윈도우 (911) 바로 아래에 있을 수도 있다. 코일 (933), 패러데이 차폐부 (949a), 및 윈도우 (911) 는 각각 서로 실질적으로 평행하도록 구성된다. 패러데이 차폐부 (949a) 는 금속 또는 다른 종이 프로세스 챔버 (924) 의 윈도우 (911) 상에 증착되는 것을 방지할 수도 있다.
프로세스 가스들은 상부 서브챔버 (902) 내에 포지셔닝된 하나 이상의 주 가스 플로우 유입구들 (960) 을 통해 그리고/또는 하나 이상의 측면 가스 플로우 유입구들 (970) 을 통해 프로세스 챔버 내로 흐를 수도 있다. 유사하게, 명시적으로 도시되지 않지만, 유사한 가스 플로우 유입구들이 용량 커플링 플라즈마 프로세싱 챔버에 프로세스 가스들을 공급하도록 사용될 수도 있다. 진공 펌프, 예를 들어, 1 또는 2 단계 기계적 건조 펌프 및/또는 터보분자 펌프 (940) 가, 프로세스 챔버 (924) 로부터 프로세스 가스들을 인출하고 (draw) 프로세스 챔버 (924) 내의 압력을 유지하도록 사용될 수도 있다. 예를 들어, 진공 펌프는 퍼지 동작 동안 하부 서브챔버 (903) 를 배기하도록 사용될 수도 있다. 밸브-제어된 도관이 진공 펌프에 의해 제공된 진공 분위기의 적용을 선택적으로 제어하기 위해 진공 펌프를 프로세스 챔버 (924) 에 유체적으로 연결하도록 (fluidically connect) 사용될 수도 있다. 이는 동작 중인 (operational) 플라즈마 프로세싱 동안 쓰로틀 밸브 (미도시) 또는 펜듈럼 (pendulum) 밸브 (미도시) 와 같은 폐루프-제어된 플로우 제한 디바이스를 채용하여 이루어질 수도 있다. 유사하게, 용량 커플링된 플라즈마 프로세싱 챔버로의 진공 펌프 및 밸브 제어된 유체 연결이 또한 채용될 수도 있다.
장치 (900) 의 동작 동안, 하나 이상의 프로세스 가스들은 가스 플로우 유입구들 (960 및/또는 970) 을 통해 공급될 수도 있다. 특정한 구현 예들에서, 프로세스 가스는 주 가스 플로우 유입구 (960) 를 통해서만, 또는 측면 가스 플로우 유입구 (970) 를 통해서만 공급될 수도 있다. 일부 경우들에서, 도면에 도시된 가스 플로우 유입구들은 더 복잡한 가스 플로우 유입구들, 예를 들어 하나 이상의 샤워헤드들로 대체될 수도 있다. 패러데이 차폐부 (949a) 및/또는 선택 가능한 그리드 (950) 는 프로세스 챔버 (924) 로의 프로세스 가스들의 전달을 허용하는 내부 채널들 및 홀들을 포함할 수도 있다. 패러데이 차폐부 (949a) 및 선택 가능한 그리드 (950) 중 하나 또는 모두는 프로세스 가스들의 전달을 위한 샤워헤드로서 역할할 (serve) 수도 있다. 일부 구현 예들에서, 액체 기화 및 전달 시스템은 액체 반응 물질 또는 전구체가 기화되면, 기화된 반응 물질 또는 전구체가 가스 플로우 유입구 (960 및/또는 970) 를 통해 프로세스 챔버 (924) 내로 도입되도록, 프로세스 챔버 (924) 의 업스트림에 위치될 수도 있다.
RF 전류로 하여금 코일 (933) 을 통해 흐르게 하도록 RF 전력 공급부 (941) 로부터 코일 (933) 로 무선 주파수 전력이 공급된다. 코일 (933) 을 통해 흐르는 RF 전류는 코일 (933) 주위에 전자기장을 생성한다. 전자기장은 상부 서브챔버 (902) 내에 유도 전류를 생성한다. 웨이퍼 (919) 와 다양한 생성된 이온들 및 라디칼들의 물리적 상호작용 및 화학적 상호작용은 웨이퍼 (919) 의 피처들을 에칭하고 웨이퍼 (919) 상에 층들을 선택적으로 증착한다.
상부 서브챔버 (902) 및 하부 서브챔버 (903) 모두가 있도록 플라즈마 그리드 (950) 가 사용된다면, 유도 전류는 상부 서브챔버 (902) 내에 전자-이온 플라즈마를 생성하기 위해 상부 서브챔버 (902) 내에 존재하는 가스에 작용한다. 선택 가능한 내부 플라즈마 그리드 (950) 는 하부 서브챔버 (903) 내의 핫 (hot) 전자들의 양을 제한한다. 일부 구현 예들에서, 장치 (900) 는 하부 서브챔버 (903) 내에 존재하는 플라즈마가 이온-이온 플라즈마이 도록 설계되고 동작된다.
상부 전자-이온 플라즈마 및 하부 이온-이온 플라즈마 모두는 양이온 및 음이온을 함유할 수도 있지만, 이온-이온 플라즈마는 더 큰 음이온들 대 양이온들 비를 가질 것이다. 휘발성 에칭 및/또는 증착 부산물들은 포트 (922) 를 통해 하부 서브챔버 (903) 로부터 제거될 수도 있다. 본 명세서에 개시된 척 (917) 은 약 10 ℃ 내지 약 250 ℃ 범위의 상승된 온도들에서 동작할 수도 있다. 온도는 프로세스 동작 및 특정한 레시피에 종속될 것이다.
장치 (900) 는 클린 룸 또는 제조 설비 내에 설치될 때 설비들 (미도시) 에 커플링될 수도 있다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 및 분위기 입자 제어를 제공하는 배관 (plumbing) 을 포함한다. 이들 설비들은 타깃 제조 설비 내에 설치될 때 장치 (900) 에 커플링된다. 부가적으로, 장치 (900) 는 로봇들로 하여금 통상적인 자동화를 사용하여 장치 (900) 내외로 반도체 웨이퍼들을 이송하게 하는 이송 챔버에 커플링될 수도 있다.
일부 구현 예들에서, (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기 (930) 가 프로세스 챔버 (924) 의 일부 또는 모든 동작들을 제어한다. 시스템 제어기 (930) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 일부 구현 예들에서, 장치 (900) 는 개시된 구현 예들이 수행될 때 플로우 레이트들 및 지속 기간들을 제어하기 위한 스위칭 시스템을 포함한다. 일부 구현 예들에서, 장치 (900) 는 최대 약 500 ㎳, 또는 최대 약 750 ㎳의 스위칭 시간을 가질 수도 있다. 스위칭 시간은 플로우 화학 물질, 선택된 레시피, 반응기 아키텍처 및 다른 인자들에 종속될 수도 있다.
일부 구현 예들에서, 시스템 제어기 (930) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치와 통합될 수도 있다. 전자 장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는, 시스템 제어기 (930) 로 통합될 수도 있다. 프로세싱 파라미터들 및/또는 시스템의 타입에 따라, 시스템 제어기 (930) 는 프로세싱 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, 무선 주파수 (RF) 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 포지션 설정 및 동작 설정, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기 (930) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 제어기와 통신하는 또는 시스템과 통신하는 인스트럭션들일 수도 있다. 일부 구현 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 또는 제거 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (930) 는, 일부 구현 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 가능하게 할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (930) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 시스템 제어기 (930) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 예컨대 공동의 목적을 향해 함께 네트워킹되고 작동되는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
제한없이, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD 챔버 또는 모듈, CVD 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 챔버 또는 모듈, EUV 리소그래피 챔버 (스캐너) 또는 모듈, 건식 현상 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
EUVL 패터닝은 종종 스캐너로 지칭되는 임의의 적합한 툴, 예를 들어 NL, Veldhoven 소재의 ASML에 의해 공급된 TWINSCAN NXE: 3300B® 플랫폼을 사용하여 수행될 수도 있다. EUVL 패터닝 툴은 기판이 본 명세서에 기술된 바와 같이 증착 및 에칭을 위해 내외로 이동되는 독립형 디바이스일 수도 있다. 또는 이하에 기술된 바와 같이, EUVL 패터닝 툴은 더 큰 멀티-컴포넌트 툴 상의 모듈일 수도 있다. 도 10은 본 명세서에 기술된 프로세스들의 구현에 적합한, 진공 이송 모듈과 인터페이싱하는 진공-통합된 증착, EUV 패터닝 및 건식 현상/에칭 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 프로세스들이 이러한 진공 통합된 장치 없이 수행될 수도 있지만, 이러한 장치는 일부 구현 예들에서 유리할 수도 있다.
도 10은 본 명세서에 기술된 프로세스들의 구현 예들에 적합한, 진공 이송 모듈과 인터페이싱하는 진공-통합된 증착 및 패터닝 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 복수의 저장 설비들 및 프로세싱 모듈들 사이에서 웨이퍼들을 "이송"하기 위한 이송 모듈들의 배열은 "클러스터 툴 아키텍처" 시스템으로 지칭될 수도 있다. 증착 모듈 및 패터닝 모듈은 특정한 프로세스의 요건들에 따라 진공-통합된다. 에칭을 위한 모듈과 같은 다른 모듈들이 또한 클러스터 상에 포함될 수도 있다.
진공 이송 모듈 (Vacuum Transport Module; VTM) (1038) 이 다양한 제조 프로세스들을 수행하도록 개별적으로 최적화될 수도 있는 4 개의 프로세싱 모듈들 (1020a 내지 1020d) 과 인터페이싱한다. 예로서, 프로세싱 모듈들 (1020a 내지 1020d) 은 증착, 증발, ELD, 건식 현상, 세정, 에칭, 스트립 (strip), 및/또는 다른 반도체 프로세스들을 수행하도록 구현될 수도 있다. 예를 들어, 모듈 (1020a) 은 본 명세서에 기술된 바와 같이 비플라즈마, 열적 원자 층 증착들을 수행하도록 동작될 수도 있는, CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 Vector 툴과 같은 ALD 반응기일 수도 있다. 그리고 모듈 (1020b) 은 Lam Vector®와 같은 PECVD 툴일 수도 있다. 도면이 반드시 축척대로 도시된 것은 아니라는 것이 이해되어야 한다.
로드록들 또는 이송 모듈들로 또한 공지된 에어록들 (airlocks) (1042 및 1046) 은 VTM (1038) 및 패터닝 모듈 (1040) 과 인터페이싱한다. 예를 들어, 상기 주지된 바와 같이, 적합한 패터닝 모듈은 NL, Veldhoven 소재의 ASML에 의해 공급된 TWINSCAN NXE: 3300B® 플랫폼일 수도 있다. 이 툴 아키텍처는 반도체 기판들 또는 웨이퍼들과 같은 워크피스들로 하여금 노출 전에 반응하지 않도록 진공 하에서 이송되게 한다. 리소그래피 툴과 증착 모듈들의 통합은 EUVL가 또한 H2O, O2, 등과 같은 주변 가스들에 의한 입사 광자들의 강한 광 흡수를 고려하면 상당히 감소된 압력을 필요로 한다는 사실에 의해 용이해진다.
상기 주지된 바와 같이, 이 통합된 아키텍처는 단지 기술된 프로세스들의 구현을 위한 툴의 일 가능한 구현 예이다. 프로세스들은 또한 예를 들어, 도 10을 참조하여 기술된 바와 같지만 통합된 패터닝 모듈 없는 모듈들과 같이, 독립형 또는 다른 툴들, 예컨대 에칭, 스트립, 등 (예를 들어, Lam Kiyo 또는 Gamma 툴들) 과 함께 클러스터 아키텍처에 통합된, Lam Vector 툴과 같은 더 통상적인 독립형 EUVL 스캐너 및 증착 반응기로 구현될 수도 있다.
에어록 (1042) 은 증착 모듈 (1020a) 을 서비스하는 VTM (1038) 으로부터 패터닝 모듈 (1040) 로의 기판의 이송을 지칭하는 "인출 (outgoing)" 로드록일 수도 있고, 에어록 (1046) 은 패터닝 모듈 (1040) 로부터 VTM (1038) 으로 다시 기판의 이송을 지칭하는 "인입 (ingoing)" 로드록일 수도 있다. 인입 로드록 (1046) 은 또한 기판들의 액세스 및 진출 (egress) 을 위해 툴의 외부로의 인터페이스를 제공할 수도 있다. 프로세스 모듈 각각은 모듈을 VTM (1038) 에 인터페이싱하는 패싯 (facet) 을 갖는다. 예를 들어, 증착 프로세스 모듈 (1020a) 은 패싯 (1036) 을 갖는다. 패싯 각각의 내부에서, 센서들, 예를 들어, 도시된 바와 같이 센서 1 내지 센서 18은 각각의 스테이션들 사이에서 이동할 때 웨이퍼 (1026) 의 통과를 검출하도록 사용된다. 패터닝 모듈 (1040) 및 에어록들 (1042 및 1046) 은 도시되지 않은 부가적인 패싯들 및 센서들을 유사하게 구비할 수도 있다.
메인 VTM 로봇 (1022) 은 에어록들 (1042 및 1046) 을 포함하는 모듈들 사이에서 웨이퍼 (1026) 를 이송한다. 일 구현 예에서, 로봇 (1022) 은 하나의 암을 갖고, 또 다른 구현 예에서, 로봇 (1022) 은 2 개의 암들을 갖고, 암 각각은 이송을 위해 웨이퍼 (1026) 와 같은 웨이퍼들을 픽킹하기 (pick) 위한 엔드 이펙터 (end effector) (1024) 를 갖는다. 프론트 엔드 로봇 (1044) 은 인출 에어록 (1042) 으로부터 패터닝 모듈 (1040) 내로, 패터닝 모듈 (1040) 로부터 인입 에어록 (1046) 내로 웨이퍼들 (1026) 을 이송하도록 사용된다. 프론트 엔드 로봇 (1044) 은 또한 기판들의 액세스 및 진출을 위해 인입 로드록과 툴의 외부 사이에서 웨이퍼들 (1026) 을 이송할 수도 있다. 인입 에어록 모듈 (1046) 이 대기와 진공 사이의 분위기를 매칭하는 능력을 갖기 때문에, 웨이퍼 (1026) 는 손상되지 않고 2 개의 압력 분위기들 사이에서 이동할 수 있다.
EUVL 툴이 통상적으로 증착 툴보다 더 높은 진공에서 동작한다는 것을 주의해야 한다. 이것이 사실이라면, 기판이 패터닝 툴 내로 진입하기 전에 탈기되게 (degas) 하도록 EUVL 툴과 증착 툴 사이의 이송 동안 기판의 진공 분위기를 상승시키는 것이 바람직하다. 인출 에어록 (1042) 은 패터닝 모듈 (1040) 의 광학계 (optics) 가 기판으로부터 가스 배출에 의해 오염되지 않도록, 일정 기간 동안 패터닝 모듈 (1040) 내의 압력보다 높지 않은, 더 낮은 압력으로 이송된 웨이퍼들을 홀딩하고 모든 가스 배출을 배기함으로써 이 기능을 제공할 수도 있다. 인출, 가스 배출 에어록을 위한 적합한 압력은 1E-8 Torr 이하이다.
일부 구현 예들에서, (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기 (1050) 가 클러스터 툴 및/또는 이의 분리된 모듈들의 일부 또는 모든 동작들을 제어한다. 제어기가 클러스터 아키텍처에 국부적일 수 있거나, 제작 현장에서 클러스터 아키텍처 외부에, 또는 원격 위치에 위치될 수 있고, 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것을 주의해야 한다. 시스템 제어기 (1050) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 프로세서는 CPU (Central Processing Unit) 또는 컴퓨터, 아날로그 입력/출력 연결부들 및/또는 디지털 입력/출력 연결부들, 스텝퍼 (stepper) 모터 제어기 보드들, 및 다른 유사한 컴포넌트들을 포함할 수도 있다. 적절한 제어 동작들을 구현하기 위한 인스트럭션들이 프로세서 상에서 실행된다. 이들 인스트럭션들은 제어기와 연관된 메모리 디바이스들 상에 저장될 수도 있고, 또는 이들이 네트워크를 통해 제공될 수도 있다. 특정한 구현 예들에서, 시스템 제어기는 시스템 제어 소프트웨어를 실행한다.
시스템 제어 소프트웨어는 툴 또는 모듈 동작의 임의의 양태의 적용의 타이밍 및/또는 크기를 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들은 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작들을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어가 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다. 일부 구현 예들에서, 시스템 제어 소프트웨어는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 포함한다. 예를 들어, 반도체 제조 프로세스의 페이즈 각각은 시스템 제어기에 의한 실행을 위한 하나 이상의 인스트럭션들을 포함할 수도 있다. 응결, 증착, 증발, 패터닝 및/또는 에칭 페이즈를 위한 프로세스 조건들을 설정하기 위한 인스트럭션들은 예를 들어, 대응하는 레시피 페이즈에 포함될 수도 있다.
다양한 구현 예들에서, 네거티브 패턴 마스크를 형성하기 위한 장치가 제공된다. 장치는 패터닝, 증착 및 에칭을 위한 프로세싱 챔버, 및 네거티브 패턴 마스크를 형성하기 위한 인스트럭션들을 포함하는 제어기를 포함할 수도 있다. 인스트럭션들은 프로세싱 챔버에서, 기판의 표면을 노출하도록 EUV 노출에 의해 반도체 기판 상의 CAR (chemically amplified resist) 의 피처를 패터닝하고, 포토패터닝된 레지스트를 현상하고, 그리고 패터닝된 레지스트를 마스크로서 사용하여 하부 층 또는 층 스택을 에칭하기 위한 코드를 포함할 수도 있다. 현상은 유기산과 같은 유기 증기를 사용하여 수행될 수도 있다.
웨이퍼 이동을 제어하는 컴퓨터는 클러스터 아키텍처에 국부적일 수 있거나, 제작 현장에서 클러스터 아키텍처 외부에, 또는 원격 위치에 위치될 수 있고 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것을 주의해야 한다. 도 7, 도 8, 또는 도 9 중 임의의 도면에 대해 상기 기술된 바와 같은 제어기가 도 10의 툴을 사용하여 구현될 수도 있다.
도 11은 일부 구현 예들에 따른 금속-함유 레지스트 재료의 증기-기반 증착을 위한 증착 챔버의 예를 도시한다. 알 수 있는 바와 같이, 리드 (1108) 를 포함하는 프로세스 챔버 (1102) 를 갖는 장치 (1100) 가 도시된다. 기판 (1122) 이 웨이퍼 지지부 (1124) 상에 배치될 수도 있는, 프로세스 챔버 (1102) 는 기판 (1122) 이 통과하게 하고 프로세스 챔버 (1102) 의 내부로 들어가게 하도록 사이징되는 프로세스 챔버 (1102) 의 벽들 중 하나를 통한 웨이퍼 이송 통로 (1104) 를 포함할 수도 있다. 웨이퍼 이송 통로 (1104) 는 웨이퍼 이송 통로 (1104) 를 시일링하거나 시일링 해제하도록 (unseal) 동작될 수도 있는 게이트 밸브 (1106) 또는 유사한 도어 메커니즘을 가질 수도 있고, 이에 따라 프로세스 챔버 (1102) 내 분위기로 하여금 게이트 밸브 (1106) 의 다른 측면 상의 분위기로부터 격리되게 한다. 예를 들어, 프로세스 챔버 (1102) 는 인접한 이송 챔버 내에 위치된 웨이퍼 핸들링 로봇을 통해 기판들 (1122) 이 제공될 수도 있다. 이러한 이송 챔버는 예를 들어, 주변부 둘레에 배치된 복수의 프로세싱 챔버들 (1102) 을 가질 수도 있고, 이러한 프로세스 챔버 (1102) 각각은 대응하는 게이트 밸브 (1106) 를 통해 이송 챔버와 연결된다.
웨이퍼 지지부 (1124) 는 예를 들어, 기판 (1122) 을 지지하기 위해 웨이퍼 지지 표면을 제공하도록 사용될 수도 있는 정전 척 (ESC) (1126) 을 포함할 수도 있다. ESC (1126) 는 예를 들어, 베이스 플레이트 (1134) 상단에 배치되는 상단 플레이트 (1128) 에 본딩되는 베이스 플레이트 (1134) 를 포함할 수도 있다. 상단 플레이트 (1128) 는 예를 들어, 세라믹 재료로 이루어질 수도 있고 그 내부에 몇몇 다른 컴포넌트들을 임베딩할 (embed) 수도 있다. 도시된 예에서, 상단 플레이트 (1128) 는 내부에 임베딩된 2 개의 분리된 전기 시스템들을 갖는다. 하나의 이러한 시스템은 기판 (1122) 으로 하여금 상단 플레이트 (1128) 의 웨이퍼 지지 표면에 대해 인출되게 하는 기판 (1122) 내에 전하를 생성하도록 사용될 수도 있는 하나 이상의 클램핑 전극들 (1132) 을 가질 수도 있는 정전 클램핑 전극 시스템이다. 도 11의 구현 예에서, 쌍극성 정전 클램핑 시스템을 제공하는 2 개의 클램핑 전극들 (1132) 이 있지만, 일부 구현 예들은 단극성 정전 클램핑 시스템을 제공하기 위해 단일 클램핑 전극 (1132) 만을 사용할 수도 있다.
다른 시스템은 프로세싱 조건들 동안 기판 (1122) 의 온도를 제어하기 위해 사용될 수도 있는 열 제어 시스템 (thermal control system) 이다. 도 11에서, 열 제어 시스템은 서로 동심이고 클램핑 전극들 (1132) 밑에 포지셔닝된 4 개의 환형 저항 히터 트레이스들 (1130a, 1130b, 1130c, 및 1130d) 을 특징으로 하는 멀티-존 열 제어 시스템이다. 중심 저항 히터 트레이스들 (1130a) 은, 일부 구현 예들에서, 일반적으로 원형 영역을 채울 수도 있고, 그리고 저항 히터 트레이스 (1130a/1130b/1130c/1130d) 각각은 대응하는 환형 영역 내에서 일반적으로 구불 구불한 (serpentine) 경로 또는 그렇지 않으면 구불 구불한 (meander) 경로를 따를 수도 있다. 저항 히터 트레이스 (1130a, 1130b, 1130c, 및 1130d) 각각은 상단 플레이트 (1128) 내에 다양한 방사상 가열 프로파일들을 제공하도록 개별적으로 제어될 수도 있고; 일부 경우들에서, 이러한 4-존 가열 시스템은 예를 들어, ± 0.5 ℃의 온도 균일성을 갖도록 기판 (1122) 을 유지하기 위해 제어될 수도 있다. 도 11의 장치 (1100) 는 ESC (1126) 내의 4-존 가열 시스템을 특징으로 하지만, 다른 구현 예들은 단일-존 또는 4 개보다 더 많거나 더 적은 존들을 갖는 멀티-존 가열 시스템들을 사용할 수도 있다.
예를 들어, 상기 논의된 온도 제어 메커니즘들의 일부 구현 예들에서, 열 펌프들이 저항 가열 트레이스들 대신 사용될 수도 있다. 예를 들어, 일부 구현 예들에서, 저항 히터 트레이스들은 일 측면으로부터 또 다른 측면으로 열을 "펌핑"하도록 제어될 수도 있는 펠티에 (Peltier) 접합부들 또는 다른 유사한 디바이스들에 의해 대체되거나 증가될 수도 있다. 이러한 메커니즘들은, 예를 들어, 상단 플레이트 (1128) (및 따라서 기판 (1122)) 로부터 열을 인출하고 베이스 플레이트 (1134) 및 열 교환 통로들 (1136) 내로 열을 지향시키도록 사용될 수도 있고, 이에 따라, 원한다면 기판 (1122) 이 더 신속하고 더 효과적으로 냉각되게 한다.
ESC (1126) 는 또한 예를 들어, 상단 플레이트 (1128) 의 아랫면에 구조적 지지를 제공하도록 사용될 수도 있고, 또한 열 분산 시스템으로서 작용할 수도 있는 베이스 플레이트 (1134) 를 포함할 수도 있다. 예를 들어, 베이스 플레이트 (1134) 는 베이스 플레이트 (1134) 전체에 걸쳐 일반적으로 분포된 방식으로 배치되는 하나 이상의 열 교환 통로들 (1136) 을 포함할 수도 있고, 예를 들어, 열 교환 통로들 (1136) 은 베이스 플레이트 (1134) 의 중심 주변의 구불 구불한, 원형 스위치 백 (switchback), 또는 나선형 패턴을 따를 수도 있다. 열 교환 매체, 예를 들어, 물 또는 불활성 플루오르화된 액체는 사용 동안 열 교환 통로들 (1136) 을 통해 순환될 수도 있다. 열 교환 매체의 플로우 레이트 및 온도는 베이스 플레이트 (1134) 에서 특정한 가열 또는 냉각 거동을 발생시키도록 외부적으로 제어될 수도 있다.
ESC (1126) 는 예를 들어, 웨이퍼 지지 컬럼 (1144) 과 연결되고 웨이퍼 지지 컬럼 (1144) 에 의해 지지되는 웨이퍼 지지 하우징 (1142) 에 의해 지지될 수도 있다. 웨이퍼 지지 컬럼 (1144) 은 예를 들어, 베이스 플레이트 (1134) 및/또는 상단 플레이트 (1128) 의 아랫면으로 케이블링, 유체 플로우 도관들, 및 다른 장비를 라우팅하기 위한 다른 패스-쓰루들 (pass-throughs) 이외의 라우팅 통로 (1148) 를 가질 수도 있다. 예를 들어, 도 11에는 도시되지 않지만, 저항 히터 트레이스들 (1130a/1130b/1130c/1130d) 에 전력을 제공하기 위한 케이블링은 클램핑 전극들 (1132) 에 전력을 제공하기 위해 케이블링할 수도 있는 것처럼 라우팅 통로 (1148) 를 통해 라우팅될 수도 있다. 다른 케이블들, 예를 들어, 온도 센서들을 위한 케이블들은 또한 라우팅 통로 (1148) 를 통해 웨이퍼 지지부 (1124) 의 내부의 위치들로 라우팅될 수도 있다. 온도-제어 가능한 베이스 플레이트 (1134) 를 사용한 구현 예들에서, 베이스 플레이트 (1134) 로 그리고 베이스 플레이트 (1134) 로부터 열 교환 매체를 전달하기 위한 도관들은 또한 라우팅 통로 (1148) 를 통해 라우팅될 수도 있다. 과도한 혼란 (clutter) 을 방지하기 위해, 이러한 케이블들 및 도관들은 도 11에 도시되지 않지만, 그럼에도 불구하고, 이들이 존재할 것이라는 것이 이해되어야 한다.
도 11의 장치 (1100) 는 또한 웨이퍼 지지 컬럼 (1144) 에 이동식 지지부를 제공할 수도 있는 웨이퍼 지지부 z-액추에이터 (1146) 를 포함한다. 웨이퍼 지지부 z-액추에이터 (1146) 는 웨이퍼 지지 컬럼 (1144) 및 이에 의해 지지된 웨이퍼 지지부 (1124) 로 하여금 프로세스 챔버 (1102) 의 반응 공간 (1120) 내에서 수직으로, 예를 들어, 수 인치까지 위로 또는 아래로 이동하게 하도록 작동될 수도 있다. 그렇게 함에 있어서, 기판 (1122) 과 샤워헤드 (1110) 의 아랫면 사이의 갭 거리 X는 다양한 프로세스 조건들에 따라 튜닝될 수도 있다.
웨이퍼 지지부 (1124) 는 또한, 일부 구현 예들에서, 다양한 프로세스 조건들을 제어 및/또는 미세-튜닝하도록 사용될 수도 있는 하나 이상의 에지 링들을 포함할 수도 있다. 도 11에서, 예를 들어, 하부 에지 링들 (1140a 및 1140b) 의 상단 상에 놓이는 상부 에지 링 (1138) 이 제공되고, 이는 결국 웨이퍼 지지 하우징 (1142) 및 제 3 하부 에지 링 (1140c) 에 의해 지지된다. 상부 에지 링 (1138) 은 일반적으로 기판 (1122) 과 동일한 프로세싱 분위기를 겪을 수도 있는 한편, 하부 에지 링들 (1140a/1140b/1140c) 은 일반적으로 프로세싱 분위기로부터 차폐될 수도 있다. 상부 에지 링 (1138) 의 증가된 노출로 인해, 상부 에지 링 (1138) 은 제한된 수명을 가질 수도 있고 하부 에지 링들 (1140a/1140b/1140c) 과 비교하여 더 빈번한 교체 또는 세정을 필요로 할 수도 있다.
장치 (1100) 는 또한 프로세싱이 종료되는 동안 그리고 프로세싱이 종료된 후 프로세스 챔버 (1102) 로부터 프로세스 가스들을 제거하기 위한 시스템을 포함할 수도 있다. 예를 들어, 프로세스 챔버 (1102) 는 웨이퍼 지지 컬럼 (1144) 을 둘러싸는 환형 플레넘 (1156) 을 포함할 수도 있다. 환형 플레넘 (1156) 은 결국, 예를 들어 장치 (1100) 아래의 서브 플로어 밑에 위치될 수도 있는 것과 같이, 진공 펌프와 연결될 수도 있는 진공 포어라인 (foreline) (1152) 과 유체적으로 연결될 수도 있다. 레귤레이터 밸브 (1154) 가 진공 포어라인 (1152) 과 프로세스 챔버 (1102) 사이에 제공될 수도 있고 진공 포어라인 (1152) 내로의 플로우를 제어하도록 작동될 수도 있다. 일부 구현 예들에서, 웨이퍼 지지 컬럼 (1144) 의 원주 둘레에 더 고르게 분포된 환형 플레넘 (1156) 내로의 플로우를 만들도록 기능할 수도 있는 배플 (1150), 예를 들어, 환형 플레이트 또는 다른 구조체가 기판 (1122) 을 가로 질러 흐르는 반응 물질들에서 플로우 불균일도들의 발생 기회들을 감소시키도록 제공될 수도 있다.
도시된 바와 같이, 샤워헤드 (1110) 는 듀얼-플레넘 샤워헤드 (1110) 이고 제 1 유입구 (1116) 를 통해 프로세스 가스가 제공되는 제 1 플레넘 (1112) 및 제 2 유입구 (1118) 를 통해 프로세스 가스가 제공되는 제 2 플레넘 (1114) 을 포함한다. 일반적으로, 2 개의 플레넘들이 전구체와 대응-반응 물질의 릴리즈 전에 전구체(들)와 대응-반응 물질(들) 사이의 분리를 유지하도록 채용될 수 있다. 샤워헤드 (1110) 는 일부 구현 예들에서, 3 개 이상의 플레넘들을 가질 수도 있다. 일부 예들에서, 프로세싱 챔버 (1102) 의 반응 공간 (1120) 내로 전구체(들)를 전달하기 위해 단일 플레넘이 사용된다. 플레넘 각각은 샤워헤드 (1110) 의 대면 플레이트를 통해 반응 공간 (1120) 과 각각의 플레넘을 유체적으로 연결하는 가스 분배 포트들의 대응하는 세트를 가질 수도 있다 (대면 플레이트는 최하부 플레넘과 반응 공간 (1120) 사이에 개재되는 샤워헤드 (1110) 의 부분이다).
샤워헤드 (1110) 의 제 1 유입구 (1116) 및 제 2 유입구 (1118) 는 본 명세서에 논의된 바와 같이, 하나 이상의 전구체(들) 및/또는 대응-반응 물질(들)을 제공하도록 구성될 수도 있는, 가스 공급 시스템을 통해 프로세싱 가스들이 제공될 수도 있다. 도시된 장치 (1100) 는 복수의 전구체들 및 복수의 대응-반응 물질들을 제공하도록 구성된다. 예를 들어, 제 1 밸브 매니폴드 (1168a) 는 제 1 유입구 (1116) 에 전구체(들)를 제공하도록 구성될 수도 있는 한편, 제 2 밸브 매니폴드 (1168b) 는 다른 전구체(들) 또는 다른 대응-반응 물질을 제 2 유입구 (1118) 에 제공하도록 구성될 수도 있다.
제 1 밸브 매니폴드 (1168a) 는 제 1 유입구 (1116) 에 하나 이상의 전구체(들)를 제공하도록 구성될 수도 있는 한편, 제 2 밸브 매니폴드 (1168b) 는 다른 전구체(들) 또는 다른 반응 물질을 제 2 유입구 (1118) 에 제공하도록 구성될 수도 있다. 이 예에서, 제 1 밸브 매니폴드 (1168a) 는 예를 들어, 복수의 밸브들 (A1 내지 A5) 을 포함한다. 밸브 A2는, 예를 들어, 제 1 기화기 (1172a) 와 유체적으로 연결된 일 포트, 바이패스 라인 (1170a) 과 유체적으로 연결된 또 다른 포트, 및 또 다른 3-웨이 (three-way) 밸브 A3 상의 포트와 유체적으로 연결된 제 3 포트를 갖는 3-웨이 밸브일 수도 있다. 유사하게, 밸브 A4는 제 2 기화기 (1172b) 와 유체적으로 연결된 일 포트, 바이패스 라인 (1170a) 과 유체적으로 연결된 또 다른 포트, 및 또 다른 3-웨이 밸브 A5 상의 포트와 유체적으로 연결된 제 3 포트를 갖는 또 다른 3-웨이 밸브일 수도 있다. 밸브 A5 상의 다른 포트들 중 하나는 제 1 유입구 (1116) 와 유체적으로 연결될 수도 있는 한편, 밸브 A5 상의 나머지 포트는 밸브 A3 상의 나머지 포트들 중 하나와 유체적으로 연결될 수도 있다. 밸브 A3 상의 나머지 포트는 결국 밸브 A3와 퍼지 가스 소스 (1174), 예를 들어 질소, 아르곤, 또는 (전구체(들) 및/또는 대응-반응 물질(들)에 대해) 다른 적합하게 불활성인 가스 사이에 유체적으로 개재될 수도 있는 밸브 A1와 유체적으로 연결될 수도 있다. 일부 구현 예들에서, 제 1 밸브 매니폴드만이 채용된다.
본 개시의 목적들을 위해, 용어 "유체적으로 연결된 (fluidically connected)"은 용어 "전기적으로 접속된"이 전기적 접속을 형성하도록 함께 연결되는 컴포넌트들에 대해 사용되는 방법과 유사하게, 유체 연결부를 형성하기 위해 서로 연결될 수도 있는, 볼륨들, 플레넘들, 홀들, 등에 대해 사용된다. 용어 "유체적으로 개재된 (fluidically interposed)"은, 사용된다면, 적어도 2 개의 다른 컴포넌트들, 볼륨들, 플레넘들, 또는 홀들과 유체적으로 연결된 컴포넌트, 볼륨, 플레넘, 또는 홀을 지칭하도록 사용될 수도 있어서, 이들 다른 컴포넌트들, 볼륨들, 플레넘들, 또는 홀들 중 하나로부터 이들 컴포넌트들, 볼륨들, 플레넘들, 또는 홀들 중 다른 것 또는 또 다른 것으로 흐르는 유체가 이들 컴포넌트들, 볼륨들, 플레넘들, 또는 홀들 중 다른 것 또는 또 다른 것에 도달하기 전에 "유체적으로 개재된" 컴포넌트를 통해 먼저 흐를 것이다. 예를 들어, 펌프가 저장부와 유출구 사이에 유체적으로 개재된다면, 저장부로부터 유출구로 흐르는 유체는 유출구에 도달하기 전에 먼저 펌프를 통해 흐를 것이다.
제 1 밸브 매니폴드 (1168a) 는, 예를 들어, 기화기들 (1172a 및 1172b) 중 하나 또는 모두로부터의 증기들로 하여금 프로세스 챔버 (1102) 로 또는 제 1 바이패스 라인 (1170a) 을 통해 그리고 진공 포어라인 (1152) 내로 흐르게 하도록 제어 가능할 수도 있다. 제 1 밸브 매니폴드 (1168a) 는 또한 퍼지 가스로 하여금 퍼지 가스 소스 (1174) 로부터 제 1 유입구 (1116) 내로 흐르게 하도록 제어 가능할 수도 있다.
예를 들어, 제 1 기화기 (1172a) 로부터 반응 공간 (1120) 내로 증기를 흘리기 위해, 밸브 A2는 제 1 기화기 (1172a) 로부터 증기로 하여금 제 1 바이패스 라인 (1170a) 내로 먼저 흐르게 하도록 작동될 수도 있다. 이 플로우는 증기의 플로우가 정상 상태 플로우 조건들에 도달하게 하기에 충분한 시간 기간 동안 유지될 수도 있다. 충분한 시간이 경과한 후 (또는 사용된다면, 유량계가 플로우 레이트가 안정하다는 것을 나타낸 후), 밸브들 (A2, A3, 및 A5) 은 제 1 기화기 (1172a) 로부터 증기 플로우가 제 1 유입구로 지향되게 하도록 작동될 수도 있다. 밸브들 (A4 및 A5) 을 사용한 유사한 동작들이 제 2 기화기 (1172b) 로부터 제 1 유입구 (1116) 로 증기를 전달하도록 수행될 수도 있다. 일부 예들에서, 퍼지 가스 소스 (1174) 로부터의 퍼지 가스가 제 1 유입구 (1116) 내로 흐르게 하도록 밸브들 (A1, A3, 및 A5) 을 작동시킴으로써 제 1 플레넘 (1112) 으로부터 증기들 중 하나를 퍼지하는 것이 바람직할 수도 있다. 일부 부가적인 구현 예들에서, 퍼지 가스로부터 제 1 유입구 (1116) 내로 가스를 흘리는 것과 연계하여 (in tandem with) 기화기들 중 하나 (1172a 또는 1172b) 로부터 증기를 동시에 흘리는 것이 바람직할 수도 있다. 이러한 구현 예들은 이러한 증기(들)에 함유된 반응 물질(들)의 농도를 희석하도록 사용될 수도 있다.
제 2 밸브 매니폴드 (1168b) 는 유사한 방식으로, 예를 들어, 기화기들 (1172c 및 1172d) 로부터 제 2 유입구 (1118) 또는 제 2 바이패스 라인 (1170b) 으로 증기들을 제공하도록 밸브들 (B1 내지 B5) 을 제어함으로써 제어될 수도 있다는 것이 이해될 것이다. 제 1 유입구 (1116) 및 제 2 유입구 (1118) 로의 전구체(들), 대응-반응 물질(들), 또는 다른 반응 물질들의 플로우를 제어하기 위한 밸브들을 포함하는, 단일 일체형 (unitary) 매니폴드를 포함하여, 상이한 매니폴드 배열들이 또한 활용될 수도 있다는 것이 또한 인식될 것이다.
앞서 언급된 바와 같이, 일부 장치들 (1100) 은 더 적은 수의 증기 소스들, 예를 들어, 단지 2 개의 기화기들 (1172) 을 특징으로 할 수도 있고, 이 경우 밸브 매니폴드(들) (1168) 는 더 적은 수의 밸브들, 예를 들어, 밸브들 (A1 내지 A3) 만을 갖도록 수정될 수도 있다.
상기 논의된 바와 같이, 막들의 건식 증착을 제공하도록 사용될 수도 있는 장치 (1100) 와 같은 장치들은 프로세스 챔버 (1102) 내에서 특정한 온도 프로파일들을 유지하도록 구성될 수도 있다. 특히, 이러한 장치들 (1100) 은 전구체(들) 및/또는 대응-반응 물질(들)과 직접적으로 콘택트하게 되는 장치 (1100) 의 대부분의 장비보다 낮은 온도, 예를 들어, 적어도 25 ℃ 내지 50 ℃ 더 낮은 온도로 기판 (1122) 을 유지하도록 구성될 수도 있다. 부가적으로, 전구체(들) 및/또는 대응-반응 물질(들)과 직접적으로 콘택트하게 되는 장치 (1100) 의 장비의 온도는 이러한 장비의 표면들 상에서 기화된 반응 물질들의 응결을 못하게 되는 충분히 높은 상승된 레벨로 유지될 수도 있다. 동시에, 기판 (1122) 온도는 기판 (1122) 상의 반응 물질들의 응결, 또는 적어도 증착을 촉진하는 레벨로 제어될 수도 있다.
이러한 온도 제어를 제공하기 위해, 다양한 가열 시스템들이 장치 (1100) 에 포함될 수도 있다. 예를 들어, 프로세스 챔버 (1102) 는 카트리지 히터들 (1158) 을 수용하기 위한 리셉터클들 (receptacles) 을 가질 수도 있고, 예를 들어, 일반적으로 실린더형 내부 볼륨을 갖지만 정사각형 또는 직사각형 외부 형상을 갖는 프로세스 챔버 (1102) 를 위해, 카트리지 히터들 (1158) 을 수용하기 위한 수직 홀들이 챔버 (1102) 하우징의 4 개의 코너들 내로 천공될 (bore) 수도 있다. 일부 구현 예들에서, 샤워헤드 (1110) 는 상승된 샤워헤드 온도를 유지하기 위해 샤워헤드 (1110) 의 노출된 상부 표면에 걸쳐 열을 인가하도록 사용될 수도 있는, 히터 블랭킷들 (1160) 로 커버될 수도 있다. 기화기들 (1172) 로부터 샤워헤드 (1110) 로 기화된 반응 물질들을 보내도록 (conduct) 사용되는 다양한 가스 라인들을 가열하는 것이 또한 유리할 수도 있다. 예를 들어, 저항성 히터 테이프는 이러한 가스 라인들 둘레에 감겨져 (wound) 이들을 상승된 온도로 가열하도록 사용될 수도 있다. 도 11에 도시된 바와 같이, 전구체(들) 및/또는 이들을 통해 흐르는 대응-반응 물질(들)을 잠재적으로 갖는 모든 가스 라인들은 바이패스 라인들 (1170) 을 포함하여 가열되는 것으로 도시된다. 유일한 예외들은 밸브 매니폴드들 (1168) 로부터 제 1 유입구 (1116) 및 제 2 유입구 (1118) 로의 가스 라인들이며, 이는 매우 짧을 수도 있고 샤워헤드 (1110) 에 의해 간접적으로 가열될 수도 있다. 물론, 이들 가스 라인들까지도 원한다면, 능동적으로 가열될 수도 있다. 일부 구현 예들에서, 히터들은 또한 게이트 밸브에 열을 제공하도록 게이트 밸브 (1106) 에 근접하게 제공될 수도 있다.
장치 (1100) 의 다양한 동작 시스템들은 제어기 (1184) 에 의해 제어될 수도 있고, 제어기 (1184) 는 서로 동작 가능하게 연결되고 장치 (1100) 의 다양한 시스템들 및 서브 시스템들과 통신 가능하게 연결되어 이들 시스템들에 대한 제어 기능을 제공하는, 하나 이상의 프로세서들 (1186) 및 하나 이상의 메모리 디바이스들 (1188) 을 포함할 수도 있다. 예를 들어, 제어기 (1184) 는 밸브들 (A1 내지 A5 및 B1 내지 B5), 다양한 히터들 (1158, 1160), 기화기들 (1172), 레귤레이터 밸브 (1154), 게이트 밸브 (1106), 웨이퍼 지지부 z-액추에이터, 등을 제어하도록 구성될 수도 있다.
제어기 (1184) 는 예를 들어, 컴퓨터-실행 가능 인스트럭션들의 실행을 통해, 장치 (1100) 로 하여금 상기 제공된 개시와 일치하는 다양한 동작들을 수행하게 하도록 구성될 수도 있다.
일단 금속-함유 레지스트 막이 기판 (1122) 상에 증착되면, 기판 (1122) 은 상기 주지된 바와 같이, 부가적인 동작들 (예를 들어, 본 명세서에 기술된 임의의 동작) 을 위해 하나 이상의 후속 프로세스 챔버들 또는 툴로 이송될 수도 있다. 추가의 증착 장치들은 전체가 참조로서 본 명세서에 인용된, 명칭이 "APPARATUS FOR PHOTORESIST DRY DEPOSITION"인 2020년 6월 22일 출원된 국제 특허 출원 번호 PCT/US2020/038968에 기술된다.
결론
예를 들어 EUV 패터닝의 맥락에서 패터닝 마스크를 형성하기 위한, 금속 및/또는 금속 옥사이드 포토레지스트들의 건식 현상을 위한 프로세스 및 장치가 개시된다.
본 명세서에 기술된 예들 및 구현 예들은 단지 예시적인 목적들을 위한 것이고, 이 관점에서 다양한 수정들 또는 변화들이 당업자들에게 제안될 것이라는 것이 이해된다. 명확성을 위해 다양한 상세들이 생략되었지만, 다양한 설계 대안들이 구현될 수도 있다. 따라서, 본 예들은 제한적이지 않고 예시적인 것으로 간주되어야 하고, 개시는 본 명세서에 제공된 상세들로 제한되지 않고, 본 개시의 범위 내에서 수정될 수도 있다.

Claims (22)

  1. 금속-함유 레지스트를 제거하는 방법에 있어서,
    프로세스 챔버 내에 반도체 기판의 하부층 (underlayer) 상에 금속-함유 레지스트를 제공하는 단계; 및
    상기 금속-함유 레지스트를 제거하기 위해 상기 금속-함유 레지스트를 제 1 상승된 온도에서 할라이드를 포함하는 에칭 가스에 노출시키는 단계를 포함하는, 금속-함유 레지스트 제거 방법.
  2. 제 1 항에 있어서,
    상기 금속-함유 레지스트를 상기 에칭 가스에 노출시키는 단계는 상기 하부층에 대해 상기 금속-함유 레지스트를 선택적으로 제거하는 단계를 포함하는, 금속-함유 레지스트 제거 방법.
  3. 제 1 항에 있어서,
    상기 금속-함유 레지스트를 상기 에칭 가스에 노출시키는 단계는 플라즈마에 대한 노출 없이 수행되는, 금속-함유 레지스트 제거 방법.
  4. 제 1 항에 있어서,
    상기 금속-함유 레지스트를 상기 에칭 가스에 노출시키는 단계는 플라즈마에 대한 노출과 함께 수행되는, 금속-함유 레지스트 제거 방법.
  5. 제 1 항에 있어서,
    상기 금속-함유 레지스트를 제거한 후 상기 하부층 및 잔여 할라이드들을 제거하기 위해 상기 하부층 및 상기 잔여 할라이드들을 제거 가스에 노출시키는 단계를 더 포함하고, 상기 제거 가스는 상기 제 1 상승된 온도보다 더 높은 제 2 상승된 온도에서 산화 가스 또는 수소 가스를 포함하는, 금속-함유 레지스트 제거 방법.
  6. 제 1 항에 있어서,
    상기 금속-함유 레지스트를 제거한 후 상기 하부층 및 상기 잔여 할라이드들을 제거하기 위해 상기 하부층 및 상기 잔여 할라이드들을 플라즈마에 노출시키는 단계를 더 포함하고, 상기 플라즈마는 산화 가스 또는 수소 가스의 이온들 및/또는 라디칼들을 포함하는, 금속-함유 레지스트 제거 방법.
  7. 제 1 항에 있어서,
    상기 금속-함유 레지스트를 제거한 후 상기 하부층의 표면을 처리하기 위해 상기 하부층을 플라즈마에 노출시키는 단계를 더 포함하는, 금속-함유 레지스트 제거 방법.
  8. 제 1 항에 있어서,
    상기 반도체 기판을 희석된 불산 (dHF) 수용액에 노출시키는 단계; 및
    상기 반도체 기판을 희석된 염산 (dHCl) 의 수용액 또는 암모늄 하이드록사이드 (NH4OH) 및 과산화수소 (H2O2) 를 포함하는 세정 용액에 노출시키는 단계를 더 포함하는, 금속-함유 레지스트 제거 방법.
  9. 제 1 항에 있어서,
    상기 금속-함유 레지스트는 포토패터닝된 금속-함유 EUV 레지스트인, 금속-함유 레지스트 제거 방법.
  10. 제 1 항에 있어서,
    상기 에칭 가스는 수소 플루오라이드 (HF), 수소 클로라이드 (HCl), 수소 브로마이드 (HBr), 수소 아이오다이드 (HI), 수소 가스 및 불소 가스 (H2 + F2), 수소 가스 및 염소 가스 (H2 + Cl2), 수소 가스 및 브롬 가스 (H2 + Br2), 수소 가스 및 아이오다이드 가스 (H2 + I2), 또는 브롬 트리클로라이드 (BCl3) 를 포함하는, 금속-함유 레지스트 제거 방법.
  11. 제 1 항에 있어서,
    상기 제 1 상승된 온도는 약 60 ℃ 내지 약 250 ℃인, 금속-함유 레지스트 제거 방법.
  12. 제 1 항에 있어서,
    상기 금속-함유 레지스트의 상기 에칭 가스에 대한 노출 동안 챔버 압력은 약 100 mTorr 내지 약 2000 mTorr이고, 상기 금속-함유 레지스트의 상기 에칭 가스에 대한 노출 동안 상기 에칭 가스의 플로우 레이트는 약 100 sccm 내지 약 5000 sccm인, 금속-함유 레지스트 제거 방법.
  13. 제 1 항에 있어서,
    상기 하부층은 스핀-온 유리 (spin-on glass; SOG), 스핀-온 탄소 (spin-on carbon; SOC), 비정질 또는 결정질 탄소, 또는 실리콘 옥시나이트라이드 (SiON) 를 포함하는, 금속-함유 레지스트 제거 방법.
  14. 제 1 항에 있어서,
    상기 금속-함유 레지스트 상에 마스크 층을 컨포멀하게 (conformally) 증착하는 단계; 및
    상기 금속-함유 레지스트의 상단 표면을 노출시키도록 상기 마스크 층의 일부를 제거하는 단계를 더 포함하고,
    상기 금속-함유 레지스트를 상기 에칭 가스에 노출시키는 단계는 상기 마스크 층에 대해 상기 금속-함유 레지스트를 선택적으로 제거하는, 금속-함유 레지스트 제거 방법.
  15. 제 1 항에 있어서,
    상기 금속-함유 레지스트를 상기 제 1 상승된 온도에서 상기 에칭 가스에 노출시키는 단계는 상기 반도체 기판의 전면을 복수의 발광 다이오드들 (light-emitting diodes; LEDs) 로부터의 광에 노출시키는 단계를 포함하는, 금속-함유 레지스트 제거 방법.
  16. 금속-함유 레지스트를 제거하는 방법에 있어서,
    프로세스 챔버 내에 반도체 기판의 하부층 (underlayer) 상에 금속-함유 레지스트를 제공하는 단계; 및
    상기 금속-함유 레지스트를 제거하기 위해 적어도 희석된 산의 수용액에 상기 금속-함유 레지스트를 노출시키는 단계를 포함하는, 금속-함유 레지스트 제거 방법.
  17. 제 16 항에 있어서,
    상기 금속-함유 레지스트를 적어도 상기 희석된 산의 상기 수용액에 노출시키는 단계는,
    상기 반도체 기판을 희석된 불산 (dHF) 수용액에 노출시키는 단계; 및
    상기 반도체 기판을 희석된 염산 (dHCl) 의 수용액 또는 암모늄 하이드록사이드 (NH4OH) 및 과산화수소 (H2O2) 를 포함하는 세정 용액에 노출시키는 단계를 포함하는, 금속-함유 레지스트 제거 방법.
  18. 제 16 항에 있어서,
    상기 금속-함유 레지스트는 포토패터닝된 금속-함유 EUV 레지스트인, 금속-함유 레지스트 제거 방법.
  19. 제 16 항에 있어서,
    상기 금속-함유 레지스트를 적어도 상기 희석된 산의 상기 수용액에 노출시키는 단계는 상기 하부층에 대해 상기 금속-함유 레지스트를 선택적으로 제거하는, 금속-함유 레지스트 제거 방법.
  20. 제 16 항에 있어서,
    상기 하부층은 스핀-온 유리 (spin-on glass; SOG), 스핀-온 탄소 (spin-on carbon; SOC), 비정질 또는 결정질 탄소, 또는 실리콘 옥시나이트라이드 (SiON) 를 포함하는, 금속-함유 레지스트 제거 방법.
  21. 제 16 항에 있어서,
    상기 금속-함유 레지스트를 적어도 상기 희석된 산의 상기 수용액에 노출시키는 단계는 상기 반도체 기판의 전면 및 배면을 상기 희석된 산의 상기 수용액에 노출시키는 단계를 포함하는, 금속-함유 레지스트 제거 방법.
  22. 제 16 항에 있어서,
    상기 금속-함유 레지스트를 제거한 후 상기 하부층의 표면을 처리하기 위해 상기 하부층을 플라즈마에 노출시키는 단계를 더 포함하는, 금속-함유 레지스트 제거 방법.
KR1020247006713A 2021-07-29 2022-07-20 금속-함유 포토레지스트의 재작업 (rework) KR20240032175A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163203715P 2021-07-29 2021-07-29
US63/203,715 2021-07-29
PCT/US2022/037733 WO2023009364A1 (en) 2021-07-29 2022-07-20 Rework of metal-containing photoresist

Publications (1)

Publication Number Publication Date
KR20240032175A true KR20240032175A (ko) 2024-03-08

Family

ID=85087232

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020247006713A KR20240032175A (ko) 2021-07-29 2022-07-20 금속-함유 포토레지스트의 재작업 (rework)

Country Status (4)

Country Link
KR (1) KR20240032175A (ko)
CN (1) CN118020031A (ko)
TW (1) TW202407467A (ko)
WO (1) WO2023009364A1 (ko)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100217241B1 (ko) * 1994-06-30 1999-09-01 오상수 모타축 가공장치
US7169440B2 (en) * 2002-04-16 2007-01-30 Tokyo Electron Limited Method for removing photoresist and etch residues
KR100705416B1 (ko) * 2005-06-15 2007-04-10 삼성전자주식회사 포토레지스트 제거용 조성물, 이의 제조방법, 이를 이용한포토레지스트의 제거 방법 및 반도체 장치의 제조 방법
JP5544914B2 (ja) * 2010-02-15 2014-07-09 大日本印刷株式会社 反射型マスクの製造方法

Also Published As

Publication number Publication date
WO2023009364A1 (en) 2023-02-02
CN118020031A (zh) 2024-05-10
TW202407467A (zh) 2024-02-16

Similar Documents

Publication Publication Date Title
US20220244645A1 (en) Photoresist development with halide chemistries
US20220344136A1 (en) Dry chamber clean of photoresist films
KR20210095218A (ko) 레지스트들의 건식 현상 (dry development)
US20240036483A1 (en) Process tool for dry removal of photoresist
US20230416606A1 (en) Photoresist development with organic vapor
US20240145272A1 (en) Integrated dry processes for patterning radiation photoresist patterning
US20230314954A1 (en) Dry backside and bevel edge clean of photoresist
KR20240032175A (ko) 금속-함유 포토레지스트의 재작업 (rework)
KR102673863B1 (ko) 포토레지스트의 건식 제거를 위한 프로세스 툴
US20240036474A1 (en) Control of metallic contamination from metal-containing photoresist
WO2024076679A1 (en) Dry chamber clean using thermal and plasma processes
KR20240056603A (ko) 에칭 정지 억제 (etch stop deterrence) 를 위한 금속 옥사이드 기반 포토레지스트의 순환적 현상

Legal Events

Date Code Title Description
A302 Request for accelerated examination
E701 Decision to grant or registration of patent right