TWI837391B - 利用鹵化物化學品的光阻顯影 - Google Patents

利用鹵化物化學品的光阻顯影 Download PDF

Info

Publication number
TWI837391B
TWI837391B TW109121680A TW109121680A TWI837391B TW I837391 B TWI837391 B TW I837391B TW 109121680 A TW109121680 A TW 109121680A TW 109121680 A TW109121680 A TW 109121680A TW I837391 B TWI837391 B TW I837391B
Authority
TW
Taiwan
Prior art keywords
processing
euv
photoresist
metal oxide
semiconductor substrate
Prior art date
Application number
TW109121680A
Other languages
English (en)
Other versions
TW202117468A (zh
Inventor
暹華 陳
正義 游
李達
范譯文
陽 潘
傑弗瑞 馬克思
理查 A 古思喬
丹尼爾 彼特
蒂莫西 威廉 魏德曼
博里斯 佛洛斯基
楊文兵
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202117468A publication Critical patent/TW202117468A/zh
Application granted granted Critical
Publication of TWI837391B publication Critical patent/TWI837391B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

光阻的顯影對於例如用以在高解析度圖案化的背景下形成圖案化遮罩可為實用的。顯影可使用例如鹵化氫的含鹵化物化學品而完成。使用乾式或濕式沉積技術可在半導體基板上沉積含金屬光阻膜。該光阻膜可為EUV敏感的含有機金屬氧化物、或含有機金屬薄膜光阻。在曝光後,係使用濕式或乾式顯影將經光圖案化的含金屬光阻進行顯影。

Description

利用鹵化物化學品的光阻顯影
本揭露總體上係關於半導體處理的領域。
諸如積體電路的半導體裝置之加工係涉及光微影術的多步驟處理。一般來說,該處理包括在晶圓上沉積材料、以及經由微影技術對該材料圖案化,以形成半導體裝置的結構特徵(例如,電晶體及電路系統)。在本領域中所習知的典型光微影處理之步驟包括:準備基板;例如藉由旋轉塗佈以施加光阻;將該光阻暴露至所欲圖案的光線,使得該光阻的經曝光區域變得更溶於、或更不溶於顯影劑溶液中;藉由施加顯影劑溶液進行顯影,以移除光阻的經曝光區域、或是未曝光區域;以及後續處理,例如藉由蝕刻或材料沉積以在光阻所移除的基板區域上創造特徵部。
半導體設計的演進形成在半導體基板材料上創造越來越小的特徵部之需求,並由這種能力驅使半導體設計的演進。在「摩爾定律」中,這種技術進程的特徵在於每兩年使密集積體電路中的電晶體密度加倍。確實,晶片設計及製造已有進展,使得先進微處理器可在單一晶片上包含數十億個電晶體和其他電路特徵。在這種晶片上的獨立特徵可為22奈米(nm)或更小的數量級,在一些情況下係小於10 nm。
在製造具有這種微小特徵部的裝置之中的一個挑戰在於:能夠可靠地且可再現地創造具有足夠解析度之光微影遮罩的能力。當前的光微影處理通常係使用193 nm的紫外(UV)光以使光阻曝光。光的波長明顯大於在半導體基板上待製造的期望特徵部尺寸的事實造成了先天上的問題。要達成特徵部尺寸小於光的波長需要使用複雜的解析度增強技術,例如複數圖案化。因此,對於發展使用較短光波長,例如極紫外(EUV)輻射,具有10 nm至15 nm的波長(例如13.5 nm)的光微影技術中係存在著著重大關注與研究計畫。
然而,EUV光微影處理可能存在挑戰,包括在圖案化期間的低功率輸出與光損失。類似於在193 nm UV微影術中使用的那些,當在EUV微影術中使用傳統有機的化學放大光阻(chemically amplified resists, CAR)時會具有潛在的缺點,特別是因為它們在EUV區域中具有低吸收係數,且光活化性化學物種的擴散可能會造成模糊、以及線邊緣粗糙。此外,為了提供將下伏裝置層圖案化所需的蝕刻抗性,在傳統CAR材料中經圖案化的微小特徵部可能會冒著圖案崩塌的風險而形成高深寬比。於是,仍然存在著對改善EUV光阻材料的需求,所述改善EUV光阻材料係例如具有較低厚度、較高吸收率、以及較高蝕刻抗性的這些性質。
此處所提供的先前技術係為了整體上呈現本揭露技術的背景。列名發明人的工作成果、至此先前技術部分中所描述的範圍、以及在申請時點可能不適格作為先前技術的敘述態樣,均不被明示或暗示地承認作為對抗本揭露技術的先前技術。
光阻的顯影對於例如用以在高解析度圖案化的背景下形成圖案化遮罩可為實用的。使用某些顯影化學品,顯影可選擇性移除光阻的經曝光、或未曝光部分。顯影化學品可包括鹵化物,例如鹵化氫、或氫與鹵化物氣體的混合。在一些實施例中,顯影為乾式顯影。在一些實施例中,該光阻為經光圖案化的含金屬EUV光阻。在一些實施例中,乾式顯影處理為無電漿的熱處理。
在本文中所揭露的係半導體基板的處理方法與系統。半導體基板的處理方法包括在處理腔室中,在半導體基板的基板層上提供經光圖案化的含金屬光阻;以及透過暴露至包括鹵化物的顯影化學品而選擇性地移除該光阻的一部分,以將該經光圖案化的含金屬光阻加以顯影而形成一光阻遮罩。
在一些實行例中,該經光圖案化的含金屬光阻係一經光圖案化的含金屬EUV光阻。在一些實行例中,將該經光圖案化的含金屬EUV光阻加以顯影係包括利用該顯影化學品將該EUV光阻之一未EUV曝光部分相對於一經EUV曝光部分進行選擇性地移除,以形成該光阻遮罩。在一些實行例中,該顯影化學品包括鹵化氫、氫氣與鹵素氣體、有機鹵化物、醯基鹵化物、羰基鹵化物、亞硫醯基鹵化物、或其混合。在一些實行例中,該顯影化學品包括氟化氫、氯化氫、溴化氫、或碘化氫。在一些實行例中,透過暴露至該顯影化學品以將該經光圖案化的含金屬光阻加以顯影係包括透過暴露至乾式顯影化學品以將該經光圖案化的含金屬光阻進行乾式顯影。在一些實行例中,將該經光圖案化的含金屬光阻進行乾式顯影係包括將包括該鹵化物之自由基的一遠端電漿施加至該光阻。在一些實行例中,將該經光圖案化的含金屬光阻進行乾式顯影係在介於-60°C與120°C之間的溫度下、介於0.1 mTorr與500 Torr之間或介於約0.5 Torr與約760 Torr之間的腔室壓力下、介於100 sccm與2000 sccm之間的該鹵化物之氣體流量下進行,該光阻遮罩的蝕刻選擇性係至少部分基於該溫度、該腔室壓力、該氣體流量、或其組合而能夠加以調整。在一些實行例中,該溫度係介於-20°C與20°C之間。在一些實行例中,該經光圖案化的含金屬光阻包括一元素,該元素係選自於由:錫、鉿、碲、鉍、銦、銻、碘、及鍺所構成的群組。在一些實行例中,該方法更包括:在將該經光圖案化的含金屬光阻加以顯影之後,將該經光圖案化的含金屬光阻暴露至一惰性氣體電漿。在一些實行例中,該方法更包括:在該半導體基板上沉積一含金屬EUV光阻膜;以及在提供該經光圖案化的含金屬光阻之前,在不移除該基板層的情況下將該含金屬EUV光阻膜從該半導體基板進行非選擇性移除。
在本文中所揭露的係將光阻顯影的設備。該設備包括具有一基板支撐件的處理腔室;耦接至該處理腔室的一真空管線;以及耦接至該處理腔室的一顯影化學品管線。該設備更包括一控制器,配置具有用於處理一半導體基板的複數指令,該等指令包括編碼,用於:在一處理腔室中,在該半導體基板的一基板層上提供一經光圖案化的含金屬光阻;以及透過暴露至包括鹵化物的顯影化學品而選擇性地移除該光阻的一部分,以將該經光圖案化的含金屬光阻加以顯影而形成一光阻遮罩。
在一些實行例中,該經光圖案化的含金屬光阻係一經光圖案化的含金屬EUV光阻,且其中該控制器係包括編碼以用於利用該顯影化學品將該EUV光阻之一未EUV曝光部分相對於一經EUV曝光部分進行選擇性地移除而形成該光阻遮罩,其中該控制器係配置具有指令且該等指令包括用於將該經光圖案化的含金屬EUV光阻加以顯影的編碼。在一些實行例中,該設備更包括耦接至該基板支撐件的一或更多加熱器,其中該一或更多加熱器包括複數可獨立控制的溫度控制區域。在一些實行例中,該處理腔室的內部係塗覆著腐蝕抑制劑。在一些實行例中,該設備更包括耦接至該處理腔室的冷凝阱,其中該冷凝阱係配置以將水從該處理腔室移除。在一些實行例中,該設備更包括耦接至該處理腔室的一UV燈或IR燈,其中該UV燈或IR燈係配置以將該經光圖案化的含金屬光阻固化、或是將過量鹵化物從該處理腔室移除。
在本文中所揭露的係半導體基板的處理方法。該方法包括在一處理腔室中,在一半導體基板的一基板層上提供經乾式沉積的一經光圖案化的金屬氧化物EUV光阻;以及透過暴露至包括鹵化氫的乾式顯影化學品而選擇性地移除該EUV光阻的一未EUV曝光部分,以將該經光圖案化的金屬氧化物EUV光阻進行乾式顯影,而從經EUV曝光部分形成一光阻硬遮罩。
在一些實行例中,乾式顯影係在無電漿的熱處理中進行,其中暴露至該乾式顯影化學品係在介於約-20°C與約20°C之間的溫度下進行。在一些實行例中,該經光圖案化的金屬氧化物EUV光阻係包括有機錫氧化物。
所揭露實施例的這些及其他特徵將參照相關圖式而詳細描述於下。
本揭露總體上係關於半導體處理的領域。在特定態樣中,本揭露係指向使用鹵化物化學品以將光阻(例如,含EUV-敏感性金屬及/或金屬氧化物的光阻)顯影的處理及設備,以例如在EUV圖案化的背景下形成圖案化遮罩。
在本文中係詳細地參照本揭露的特定實施例。這些特定實施例的示例係繪示在隨附圖式中。雖然將結合這些特定實施例來描述本揭露,但將能理解的是,這並非意旨於使本揭露受限於這些特定實施例。相反地,其係意旨於可涵蓋在本揭露之精神及範圍內所可包括的變更、修正、及均等物。在下列敘述中,許多具體細節係闡述以提供對本揭露的透徹理解。本揭露可在不具有一些或所有這些具體細節的情況下實施。在其他情況下,並未詳細描述習知的處理操作以免不必要地模糊本揭露。前言
在半導體處理中,薄膜的圖案化經常是半導體加工中的重要步驟。圖案化係涉及微影術。在習知的光微影術中(例如,193 nm光微影術),係透過將光子從光子來源發射至遮罩上並將圖案印在感光光阻上來印出圖案,從而在該光阻中產生化學反應,並且在顯影後移除光阻的某些部分以形成圖案。
先進的技術節點(如國際半導體技術發展藍圖所定義)包括22 nm、16 nm、及更低節點。舉例來說,在16 nm節點中,鑲嵌結構中的典型通孔或線路之寬度通常不大於約30 nm。將先進半導體積體電路(IC)及其他裝置上的特徵部進行微縮係驅使微影術改善解析度。
與習知光微影方法所能達到的相比,極紫外(EUV)微影術可藉由移往更小的成像來源波長來擴展微影技術。大約位於10-20 nm、或11-14 nm波長(例如, 13.5 nm波長)的EUV光源可使用於前緣微影工具,亦稱為掃描器。由於EUV的輻射係被各種固體及流體材料(包括石英、及水蒸氣)強烈吸收,而因此在真空中進行操作。
EUV微影術係使用經圖案化的EUV光阻,以形成在蝕刻下伏層中所使用的遮罩。EUV光阻可為藉由基於液體之旋塗技術所製造的基於聚合物之化學放大光阻(CAR)。CAR的替代品係可直接光圖案化的含金屬氧化物膜,例如可取得自Inpria, Corvallis, OR,以及例如在美國專利公開第2017/0102612號、第US 2016/021660號、及第US 2016/0116839號中描述的那些,至少它們對可光圖案化之含金屬氧化物膜的揭露係以參照的方式併入本文中。這種膜可藉由旋塗技術或乾式氣相沉積加以製造。含金屬氧化物膜可藉由在真空環境中的EUV曝光而直接進行圖案化(即,不使用個別的光阻),以提供次30 nm(sub-30 nm)的圖案化解析度,例如在2018年6月12日領證且標題為「EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS」的美國專利第9,996,004號中、及/或在2019年5月9日所提申且標題為「METHODS FOR MAKING EUV PATTERNABLE HARD MASKS」的申請案第PCT/US19/31618號中所描述,其至少關於可直接光圖案化的含金屬氧化物膜的組成、沉積、與圖案化以形成EUV光阻遮罩的揭露係以參照的方式併入本文中。一般而言,圖案化係涉及利用EUV輻射來使EUV光阻曝光以在光阻中形成光學圖案,隨後進行顯影以根據該光學圖案而移除光阻的一部分,以形成遮罩。
還應理解的是,雖然本揭露係關於以EUV微影術作為示例的微影圖案化技術及材料,然而其亦可應用於其他的次世代微影技術。除了包括在當前使用及發展中標準13.5 nm EUV波長的EUV之外,與這種微影術最相關的輻射來源為深UV(DUV),DUV通常是指使用248 nm或193 nm的準分子雷射來源;X光,其形式上在X光範圍的較低能量範圍處包括EUV;以及電子束,其可涵蓋廣泛的能量範圍。這些特定方法可取決於在半導體基板及終端半導體裝置中使用的特定材料及應用。因此,在本申請案中所描述的方法僅為可在本技術中使用的示例性方法及材料。
直接可光圖案化的EUV光阻可由混合在有機成分內的金屬及/或金屬氧化物所構成、或是包含混合在有機成分內的金屬及/或金屬氧化物。金屬/金屬氧化物係非常具有前景的,因為它們可增強EUV光子的吸收率並產生第二電子、及/或顯示對於下伏膜堆疊及裝置層的增強蝕刻選擇性。至今,已使用濕式(溶劑)方法將這些光阻顯影,濕式方法需要將晶圓移動至軌道使晶圓在軌道處暴露至顯影溶劑、乾燥及烘乾。濕式顯影不僅限制了生產力,還會因為表面張力效應及/或剝落而造成線路崩塌。
乾式顯影已被提出以藉由消除基板剝落與介面失靈來克服這些議題。乾式顯影可改善效能(例如,避免在濕式顯影中由於表面張力及剝落所造成的線路崩塌)並增加生產量(例如,藉由避免濕式顯影的軌道)。其他優點可包括排除有機溶劑顯影劑的使用、減少對附著性議題的敏感性、提高用於改良劑量效率的EUV吸收性、以及不具基於溶解度的限制。乾式顯影還可提供更多的可調性,並給予進一步的臨界尺寸(CD)控制、以及殘渣(scum)移除。
乾式顯影具有其自身的挑戰性,包括在未曝光與經EUV曝光光阻材料之間的蝕刻選擇性,當與濕式顯影相比時,該蝕刻選擇性可能導致較高的劑量以用於有效光阻曝光的尺寸需求。次佳的選擇性還可能因為在蝕刻氣體下的較長暴露而導致PR轉角圓化,而可能在後續的蝕刻轉移步驟中使線路的CD偏差增加。EUV 光阻的顯影
根據本揭露的各種態樣,係藉由暴露至含鹵素化學品以將經光圖案化的含金屬光阻進行顯影。在半導體基板上係設置含EUV-敏感的金屬、或金屬氧化物膜,例如有機錫氧化物。該含EUV-敏感的金屬、或金屬氧化物膜係藉由在真空環境中的EUV曝光而直接圖案化。接著,使用顯影化學品將圖案顯影而形成光阻遮罩。在一些實施例中,顯影化學品為乾式顯影化學品。在一些實施例中,乾式顯影化學品包括氫與鹵化物。這種乾式顯影技術可在使用溫和電漿(高壓、低功率)、或是熱處理時而流動氫與鹵化物的乾式顯影化學品予以完成。本揭露提供配置以在光阻遮罩成形處理的一部分時將含金屬光阻進行顯影的處理及設備。各種實施例包括藉由氣相沉積、EUV微影圖案化、以及乾式顯影以結合所有的乾式操作。各種其他實施例包括濕式與乾式處理操作的組合,例如可將旋塗EUV光阻(濕式處理)與乾式顯影、或本文中所述的其他濕式或乾式處理結合。還描述各種沉積後(或是施加後)處理,例如晶邊及背側清洗、腔室清洗、去殘渣、平滑化、以及固化,以改質並增強膜特性;以及光阻的重工(rework)處理。
圖1係根據一些實施例而呈現用於將光阻進行沉積與顯影之示例性方法的流程圖。處理100的操作可在不同順序、及/或伴隨不同、更少、或附加的操作下執行。該處理100的實施態樣可參照圖2A-2C、3、及4A-4B加以描述。該處理100的一或更多操作可使用圖12-15的任一者中所描述的設備而執行。在一些實施例中,該處理100的操作可至少部分地根據儲存在一或更多非瞬態電腦可讀媒體中的軟體而加以實施。
在該處理100的方塊102處,係沉積光阻層。這可為乾式沉積處理(例如,氣相沉積處理)、或是濕式處理(例如,旋塗沉積處理)。
該光阻可為含金屬EUV光阻。透過任何合適技術,包括濕式(例如,旋塗)或乾式(例如,CVD)沉積技術,可在半導體基板上沉積含EUV-敏感金屬、或金屬氧化物膜。舉例來說,已將所述處理展示用於基於有機錫氧化物的EUV光阻組成,其中有機錫氧化物可應用於商用可旋塗配方(例如,可取得自Inpria Corp, Corvallis, OR)、以及使用乾式真空沉積技術所應用的配方兩者,其係進一步描述於下。
半導體基板可包括適合用於光微影處理的任何材料構成,尤其係用於積體電路與其他半導體裝置的製造。在一些實施例中,半導體基板為矽晶圓。半導體基板可為已在其上形成特徵部(「下伏特徵部」)的矽晶圓,而具有不規則的表面形貌。如本文中所指,「表面」係待將本揭露的膜沉積於其上的表面、或是在處理期間待暴露至EUV的表面。下伏特徵部可包括在實施本揭露的方法之前,已在處理期間將其中之材料移除(例如,藉由蝕刻)的區域、或是已在其中將材料添加(例如,藉由沉積)的區域。這種事先處理可包括本揭露的方法、或是反覆處理中的其他處理方法,以藉此在基板上形成二或更多特徵部的層。
可在半導體基板上沉積EUV-敏感薄膜,這種膜可作為後續EUV微影術及處理所用的光阻。這種EUV-敏感薄膜所包括的材料在暴露至EUV後會產生變化,例如與富含低密度M-OH材料中的金屬原子鍵結的大型側部取代基(pendant substituent)之減損,以允許它們交聯(crosslink)至較緊密M-O-M鍵結的金屬氧化物材料。相對於未曝光的區域,經由EUV圖案化係創造出改變物理或化學性質的膜區域。這些性質在後續處理中係可利用的,例如用以溶解未曝光或經曝光區域、或是用以在經曝光或未曝光區域上選擇性沉積材料。在一些實施例中,在執行這種後續處理的條件下,未曝光的膜具有比經曝光的膜更疏水的表面,舉例來說,可藉由運用膜的化學組成、密度、以及交聯的差異以執行材料的移除。移除可藉由濕式處理或乾式處理進行,如進一步描述於下。
在各種實施例中,該薄膜為有機金屬材料,例如包括錫氧化物、或是其他金屬氧化物材料/基團(moiety)的有機錫材料。有機金屬化合物可藉由有機金屬前驅物與對應反應物(counter-reactant)在氣相中的反應所製得。在各種實施例中,該有機金屬化合物係形成於:經由將具有大型烷基或氟烷基之有機金屬前驅物的特定組合物與對應反應物混合、並在氣相中將混合物進行聚合,以製造在半導體基板上沉積的低密度EUV-敏感材料。
在各種實施例中,有機金屬前驅物在各金屬原子上包括可在氣相反應下留存的至少一烷基,而配位至該金屬原子的其他配位基或離子可由該對應反應物所取代。有機金屬前驅物係包括化學式的那些: Ma Rb Lc [化學式1] 其中:M為具有高圖案化輻射吸收橫剖面的元素;R為烷基,例如Cn H2n+1 ,其中較佳地n ≥  2;L係與對於該對應反應物具反應性的配位基、離子、或其他基團;a ≥ 1;b ≥ 1;且c ≥ 1。
在各種實施例中,M具有等於或大於1x107 cm2 /mol的原子吸收橫剖面。舉例來說,M可選自於由錫、鉿、碲、鉍、銦、銻、碘、鍺、及其組合所構成的群組。在一些實施例中,M為錫。R可為氟化的,例如具有化學式Cn Fx H(2n+1) 。在各種實施例中,R具有至少一β-氫或β-氟。舉例來說,R可選自於由乙基、異丙基、正丙基、三級丁基、異丁基、正丁基、二級丁基、正戊基、異戊基、三級戊基、二級戊基、及其混合所構成的群組。L可為容易被對應反應物所取代而產生M-OH基團的任何基團,例如選自於由胺(例如,二烷基胺基、一烷基胺基)、烷氧基、羧酸鹽、鹵素、及其混合所構成之群組的基團。
有機金屬前驅物可為各種候選金屬-有機前驅物的任何一者。舉例來說,在M為錫的情況下,這種前驅物係包括三級丁基參(二甲基胺基)錫、異丁基參(二甲基胺基)錫、正丁基參(二甲基胺基)錫、二級丁基參(二甲基胺基)錫、異丙基(參)二甲基胺基錫、正丙基參(二甲基胺基)錫、乙基參(二甲基胺基)錫、以及類似的烷基(參)(三級丁氧基)錫化合物,例如三級丁基參(三級丁氧基)錫。在一些實施例中,該有機金屬前驅物係部分氟化的。
對應反應物具有取代反應性基團、配位基、或離子(例如,上方化學式1中的L)的能力,以經由化學鍵結將至少二金屬原子進行鏈接。對應反應物可包括水、過氧化物(例如,過氧化氫)、二或多元醇、氟化的二或多元醇、氟化的乙二醇、以及其他羥基團的來源。在各種實施例中,係藉由在鄰近的金屬原子之間形成氧橋而將對應反應物與有機金屬前驅物反應。其他可能的對應反應物包括可經由硫橋以將金屬原子進行交聯的硫化氫、及二硫化氫。
該薄膜可包括除了有機金屬前驅物及對應反應物之外的任選材料,以對膜的化學或物理性質加以改質,例如用以將膜對於EUV的敏感度、或是提高蝕刻抗性加以改質。在半導體基板上進行沉積之前、或在沉積薄膜之後(或是兩者),可例如在氣相成形期間藉由摻雜以導入這種任選材料。在一些實施例中,可導入溫和的遠端H2 電漿,以將一些Sn-L鍵取代成Sn-H,Sn-H可提高光阻在EUV下的反應性。
在各種實施例中,係使用本領域中所習知的那些氣相沉積設備與處理以在半導體基板上製造並沉積可EUV圖案化膜。在這種處理中,聚合的有機金屬材料係在氣相中形成、或是在半導體基板的表面上原位形成。合適的處理例如包括化學氣相沉積(CVD)、原子層沉積(ALD)、以及伴隨CVD成分的ALD,例如不連續的類ALD處理,在該類ALD處理中金屬前驅物與對應反應物在時間或空間中係獨立的。
通常,方法包括將有機金屬前驅物的蒸氣流與對應反應物的蒸氣流混合,以形成經聚合的有機金屬材料;以及將該有機金屬材料沉積在半導體基板的表面上。在一些實施例中,在蒸氣流中係包括多於一種有機金屬前驅物。在一些實施例中,在蒸氣流中係包括多於一種對應反應物。本領域中具有通常知識者將能理解的是,在實質連續的處理中,該處理的混合及沉積態樣可同時進行。
在示例性的連續CVD處理中,係將位於分離入口路徑中的有機金屬前驅物及對應反應物之來源的二或更多氣流導引至CVD設備的沉積腔室,在所述沉積腔室中它們在氣相中混合並進行反應以形成聚結的(agglomerated)聚合材料(例如,經由形成金屬-氧-金屬鍵)。所述氣流可例如使用分離的注入口、或是雙重氣室(dual-plenum)的噴淋頭加以導入。所述設備係配置以在腔室中混合有機金屬前驅物與對應反應物的氣流,以允許有機金屬前驅物與對應反應物進行反應而形成經聚合的有機金屬材料。不受限於本技術之機制、功能、或用途,據信由於金屬原子係被對應反應物進行交聯,造成這種氣相反應所得到的產物在分子量中變得更重,並接著被冷凝或以其他方式沉積在半導體基板上。在各種實施例中,大型烷基團的立體障礙防止形成緊密堆疊的網狀結構,並產生平滑、無定型、且低密度的膜。
CVD處理通常係在例如從10毫托至10托的減壓下進行。在一些實施例中,該處理係在0.5至2托下進行。在一些實施例中,半導體基板的溫度係等於或低於反應物流的溫度。舉例來說,基板溫度可從0°C至250°C、或是從室溫(例如,23°C)至150°C。在各種處理中,在基板上沉積經聚合的有機金屬材料係在與表面溫度成反比的速率下發生。
在一些實施例中,係使用本領域中所習知的那些濕式沉積設備與處理以在半導體基板上製造並沉積可EUV圖案化膜。舉例來說,透過旋轉塗佈以在半導體基板的表面上形成有機金屬材料。
在半導體基板的表面上所形成的可EUV圖案化膜之厚度係可根據表面特性、所使用的材料、以及處理條件而加以改變。在各種實施例中,膜厚度可從0.5 nm至100 nm,並可為充分厚度以在EUV圖案化的條件下吸收大部分的EUV光。該可EUV圖案化膜可具有提供等於或大於30%吸收率的能力,而大幅減少朝向該可EUV圖案化膜之底部所可利用的EUV光子。與經EUV-曝光膜的底部相比,較高的EUV吸收率在該經EUV-曝光膜的頂部附近造成較多的交聯與緻密化。雖然不充分的交聯可能會在濕式顯影中導致光阻較易於剝落或崩塌,但這種風險並不存在於乾式顯影中。全乾式的微影方法可藉由較不透光的光阻膜來促進將EUV光子使用得更加有效率。雖然利用具有較高的整體吸收率的可EUV圖案化膜可有效率地使用EUV光子,但將能理解的是,在一些情況下該可EUV圖案化膜可少於約30%。作為對比,大部分的其他光阻膜之最大整體吸收率係小於30%(例如,10%或更少、或是5%或更少),以使位於光阻膜之底部處的光阻材料充分曝光。在一些實施例中,膜厚度係從10 nm至40 nm、或從10 nm至20 nm。不受限於本揭露之機制、功能、或用途,據信本揭露的處理對於基板的表面附著性質具有較少的限制,而不像是本領域中的濕式旋塗處理,因此可應用於各式各樣的基板。此外,如上所述,所沉積的膜可與表面特徵部緊密地保形,以在基板(例如,具有下伏特徵部的基板)上的遮罩形成中提供優勢,而不會以「填入」、或以其他方式使這種特徵部平坦化。
在方塊104處,執行任選的清洗處理以清洗半導體基板的背側及/或晶邊周緣。背側及/或晶邊周緣的清洗可非選擇地蝕刻EUV光阻膜,以均等地將基板背側及晶邊周緣上具有各種氧化或交聯程度的膜移除。在藉由濕式沉積處理或乾式沉積處理以施加可EUV圖案化膜的期間,基板晶邊周緣及/或背側上可能會存在一些光阻材料的非預期沉積。所述非預期沉積可能導致不期望的微粒,所述微粒後續移動至半導體基板的頂表面並成為微粒缺陷。此外,這種晶邊周緣及背側的沉積可能造成下游處理的問題,包括圖案化(掃描器)及顯影工具的汙染。傳統上,係藉由濕式清洗技術來移除這種晶邊周緣及背側的沉積。對於旋轉塗佈的光阻材料,這種處理係稱作邊緣珠移除(EBR),並係透過當基板正在旋轉時從晶邊周緣的上方及下方導入溶劑流而加以執行。可將所述的相同處理施加至透過氣相沉積技術所沉積的可溶性基於有機錫氧化物光阻。
基板晶邊周緣及/或背側的清洗還可為乾式清洗處理。在一些實施例中,該乾式清洗處理係涉及具有下列氣體之一或更多者的蒸氣及/或電漿: HBr、HCl、BCl3 、SOCl2 、Cl2 、BBr3 、H2 、O2 、PCl3 、CH4 、甲醇、氨、甲酸、NF3 、HF。在一些實施例中,該乾式清洗處理可使用與本文所述的乾式顯影處理相同的化學品。舉例來說,晶邊周緣及背側的清洗可使用鹵化氫的顯影化學品。對於背側及晶邊周緣的清洗處理,必須將蒸氣及/或電漿限制在基板的特定區域,以確保僅移除背側及晶邊,而不會使基板前側上的任何膜劣化。
可將處理條件最佳化以用於晶邊周緣及背側的清洗。在一些實施例中,較高溫度、較高壓力、及/或較高反應物流量可造成蝕刻速率增加。取決於光阻膜、及組成、與性質,對於乾式晶邊周緣及背側清洗的合適處理條件可為:100-10000 sccm的反應物流量(例如,500 sccm的HCl、HBr、HI、或H2 及Cl2 或Br2 、BCl3 或H2 )、20至140˚C的溫度(例如,80˚C)、20-1000毫托的壓力(例如,100毫托)、高頻(例如,13.56 MHz)下0至500 W的電漿功率、以及約10至20秒的時間。應當理解,儘管這些條件係適合用於一些處理反應器,例如可從Lam Research Corporation, Fremont, CA取得的Kiyo蝕刻工具,但可根據處理反應器的性能而使用各種處理條件。
例如當原來的光阻受損、或是具有其他缺陷時,可將乾式清洗操作替代性地延伸以完全移除光阻、或是將光阻「重工」,在將光阻「重工」中係將所施加的EUV光阻移除,並將半導體基板準備以再次施加光阻。光阻的重工應當在不損害下伏半導體基板的情況下完成,因此應避免進行基於氧的蝕刻。反而,可使用本文中所述的含鹵化物化學品之變體。將能理解,可在處理100期間的任何階段應用光阻的重工操作。因此,光阻的重工操作可在沉積光阻後、清洗晶邊周緣及背側後、PAB處理後、EUV曝光後、PEB處理後、或顯影後加以應用。在一些實施例中,可將光阻的重工執行用於光阻之經曝光及未曝光區域的非選擇性移除,但對下伏層具有選擇性。
在一些實施例中,光阻的重工處理係涉及具有下列氣體之一或更多者的蒸氣及/或電漿: HBr、HCl、HI、BCl3 、Cl2 、BBr3 、H2 、PCl3 、CH4 、甲醇、氨、甲酸、NF3 、HF。在一些實施例中,光阻的重工處理可與本文所述之乾式顯影處理使用相同的化學品。舉例來說,光阻的重工可使用鹵化氫的顯影化學品。
可將處理條件最佳化以用於光阻的重工。在一些實施例中,較高溫度、較高壓力、及/或較高反應物流量可造成蝕刻速率增加。取決於光阻膜、及組成、與性質,對於光阻之重工的合適處理條件可為:100-500 sccm的反應物流量(例如,500 sccm的HCl、HBr、HI、BCl3 或H2 及Cl2 或Br2 )、20至140˚C的溫度(例如,80˚C)、20-1000毫托的壓力(例如,300毫托)、高頻(例如,13.56 MHz)下300至800 W的電漿功率(例如,500 W)、0至200Vb 的晶圓偏壓(在較硬下伏基板材料的情況下可使用較高偏壓)、以及足夠將EUV光阻完全移除的約20秒至3分鐘的時間。應當理解,儘管這些條件係適合用於一些處理反應器,例如可從Lam Research Corporation, Fremont, CA取得的Kiyo蝕刻工具,但可根據處理反應器的性能而使用各種處理條件。
在處理100的方塊106處,在進行可EUV圖案化膜的沉積之後、以及EUV曝光之前係執行任選的施加後烘烤(PAB)。該PAB處理可涉及熱處理、化學暴露、與溼氣的組合,以提高可EUV圖案化膜的EUV敏感度,而減少用以將可EUV圖案化膜中的圖案進行顯影的EUV劑量。可將PAB處理的溫度加以調節和最佳化,以用於提高可EUV圖案化膜的敏感度。舉例來說,處理溫度可介於約90˚C與約200˚C之間、或介於約150˚C與約190˚C之間。在一些實施例中,PAB處理可在介於大氣壓與真空之間的壓力、且約為1至15分鐘(例如約為2分鐘)的處理持續時間下進行。在一些實施例中,PAB處理可在介於約100˚C與200˚C之間的溫度下執行約1分鐘至2分鐘。
在處理100的方塊108處,將含金屬的EUV光阻膜暴露至EUV輻射以產生一圖案。一般而言,所述EUV曝光使含金屬EUV光阻膜之中的化學組成及交聯產生變化,而形成可在後續顯影中利用的蝕刻選擇性之對比。
接著,通常係在相對高真空下,可藉由將含金屬EUV光阻膜的一區域暴露至EUV光以將該膜圖案化。在本文中實用的EUV裝置及成像方法包括本領域中習知的方法。尤其,如上所述,相對於未曝光的區域,經由EUV圖案化係創造出改變物理或化學性質的膜之經曝光區域。舉例來說,在經曝光區域中,可例如經由β-氫消除而發生金屬-碳鍵的裂解(cleavage),留下具反應性且可使用的金屬氫化物官能度(functionality),該金屬氫化物官能度在後續的曝光後烘烤(post-exposure bake, PEB)步驟期間可經由金屬-氧橋而轉化成氫氧化物、以及經交聯的金屬氧化物基團。此處理可用以創造作為負調性光阻(negative tone resist)顯影所用的化學對比。一般來說,烷基中較大量的β-氫會形成較敏感的膜。這還可解釋成具有較多分枝的較弱Sn-C鍵結。在曝光後,可將含金屬的EUV光阻膜進行烘烤,以形成金屬氧化物膜的附加交聯。在後續的處理中可運用經曝光與未曝光區域之間的性質差異,用以溶解未曝光區域、或用以在經曝光區域上沉積材料。舉例來說,可使用乾式方法對圖案顯影,以形成含金屬氧化物的遮罩。
尤其,在各種實施例中,特別是當該曝光係在真空下使用EUV而執行時,在成像層之經曝光區域中,存在於表面上的末端烴基(hydrocarbyl-terminated)錫氧化物會轉化成末端氫(hydrogen-terminated)錫氧化物。然而,將經曝光的成像層從真空移動進入空氣、或是受控制引入氧、臭氧、H2 O2 、或水可導致表面的Sn-H氧化成Sn-OH。經曝光與未曝光區域之間的性質差異可運用在後續的處理,例如藉由將一或更多反應物與經照射區域、未照射區域、或兩者進行反應,以選擇性地將材料添加至該成像層、或是從該成像層移除材料。
不受限於本技術的機制、功能、或應用,例如劑量從10 mJ/cm2 至100 mJ/cm2 的EUV曝光會導致Sn-C鍵之裂解,造成烷基取代基的減少、緩解立體障礙、以及允許低密度膜崩解。除此之外,在β-氫消除反應中所產生的反應性金屬-H鍵可與鄰近的活性基團(例如,膜中的羥基)反應,造成進一步的交聯與緻密化,並在經曝光與未曝光區域之間創造化學對比。
在將含金屬的EUV光阻膜暴露至EUV光之後,係提供經光圖案化的含金屬EUV光阻。該經光圖案化的含金屬EUV光阻包括經EUV曝光、及未曝光區域。
在處理100的方塊110處,係執行任選的曝光後烘烤(PEB)以進一步提高該經光圖案化含金屬EUV光阻之蝕刻選擇性中的對比。可在各種化學物種的存在下對該經光圖案化的含金屬EUV光阻進行熱處理,以促進該經EUV曝光區域的交聯;或是在環境空氣中於加熱板上進行簡易烘烤,例如在150°C與250°C之間進行介於1至5分鐘(例如,以190°C進行2分鐘)。
在各種實施例中,烘烤策略涉及謹慎地控制烘烤環境、反應性氣體的引入、及/或謹慎地控制烘烤溫度的升降速率。實用反應性氣體的示例例如包括空氣、H2 O、H2 O2 蒸氣、CO2 、CO、O2 、O3 、CH4 、CH3 OH、N2 、H2 、NH3 、N2 O、NO、醇、乙醯丙酮、甲酸、Ar、He、或其混合。PEB處理係經設計以(1)驅使將在EUV曝光期間所產生的有機片段完全蒸發、以及(2)將EUV曝光所產生的任何Sn-H、Sn-Sn、或Sn自由基物種氧化成金屬氫氧化物、以及(3)促進鄰近Sn-OH基團之間的交聯以形成較緊密交聯的類SnO2 網狀結構。烘烤溫度係謹慎地選擇以達到最佳的EUV微影性能。過低的PEB溫度將導致不充分的交聯、並因此在給定的劑量下具有較少的顯影用化學對比。過高的PEB溫度亦將具有不利的影響,包括在未曝光區域(在此示例中,為了形成遮罩,該區域係透過圖案化膜的顯影而被移除)中的劇烈氧化與膜收縮、以及在該經光圖案化的含金屬EUV光阻與下方層之間的介面處的非期望交互擴散(interdiffusion),這兩者均會導致化學對比的減損、以及由於不可溶的殘渣而導致缺陷密度的增加。PEB處理溫度可介於約100°C與約300°C之間、介於約170°C與約290°C之間、或約200°C與約240°C之間。在一些實施例中,PEB處理可在介於大氣壓與真空之間的壓力、以及約1至15分鐘(例如,約2分鐘)的處理持續時間下進行。在一些實施例中,可重複PEB熱處理以進一步增加蝕刻選擇性。
在處理100的方塊112,將該經光圖案化的含金屬EUV光阻進行顯影,以形成光阻遮罩。在各種實施例中,係移除經曝光區域(正調性)、或是移除未曝光區域(負調性)。在一些實施例中,顯影可包括在該經光圖案化的含金屬EUV光阻之經曝光、或未曝光區域上進行選擇性沉積,並接著進行蝕刻操作。在各種實施例中,這些處理可為乾式處理、或濕式處理。在一些實施例中,可在不點燃電漿的情況下完成顯影。或者,可在遠端電漿來源中活化、或是藉由暴露至遠端UV輻射來活化氫與鹵化物(例如,H2 及Cl2 及/或Br2 )流的情況下完成顯影。顯影用的光阻可包括一元素,該元素係選自於由:錫、鉿、碲、鉍、銦、銻、碘、和鍺所構成的群組。該元素可具有高圖案化輻射吸收橫剖面。在一些實施例中,該元素可具有高EUV吸收橫剖面。在一些實施例中,含金屬EUV光阻可具有大於30%的整體吸收率。在全乾式微影處理中,這提供更有效率的EUV光子運用,而能夠對較厚、及較EUV-不透光的光阻進行顯影。
顯影處理的示例係涉及使含有機錫氧化物的EUV敏感性光阻薄膜(例如,10-30 nm厚,像是20 nm)歷經EUV曝光劑量及曝光後烘烤、並接著進行顯影。所述光阻膜可例如係基於有機錫前驅物(例如,異丙基(參)(二甲基胺基)錫)以及水蒸氣的氣相反應而沉積、或是可為在有機基質中包括錫團簇(tin cluster)的旋塗膜。
透過暴露至顯影化學品,可將該經光圖案化的含金屬EUV光阻進行顯影,其中所述顯影化學品係含鹵化物化學品。在一些實施例中,所述顯影化學品包括氫及鹵化物,例如鹵化氫(例如,HBr或HCl)、或氫及鹵素氣體(例如,H2 及Cl2 )。在一些實施例中,所述顯影化學品包括鹵化氫、氫及鹵素氣體、三氯化硼、或其組合。可透過使用含鹵化物化學品的濕式顯影、或是使用含鹵化氫化學品的乾式顯影來完成該EUV光阻的顯影。在使用濕式顯影以將該EUV光阻進行顯影的實施例中,可將該濕式顯影結合其他濕式處理操作,像是該含金屬EUV光阻膜的濕式沉積(例如,旋塗沉積)。或者,該濕式顯影可結合其他乾式處理操作,例如該含金屬EUV光阻膜的氣相沉積(例如,CVD)。在使用乾式顯影以將該EUV光阻進行顯影的實施例中,可將該乾式顯影結合其他乾式處理操作,例如該含金屬EUV光阻膜的乾式沉積(例如,CVD)。在使用乾式顯影以將該EUV光阻進行顯影的替代實施例中,可將該乾式顯影結合其他濕式處理操作,例如該含金屬EUV光阻膜的濕式沉積(例如,旋塗沉積)。
在一些實施例中,該半導體基版的處理可結合所有乾式步驟,所述乾式步驟包括透過氣相沉積的膜形成、EUV微影圖案化、以及乾式顯影。實際上,在處理100中的各操作102-112可為乾式處理操作。這種處理操作可避免與濕式處理操作(例如,濕式顯影)相關的材料和生產成本。乾式處理可提供更多的可調整性,並提高臨界尺寸(CD)的進一步控制、以及殘渣的移除。濕式處理通常涉及濕氣、及/或氧,而更容易導致殘渣形成。濕式顯影係受限於溶解度和團簇尺寸,然而乾式顯影並不受溶解度和團簇尺寸所限制。濕式顯影更容易具有圖案崩塌和剝落的問題,而乾式顯影避免這些問題。除此之外,使用全乾式處理操作可有利於整合在相互連結的真空處理腔室內,而不暴露至環境空氣、或其中包含的微量汙染物,並且受到環境空氣或其中包含的微量汙染物所汙染。舉例來說,在期間使經曝光區域進行進一步交聯的PEB熱處理可在與顯影相同的腔室中進行;然而將能理解的是,該PEB熱處理可在另一腔室中執行。
透過在液相、或氣相中輸送顯影化學品可完成顯影處理。在一些實施例中,透過在流動含鹵化氫的乾式顯影化學品(例如,HF、HCl、HBr、或HI)時使用溫和電漿(高壓力、低功率)、或熱處理可完成乾式顯影處理。舉例來說,乾式顯影可在使用乾式顯影化學品(例如,HCl或HBr)的熱處理中完成。在一些實施例中,所述含鹵化氫化學品能夠迅速移除未曝光材料,留下經曝光膜的圖案,該圖案可透過基於電漿的蝕刻處理(例如,習知的蝕刻處理)而轉移至下伏層中。
在熱顯影處理中,係在處理腔室(例如,烘箱)中將基板暴露至顯影化學品(例如,路易士酸)。在一些實施例中,真空管線係耦接至該處理腔室以用於控制壓力,而顯影化學品管線可耦接至該處理腔室以用於將顯影化學品輸送至該處理腔室中。該處理腔室可包括用於控制溫度的一或更多加熱器,例如將加熱器耦接至該處理腔室內的基板支撐件,以用於控制基板溫度。在一些實施例中,可在該腔室內部塗覆抗腐蝕膜,例如有機聚合物或無機塗層。這種塗層的其中一種為聚四氟乙烯(PTFE),例如鐵氟龍1M。這種材料可使用於本揭露的熱處理中,而不具被電漿暴露所移除的風險。
在熱顯影處理中,係在一溫度下將該經光圖案化的含金屬EUV光阻暴露至顯影化學品,其中該溫度係為了經曝光與未曝光區域之間的蝕刻選擇性而加以優化。較低的溫度可能增加蝕刻選擇性中的對比,而較高的溫度可能減低蝕刻選擇性中的對比。在一些實施例中,溫度可介於約-60°C與約120°C之間、介於約-20°C與約60°C之間、或介於約-20°C與約20°C之間,例如約為-10°C。可調整腔室壓力,其中腔室壓力可影響顯影期間經曝光與未曝光區域之間的蝕刻選擇性。在一些實施例中,腔室壓力可為相對低且不伴隨著稀釋,其中該腔室壓力可介於約0.1 mTorr與約300 mTorr之間、介於約0.2 mTorr與約100 mTorr之間、或介於約0.5 mTorr與約50 mTorr之間。在一些實施例中,該腔室壓力可介於約20 mTorr與約800 mTorr之間、或介於約20 mTorr與約500 mTorr之間,例如約為300 mTorr。在一些實施例中,腔室壓力可為相對高、具有高流量且伴隨著稀釋,其中該腔室壓力可介於約100 mTorr與約760 mTorr之間、或介於約200 mTorr與約760 mTorr之間。可調整反應物流量,其中反應物流量可影響顯影期間經曝光與未曝光區域之間的蝕刻選擇性。在一些實施例中,反應物流量可介於約50 sccm與約2000 sccm之間、介於約100 sccm與約2000 sccm之間、或介於約100 sccm與約1000 sccm之間,例如約為500 sccm。在伴隨高流量的情況下,反應物流量可介於約1 L與約10 L之間。可調整熱顯影處理中的暴露持續時間。暴露的持續時間可取決於需要移除多少光阻、顯影化學品、光阻中的交聯量、以及光阻的組成和性質等其他因素。在一些實施例中,暴露的持續時間可介於約5秒與約5分鐘之間、介於約10秒與約3分鐘之間、或介於約10秒與約1分鐘之間。
熱顯影處理可將該經光圖案化的含金屬EUV光阻暴露至氣相或液相中的某些含鹵化物化學品。在一些實施例中,所述顯影化學品包括鹵化氫、氫與鹵素氣體、三氯化硼、有機鹵化物、醯基鹵化物、羰基鹵化物、亞硫醯基鹵化物、或其混合。鹵化氫可包括但不限於HF、HCl、HBr、及HI。舉例來說,鹵化氫可為HCl、或HBr。氫與鹵素氣體可包括但不限於氫氣(H2 )混合F2 、Cl2 、Br2 、或I2 。三氯化硼(BCl3 )可與前述的鹵化氫、或氫與鹵素氣體的任何者結合使用。有機鹵化物可包括但不限於Cx Hy Fz 、Cx Hy Clz 、Cx Hy Brz 、及Cx Hy Iz ,其中x、y、和z為等於或大於0的數值。醯基鹵化物可包括但不限於CH3 COF、CH3 COCl、CH3 COBr、及CH3 COI。羰基鹵化物可包括但不限於COF2 、COCl2 、COBr2 、及COI2 。亞硫醯基鹵化物可包括但不限於SOF2 、SOCl2 、SoBr2 、及SOI2 。在一些實施例中,含鹵化物化學品可在具有、或不具有惰性/載體氣體的情況下流動,惰性/載體氣體例如為He、Ne、Ar、Xe、及N2
熱顯影處理可在不具電漿的情況下完成。透過應用非電漿的熱方法可顯著改善生產力,原因在於可在低成本的熱真空腔室/烘箱中同時對複數晶圓進行批次式(batch)顯影。然而,在一些實施例中,熱顯影處理可接著進行暴露至電漿。後續暴露至電漿可進行以用於脫附、去殘渣、平滑化、或其他處理操作。
在電漿顯影處理中,係將該經光圖案化的含金屬EUV光阻暴露至顯影化學品,該顯影化學品包括一或更多氣體的自由基/離子。處理半導體基板所用的處理腔室可為電漿產生腔室、或是耦接至遠離該處理腔室的電漿產生腔室。在一些實施例中,乾式顯影可藉由遠端電漿進行。該電漿產生腔室可為使用本領域中所習知之配備與技術的感應耦合式電漿(ICP)反應器、變壓耦合式電漿(TCP)反應器、或電容耦合式電漿(CCP)反應器。電磁場係作用在該一或更多氣體上以在該電漿產生腔室中製造電漿。來自該遠端電漿的離子及/或自由基可與該經光圖案化的含金屬EUV光阻交互作用。在一些實施例中,真空管線係耦接至該處理腔室以用於控制壓力,而顯影化學品管線可耦接至該電漿產生腔室以用於將該一或更多氣體輸送至該電漿產生腔室中。該處理腔室可包括用於控制溫度的一或更多加熱器,例如將加熱器耦接至該處理腔室內的基板支撐件以用於控制基板溫度。在一些實施例中,可在該處理腔室內部塗覆抗腐蝕膜,例如有機聚合物或無機塗層。這種塗層的其中一種為聚四氟乙烯(PTFE),例如鐵氟龍1M。這種材料可使用於本揭露的熱處理中,而不具被電漿暴露所移除的風險。
在電漿顯影處理中,在複數條件下將該經光圖案化的含金屬EUV光阻暴露至遠端電漿,其中所述條件係為了經曝光與未曝光區域之間的蝕刻選擇性而最佳化。所述條件可經優化以用於產生溫和電漿,其中溫和電漿的特徵在於高壓與低功率。可調整腔室壓力,其中腔室壓力可影響顯影期間經曝光與未曝光區域之間的蝕刻選擇性。在一些實施例中,腔室壓力可等於或大於約5 mTorr、或是等於或大於約15 mTorr。在一些實施例中,腔室壓力可為相對高、具有高流量且伴隨著稀釋,其中該腔室壓力可介於約100 mTorr與約760 mTorr之間、或介於約200 mTorr與約760 mTorr之間。可調整RF功率層級,其中RF功率可影響蝕刻選擇性、粗糙度、去殘渣、和其他顯影特性。在一些實施例中,RF功率可等於或少於約1000 W、等於或少於約800 W、或是等於或少於約500 W。可調整溫度,其中溫度可影響顯影的各種層面,例如蝕刻選擇性。在一些實施例中,溫度可介於約-60°C與約300°C之間、介於約0°C與約300°C之間、或介於約30°C與約120°C之間。可調整氣體流量,其中氣體流量可影響顯影期間經曝光與未曝光區域之間的蝕刻選擇性。在一些實施例中,氣體流量係介於約50 sccm與約2000 sccm之間、介於約100 sccm與約2000 sccm之間、或介於約200 sccm與約1000 sccm之間,例如約為500 sccm。可調整電漿顯影處理中的暴露持續時間。暴露的持續時間可取決於需要移除多少光阻、顯影化學品、光阻中的交聯量、以及光阻的組成和性質等其他因素。在一些實施例中,暴露的持續時間可介於約1秒與約50分鐘之間、介於約3秒與約20分鐘之間、或介於約10秒與約6分鐘之間。
電漿顯影處理可將該經光圖案化的含金屬EUV光阻暴露至某些含鹵化物氣體的自由基。在一些實施例中,自由基係從遠端電漿來源產生。舉例來說,電漿顯影可將該經光圖案化的含金屬EUV光阻暴露至從該遠端電漿來源所產生的氫與鹵化物氣體之自由基。在一些實施例中,含鹵化物氣體係包括鹵化氫、氫與鹵素氣體、三氯化硼、有機鹵化物、醯基鹵化物、羰基鹵化物、亞硫醯基鹵化物、或其混合。鹵化氫可包括但不限於氟化氫(HF)、氯化氫(HCl)、溴化氫(HBr)、及碘化氫(HI)。舉例來說,鹵化氫可為HCl、或HBr。氫與鹵素氣體可包括但不限於氫氣(H2 )混合氟氣(F2 )、氯氣(Cl2 )、溴氣(Br2 )、或碘氣(I2 )。有機鹵化物可包括但不限於Cx Hy Fz 、Cx Hy Clz 、Cx Hy Brz 、及Cx Hy Iz ,其中x、y、和z為等於或大於0的數值。醯基鹵化物可包括但不限於CH3 COF、CH3 COCl、CH3 COBr、及CH3 COI。羰基鹵化物可包括但不限於COF2 、COCl2 、COBr2 、及COI2 。亞硫醯基鹵化物可包括但不限於SOF2 、SOCl2 、SoBr2 、及SOI2 。在一些實施例中,含鹵化物氣體可在具有、或不具有惰性/載體氣體的情況下流動,所述惰性/載體氣體例如為He、Ne、Ar、Xe、及N2
作為電漿活化的附加或替代,可透過光活化在乾式顯影處理中進行一或更多氣體的活化。在一些實施例中,可藉由暴露至紫外(UV)輻射以達成光活化。舉例來說,處理腔室可包括配置以產生UV輻射的燈件,例如UV燈。將一或更多氣體暴露至UV輻射可產生該一或更多氣體的自由基,所述自由基可使用於該經光圖案化的含金屬EUV光阻的乾式顯影中。可以不將經光圖案化的光阻暴露至UV輻射的方式將一或更多氣體暴露至UV輻射。換言之,該經光圖案化的光阻是在UV燈的範圍之外。因此,可將該UV燈遠離該處理腔室、或是以避免將該經光圖案化的光阻暴露至UV輻射的方式進行設置。
將能理解的是,可將前述的熱顯影、電漿顯影、及光活化顯影方法彼此結合。這些顯影方法可同時、或依序地應用。所述顯影方法可在乾式顯影化學品以液相或氣相進行流動時應用,其中該乾式顯影化學品可包括化學式Rx Zy 的化合物,其中R = B、Al、Si、C、S、SO,伴隨著x > 0,且Z = Cl、H、Br、F、CH4 且y > 0。該顯影可造成正調性、或是負調性的結果,其中該Rx Zy 物種係將未曝光或經曝光材料選擇性移除,留下經曝光或未曝光的相對部分以作為遮罩。
如上所述,藉由控制處理條件,所述處理條件例如是溫度、壓力、氣體流動、氣體組成、及電漿功率等的可調整處理條件,乾式顯影期間的蝕刻選擇性係可調整的。以單一步驟、或複數步驟來調整蝕刻選擇性可達成期望的圖案化特性。在一些實施例中,乾式顯影期間的蝕刻選擇性係橫跨一或更多步驟加以調整,以影響EUV光阻輪廓。更具體而言,藉由在一或更多步驟期間應用不同蝕刻選擇性的顯影化學品,可控制該EUV光阻輪廓中的漸縮量(amount of taper)、或是凹進角(re-entrant angle)。去殘渣、光阻的重工(photoresist reworking)、固化、平滑化、及清洗操作亦可根據可調整的蝕刻選擇性而加以調整。
圖2A-2C係根據一些實施例而顯示乾式顯影的各種處理階段之橫剖面示意圖。圖2A-2C所顯示的示例係繪示負調性乾式顯影。如圖2A中所顯示,晶圓200包括基板202、以及待蝕刻的基板層204。在一些實施例中,基板層204包括可灰化的硬遮罩(例如,旋塗式碳SoC)或其他材料,例如矽、矽氧化物、矽氮化物、矽碳化物等。在一些實施例中,基板層204可為設置在基板202上的層堆疊。晶圓200更包括經光圖案化含金屬EUV光阻膜206。舉例來說,經光圖案化含金屬EUV光阻膜206可為設置在待蝕刻基板層204上方的含有機金屬層。經光圖案化含金屬EUV光阻膜206可具有介於約5 nm與約50 nm之間、或介於約10 nm與約30 nm之間的厚度。在EUV掃描器中進行光圖案化後、及/或在如上所述的PEB處理之後,可在處理腔室中提供經光圖案化含金屬EUV光阻膜206。該經光圖案化含金屬EUV光阻膜206包括非EUV曝光區域206a以及經EUV曝光區域206b。如圖2B中所顯示,透過在不點燃電漿而暴露至乾式顯影化學品的流的情況下,可在乾式顯影處理中移除該經光圖案化含金屬EUV光阻膜206的非EUV曝光區域206a。乾式顯影化學品可包括含鹵化物化學品,例如鹵化氫、或氫與鹵素的氣體。在透過移除非EUV曝光區域206a的顯影過後,係形成光阻遮罩208。隨後,可使用該光阻遮罩208將待蝕刻的基板層204進行蝕刻,以提供圖2C中所繪示的結構。
圖3係根據一些實施例所繪示的示例性乾式顯影機制,以用於HBr對於EUV光阻的經曝光與未曝光部分的化學反應。圖3繪示可能的乾式顯影機制,然而將能理解的是,本揭露並不受限於任何特定機制、功能、理論、或用途。有機金屬氧化物膜可具有四面體配位結構。經曝光區域具有較高的Sn-O-Sn交聯程度,導致較高的密度、以及對於HBr或HCl的較低/較慢反應性。由於大型烷基取代基的存在而阻礙接近路徑以及Sn-OH基團的縮合,因此未曝光區域展現較低的密度。在未曝光區域中,鹵化氫係較易於將具有較四面體配位的有機錫氧化物氫氧化物特徵的較「鹼性」且可及的氧孤對電子予以質子化。RSnX3(其中X=Cl或Br)的揮發性副產物可迅速產生並從該未曝光區域移除。在圖3中,HBr將氧孤對電子選擇性地質子化,以形成R-Sn-Br的揮發性副產物。水也是一種副產物。將水移除可提高反應速度。當烷基為異丙基時,在典型的EUV圖案化劑量下會將每3個異丙基中的至少2個移除,使得PEB步驟過後經曝光區域縮合形成較高密度的較SnO2 狀材料,而展現了與鹵化氫的較低反應性,原因在於採用了較為六方配位(hexacoordinate)的錫結構,在該結構中氧原子的可及性較低而造成與鹵化氫更加緩慢的反應性。在圖3中,經曝光區域歷經乾蝕刻速率的大幅下降係有關於異丙基的減少,以允許縮合成具有較多/大部分氧原子與3個(而並非2個)錫原子鍵結的材料,而顯著地降低與HBr或HCl的反應速率。
在一些情況下,在顯影過後可能存在殘留物或殘渣。殘留物可能係由於較不均質的EUV光阻配方(包括透過旋轉塗佈技術所施加的那些)中較慢的蝕刻成分所導致。這種殘渣可能包含高金屬濃度,而可能在後續圖案轉移期間係具有問題性的。
附加地或替代性地,顯影過後,粗糙度可能形成在經顯影圖案中的受蝕刻特徵部之側壁上。其中一些可歸因於光的隨機性(stochastics)、或非最佳高斯分佈(non-optimal Gaussian distribution),而在應當將光阻保持未曝光的區域中形成部分、或完全曝光的材料,或是反之亦然。
在一些實施例中,乾式顯影可藉由去殘渣/平滑化操作而加以完成。在一些實施例中,去殘渣及平滑化操作可為一惰性氣體電漿脫附操作。舉例來說,該惰性氣體電漿脫附操作可為氦電漿脫附操作。該惰性氣體電漿脫附操作可在乾式顯影後執行、或是與乾式顯影循環進行。
圖4A係根據一些實施例而顯示在不施加惰性氣體電漿的情況下進行乾式顯影的橫剖面示意圖。經光圖案化的含金屬EUV光阻膜包括經曝光與未曝光區域。如圖4A中所顯示,金屬氧化物(例如,SnOx )的微粒或團簇可佔據該未曝光區域。隨著乾式顯影的進行,該金屬氧化物的團簇變得更加集中。該金屬氧化物的團簇通常難以移除。顯影可對於有機材料的移除具有選擇性。在移除該未曝光區域後,該金屬氧化物的團簇可能會存留在基板的表面上而作為殘渣。在該經曝光區域的側壁上所存留的金屬氧化物團簇可能會造成粗糙度。
圖4B係根據一些實施例而顯示在將惰性氣體電漿循環以去殘渣的情況下進行乾式顯影的橫剖面示意圖。第一階段涉及乾式顯影以移除該經光圖案化的含金屬EUV光阻膜之未曝光區域的大部分。乾式顯影化學品例如可包括HBr。所述的大部分可表示至少大於該未曝光區域的70體積%、大於該未曝光區域的80體積%、或大於該未曝光區域的90體積%。金屬氧化物的團簇會集中在該EUV光阻膜的剩餘未曝光區域的表面處。第二階段涉及將具有低功率與高離子能量的惰性氣體電漿(例如,氦電漿)施加短暫的一段持續時間。氦電漿將該金屬氧化物的團簇移除。除此之外,氦電漿將團簇從側壁移除並將側壁平滑化。氦電漿處理還可用以協助將經圖案化的EUV光阻膜硬化、或固化,以形成較緊密的類金屬氧化物硬遮罩。在氦電漿處理後,可使用選擇性較低的乾式蝕刻步驟以移除在該EUV光阻膜的未曝光區域中所存留的任何殘留物。
在一些實施例中,乾式顯影可與氦電漿處理循環進行一或更多循環,直到移除該EUV光阻膜的未曝光區域。為了加強效果,可將氦電漿去殘渣/平滑化與乾式顯影進行循環(如上所述)。在此方式中,例如圖案的未曝光區域之大部分有機成分係由乾式顯影所移除,接著短暫的氦電漿操作可移除表面處的一些集中金屬,以開放觸及剩餘的下伏有機材料,而可接著在後續的乾式顯影操作/循環中將所述下伏有機材料移除。另一氦電漿循環可用以移除任何剩餘的金屬,以留下乾淨且平滑的特徵部表面。循環可續行直到將所有、或實質所有的殘渣與粗糙度殘留物移除,以留下乾淨、且平滑的特徵部表面。
在顯影期間或之後可控制去殘渣與平滑化操作所用的處理條件。在一些實施例中,反應物流量可介於約50 sccm與約1000 sccm之間、或介於約100 sccm與約500 sccm之間,例如約為500 sccm的He。在一些實施例中,溫度可介於約-60°C與約120°C之間、介於約-20°C與約60°C之間、或介於約20°C與約40°C之間,例如約為20°C。在一些實施例中,腔室壓力可介於約1 mTorr與約300 mTorr之間、介於約5 mTorr與約100 mTorr之間、介於約5 mTorr與約20 mTorr之間,例如約為10 mTorr。電漿功率可為相對低且伴隨高離子能量。在一些實施例中,電漿功率可介於約50 W與約1000 W之間、介於約100 W與約500 W之間、或介於約100 W與約300 W之間,例如約為300 W。在一些實施例中,晶圓偏壓係介於約10 V與約500 V之間、介於約50 V與約300 V之間,例如約為200 V。可使用高RF頻率來產生電漿。在一些實施例中,RF頻率為13.56 MHz。暴露至惰性氣體電漿的持續時間可為相對短的,以避免在電漿暴露期間過度暴露至UV輻射。在一些實施例中,暴露的持續時間係介於約0.5秒與約5秒之間、介於約1秒與約3秒之間,例如約為2秒。
用於將未曝光光阻殘留物進行去殘渣、與清潔的惰性氣體電漿處理可具有將經曝光光阻固化而使其硬化的附帶益處,從而在用以蝕刻下伏基板的後續操作中強化它的硬遮罩功能。這種光阻硬化係藉由將經EUV曝光光阻暴露至由惰性氣體電漿所產生的UV輻射而達成,在關閉偏壓的情況下,該光阻硬化可在完成去殘渣/平滑化之後繼續進行。若不需要、或不執行去殘渣/平滑化時,可替代性地執行惰性氣體電漿固化。
在一些實施例中,惰性氣體電漿脫附去殘渣與平滑化可與濕式顯影處理共同使用。濕式顯影具有非常高的選擇性,並且已顯示以展現明顯的開/關行為,造成濕式顯影處理無法移除由「雜散的」EUV光子所曝光的區域。接著,在濕式顯影處理後會留下剩餘的殘留物,造成殘渣、以及高的線邊緣與寬度粗糙度。有趣的是,由於乾式顯影處理的可調整性,其中可基於複數調整項(例如,時間、溫度、壓力、氣體/流量)來調整蝕刻速率與選擇性,可進一步施加惰性氣體電漿及/或乾式顯影以藉由將這些經部分曝光的殘留物移除,而將含金屬光阻線路去殘渣及平滑化。
圖5顯示一圖表,該圖表係比較在乾式顯影期間使用氦電漿的EUV光阻的經曝光與未曝光部分之間的蝕刻速率。EUV光阻可為有機錫氧化物EUV光阻。未曝光部分係以比經曝光部分更快的速率進行蝕刻。然而,隨著利用HBr的乾式顯影進行,蝕刻速率減緩。不受限於任何理論,據信錫氧化物微粒/團簇的存在會使蝕刻速率減慢。藉由施加氦脫附,可蝕刻較多的EUV光阻未曝光部分。
圖6A與6B顯示將濕式顯影與乾式顯影關於線路崩塌加以比較的SEM影像。在圖6A中,將經光圖案化的含金屬EUV光阻暴露至濕式顯影化學品,例如有機溶劑。在液體乾燥步驟後,觀察到些許圖案線路崩塌。這可能部分歸因於來自毛細作用力的表面張力效應。在圖6B中,將經光圖案化的含金屬EUV光阻暴露至乾式顯影化學品,例如鹵化氫氣體。透過不具液體乾燥步驟的氣相反應,乾式顯影防止了圖案線路崩塌或剝落。
圖7A與7B顯示將濕式顯影與乾式顯影關於控制粗糙度及臨界尺寸(CD)加以比較的SEM影像。在圖7A與7B中,在可灰化硬遮罩上沉積有機錫氧化物膜。該有機錫氧化物膜係在不同劑量和不同聚焦深度下進行EUV曝光。在圖7A中,該有機錫氧化物膜係進行濕式顯影,而在圖7B中係進行乾式顯影。在濕式顯影後,有機錫氧化物光阻遮罩具有方形輪廓,而在乾式顯影後,有機錫氧化物光阻遮罩具有錐形輪廓。在濕式顯影中,在進行圖案轉移後係觀察到線橋接(bridging),而在乾式顯影中進行圖案轉移後並未觀察到線橋接。由於在濕式顯影後進行溶劑乾燥期間的表面張力,在較小線寬、或較低劑量處會觀察到發生線崩塌與擺動(wiggling)。在乾式顯影後,在較小線寬、或較低劑量處並未發生線崩塌或擺動。利用乾式顯影,較大的製程裕度係可用於較廣的劑量與聚焦範圍。
圖8顯示將濕式顯影與乾式顯影關於在硬遮罩開口後的殘渣加以比較的SEM影像。如圖8所顯示,比起乾式顯影後,在濕式顯影後係觀察到較多的殘渣。不受限於任何理論,乾式顯影使用不包含氧、或濕氣氧化來源的氣體化學品,防止了未曝光EUV光阻的金屬氧化物交聯,從而防止殘渣形成。殘渣係類似於具有金屬氧化物交聯的經曝光EUV光阻。在圖8中,在濕式顯影或乾式顯影後可執行去殘渣與平滑化操作。
圖9A與9B顯示複數圖表,該等圖表係繪示在不同壓力及溫度的情況下,第二曝光後烘烤操作對於乾式顯影的選擇性所造成的影響。如圖9A所顯示,第二曝光後烘烤操作展現改善的蝕刻選擇性。如圖9B所顯示,在乾式顯影期間,蝕刻選擇性係在較低溫度下改善。除此之外,在乾式顯影期間,蝕刻選擇性係在較低壓力下改善。
圖10顯示複數SEM影像,該等SEM影像繪示壓力對於EUV光阻輪廓的影響。對於乾式顯影,壓力變化會影響EUV光阻輪廓。一般來說,較高壓力能夠實現較高的蝕刻速率。然而,較低的壓力展現改善的EUV光阻輪廓。圖10中的較低壓力形成較直的EUV光阻輪廓。
圖11A與11B顯示在不同線路/間隔的節距(pitch)、與不同厚度下的EUV光阻之SEM影像。將EUV光阻遮罩進行顯影以具有32 nm節距與26 nm節距。顯影前的膜厚度係介於15 nm與40 nm之間。對於32 nm節距,在顯影後,EUV光阻遮罩的厚度係介於7.8 nm與22.5 nm的範圍內。並未觀察到擺動。對於26 nm節距,在顯影後,EUV光阻遮罩的厚度係介於7.9 nm與22.2 nm的範圍內。由於光阻底部處的底切(undercut),對於等於或大於30 nm的膜厚度係觀察到些許擺動。設備
本揭露的設備係配置以顯影EUV光阻。該設備可配置以執行其他處理操作,例如沉積、晶邊及背側清洗、施加後烘烤、EUV掃描、曝光後烘烤、光阻重工、去殘渣、平滑化、固化、及其他操作。在一些實施例中,該設備係配置以執行所有乾式操作。在一些實施例中,該設備係配置以執行所有濕式操作。在一些實施例中,該設備係配置以執行濕式與乾式操作的組合。該設備可包括單一晶圓腔室、或是位於相同處理腔室內的複數站。利用位於相同處理腔室內的複數站,可在位於相同處理腔室內的不同站中執行例如本揭露中所描述的那些各種處理操作。舉例來說,可在一站中執行PEB熱處理,並在另一站中進行顯影。
配置用於將EUV光阻顯影的設備包括具有基板支撐件的處理腔室。該設備可包括耦接至該處理腔室以用於控制壓力的真空管線、以及耦接至該處理腔室以用於輸送顯影化學品的顯影化學品管線。在一些實施例中,該顯影化學品包括含鹵化物氣體、或是含鹵化物氣體的自由基。在一些實施例中,該處理腔室係電漿產生腔室、或是耦接至作為遠端電漿來源的電漿產生腔室。該電漿產生腔室可為ICP、TCP、或CCP反應器。該設備可包括用於控制溫度的一或更多加熱器。可將這種加熱器設置在該處理腔室內、及/或該基板支撐件內。
在一些實施例中,該處理腔室內部係塗覆抗腐蝕膜,例如聚合物或無機塗層。在一示例中,該處理腔室內部係塗覆陽極化氧化鋁。在另一示例中,該處理腔室內部係塗覆釔氧化物(Y2 O3 )。
在一些實施例中,該處理腔室係以例如塑膠的廉價材料所製成。該處理腔室不必以金屬或陶瓷所製成。塑膠材料可足以在顯影期間承受含鹵化物化學品。可將真空管線及/或顯影化學品管線耦接至塑膠腔室。
在一些實施例中,使用具有徑向和方位角構件的溫度分布件,可將基板支撐件用以處理基板。該基板支撐件可包括複數可獨立控制的溫度控制區域,該等溫度控制區域係被佈置在該等溫度控制區域上方的基板位置附近。這允許位於基板支撐件內的一或更多加熱器能較精確、且局部地控制溫度。可將該等溫度控制區域佈置成限定圖案,例如矩形網格、六角形網格、或其他合適圖案以用於產生所需的溫度分佈。在一些實施例中,可將該等溫度控制區域空間佈置在靜電卡盤中,以矯正方位角的不均勻性、或局部的CD不均勻性。
在一些實施例中,該設備可更包括噴淋頭,用於將一或更多氣體輸送至該處理腔室中。在一些實施例中,該噴淋頭可將多種不同的氣體供應至反應區域,同時在該噴淋頭內將該等氣體大程度地保持隔離。該噴淋頭可包括複數氣室容積。這准許將前驅物氣體、載體氣體、顯影氣體、及清洗氣體等其他化學品隔離。
從該處理腔室移除水或濕氣可加速經光圖案化的含金屬EUV光阻與顯影化學品的反應。在一些實施例中,可將冷凝阱(cold trap)耦接至該處理腔室以用於移除水蒸氣副產物。冷凝阱可將水蒸氣副產物凝結成液體或固體形式。
在一些實施例中,該設備可更包括UV源(例如,UV燈)、及/或IR源(例如,IR燈)以用於光阻固化及脫鹵。UV源及/或IR源可提供暴露至輻射以將EUV光阻固化。附加地或替代性地,UV源可協助顯影化學品的光活化。附加地或替代性地,UV源可協助移除鹵素。鹵素殘留物可能形成在半導體基板、或是腔室表面上,而可藉由UV暴露加以移除。
圖12繪示處理站1200之實施例的示意圖,該處理站1200具有用於維持低壓環境的處理腔室本體1202,該低壓環境係適合用於所述乾式顯影、清洗、重工、去殘渣與平滑化的實施例。可將複數處理站1200包括在公共低壓處理工具環境中。舉例來說,圖13繪示多站處理工具1300的實施例,例如可從Lam Research Corporation, Fremont, CA取得的VECTOR®處理工具。在一些實施例中,可透過一或更多電腦控制器對該處理站1200的一或更多硬體參數(包括詳述於下的那些)以編程方式進行調整。
可將處理站配置作為群集式工具中的模組。圖15繪示半導體處理的群集式工具架構,具有適合用於實行本文所揭露之實施例的真空整合沉積及圖案化模組。這種群集式處理工具架構可包括光阻沉積、光阻曝光(EUV掃描器)、光阻顯影、及蝕刻模組,如上所述及參照圖14及15而進一部描述於下。
在一些實施例中,在相同模組中可連續地執行某些處理功能,例如乾式顯影及蝕刻。本揭露的實施例係關於方法與設備,所述方法與設備係用於在EUV掃描器中進行光圖案化之後將晶圓接收至乾式顯影/蝕刻腔室,該晶圓包括設置在待蝕刻的層或層堆疊上的經光圖案化EUV光阻薄膜層;對經光圖案化EUV光阻薄膜層進行乾式顯影;並接著使用如本文中所述的經圖案化EUV光阻作為遮罩以將下伏層進行蝕刻。
回到圖12,處理站1200與反應物輸送系統1201流體連通,以用於將處理氣體輸送至分佈噴淋頭1206。反應物輸送系統1201任選地包括混合槽1204,該混合槽1204係用於將輸送至噴淋頭1206的處理氣體加以混合、及/或調和。一或更多混合槽入口閥1220可控制將處理氣體導入至混合槽1204。在使用電漿暴露的情況下,亦將電漿輸送至噴淋頭1206、或是可在處理站1200中產生電漿。如上所述,在至少一些實施例中,係偏好非電漿的熱暴露。
圖12包括任選的汽化點1203,以用於將待供應至混合槽1204的液體反應物汽化。在一些實施例中,可提供位於汽化點1203上游的液體流量控制器(LFC),以用於控制汽化及輸送至處理站1200的液體之質量流量。舉例來說,該LFC可包括位於該LFC下游的熱質量流量計(MFM)。接著,LFC的栓塞閥可響應於由比例-積分-微分(PID)控制器所提供的回饋控制信號而加以調整,其中該PID控制器係與該MFM電性連通。
噴淋頭1206將處理氣體進行分佈以朝向基板1212。在圖12中所顯示的實施例中,基板1212係位於噴淋頭1206下方並顯示置於基座1208上。噴淋頭1206可具有任何合適的形狀,並可具有任何合適的通口數量及配置,以用於將處理氣體分佈至基板1212。
在一些實施例中,可升起或下降基座1208以將基板1212暴露至介於基板1212與噴淋頭1206之間的容積1207。將能理解的是,在一些實施例中,可藉由合適的電腦控制器以編程方式對基座高度進行調整。在一些實施例中,噴淋頭1206可具有複數氣室容積,伴隨著複數溫度控制件。
在一些實施例中,可經由加熱器1210對基座1208進行溫度控制。在一些實施例中,在將經光圖案化光阻以非電漿的熱暴露至鹵化氫乾式顯影化學品(例如,在已揭露實施例中所述的HBr、或HCl)期間,可將基座1208加熱至大於0°C且高達300°C、或更高的溫度,例如50至120°C,例如約65至80°C。在一些實施例中,基座1208的加熱器1210可包括複數可獨立控制的溫度控制區域。
此外,在一些實施例中,透過蝶形閥1218可提供處理站1200所用的壓力控制。如圖12之實施例中所顯示,蝶形閥1218調節由下游真空幫浦(未顯示)所提供的真空。然而,在一些實施例中,處理站1200的壓力控制還可藉由改變被導引至處理站1200的一或更多氣體之流量而加以調整。
在一些實施例中,可將噴淋頭1206的位置相對於基座1208加以調整,以改變基板1212與噴淋頭1206之間的容積。此外,將能理解的是,可透過本揭露範圍內的任何合適機制來改變基座1208、及/或噴淋頭1206的垂直位置。在一些實施例中,基座1208可包括一旋轉軸,用以轉動基板1212的位向。將能理解的是,在一些實施例中,可藉由一或更多合適的電腦控制器以編程方式執行這些示例性調整的一或更多者。
在可使用電漿的情況下,例如在基於溫和電漿的乾式顯影實施例、及/或在相同腔室中所進行的蝕刻操作中,噴淋頭1206與基座1208係與射頻(RF)功率供應器1214、及匹配網路1216電性連通,以用於為電漿供電。在一些實施例中,透過控制處理站壓力、氣體濃度、RF源功率、RF源頻率、和電漿功率脈衝時間之中的一或更多者,可對電漿能量加以控制。舉例來說,可在任何合適的功率下操作RF功率供應器1214及匹配網路1216,以形成具有所需自由基物種組成物的電漿。合適功率的示例係高達約500W。
在一些實施例中,可經由輸入/輸出控制(IOC)序列指令來提供控制器所用的指令。在一示例中,可將為處理階段設定條件所用的指令包括在處理配方的相應配方階段中。在一些情況下,可依序排列處理配方階段,使得用於處理階段的所有指令係與該處理階段同時執行。在一些實施例中,可將用於設定一或更多反應器參數的指令包括在一配方階段中。舉例來說,配方階段可包括用於設定乾式顯影化學品反應物氣體(例如,HBr或HCl)之流量的指令、以及該配方階段所用的時間延遲指令。在一些實施例中,控制器可包括參照圖13的系統控制器1350而描述於下的任何特徵。
如上所述,在多站處理工具中可包括一或更多處理站。圖13顯示多站處理工具1300之實施例的示意圖,該多站處理工具1300具有入站(inbound)負載鎖室1302以及出站(outbound)負載鎖室1304,其中的一者或兩者可包括遠端電漿來源。處於大氣壓力下的機器人1306係配置以將基板從透過傳送盒1308進行裝載的晶舟通過大氣通口1310進到入站負載鎖室1302中。藉由機器人1306將晶圓放置在入站負載鎖室1302中的基座1312上,將大氣通口1310關閉,並且將負載鎖室進行抽氣。在入站負載鎖室1302包括遠端電漿來源的情況下,在晶圓被導引至處理腔室1314中之前可在負載鎖室內將其暴露於遠端電漿處理,以處理矽氮化物表面。此外,還可在入站負載鎖室1302中對晶圓進行加熱,例如以移除濕氣和已吸附氣體。接下來,開啟往處理腔室1314的腔室傳輸通口1316,且另一機器人(未顯示)將晶圓放入反應器中、並位於在反應器中所顯示之第一站的基座上以進行處理。雖然在圖13中所繪示的實施例係包括負載鎖室,但將能理解的是,在一些實施例中,可提供將晶圓直接進入處理站中。
所繪示的處理腔室1314包括四個處理站,在圖13中所顯示的實施例中係從1到4進行編號。各站具有加熱基座(顯示為站1的基座1318)、及氣體管線入口。將能理解的是,在一些實施例中,各處理站可具有不同、或複數用途。舉例來說,在一些實施例中,處理站可在乾式顯影與蝕刻處理模式之間切換。附加地或替代性地,在一些實施例中,處理腔室1314可包括乾式顯影與蝕刻處理站的一或更多匹配對(matched pair)。雖然所繪示的處理腔室1314包括四個站,但將能理解的是,根據本揭露的處理腔室可具有任何合適數量的站。舉例來說,在一些實施例中,處理腔室可具有五或更多站;而在其他實施例中,處理腔室可具有三或更少站。
圖13繪示晶圓搬運系統1390的實施例,用於在處理腔室1314中傳輸晶圓。在一些實施例中,晶圓搬運系統1390可在各種處理站之間、及/或在處理站與負載鎖室之間傳輸晶圓。將能理解的是,可使用任何合適的晶圓搬運系統。非限制性的示例包括晶圓旋轉料架(carousel)及晶圓搬運機器人。圖13還繪示系統控制器1350的實施例,該系統控制器1350係使用以控制處理工具1300的處理條件與硬體狀態。系統控制器1350可包括一或更多記憶裝置1356、一或更多大量儲存裝置1354、以及一或更多處理器1352。處理器1352可包括CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板等。
在一些實施例中,系統控制器1350控制著處理工具1300的所有活動。系統控制器1350執行系統控制軟體1358,該系統控制軟體1358係儲存在大量儲存裝置1354中、載入至記憶裝置1356中、以及在處理器1352上執行。或者,可將控制邏輯硬編碼至控制器1350中。特殊應用積體電路、可編程邏輯裝置(例如,場域可編程閘極陣列、或FPGA)等可為了這些用途而使用。下列討論中,無論在何處使用「軟體」或「編碼」,均可在該處使用功能性相當的硬編碼邏輯。系統控制軟體1358可包括複數指令,用於控制:時間、氣體混合、氣體流量、腔室及/或站的壓力、腔室及/或站的溫度、晶圓溫度、目標功率層級、RF功率層級、基板基座、卡盤及/或承受器位置、以及由處理工具1300所執行的特定處理之其他參數。系統控制軟體1358可透過任何合適的方式進行配置。舉例而言,可將各種處理工具構件的子程式或控制物件進行編寫,以對執行各種處理工具處理所使用的處理工具構件之操作進行控制。系統控制軟體1358可在任何合適的電腦可讀編程語言中進行編碼。
在一些實施例中,系統控制軟體1358可包括用於控制上述各種參數的輸入/輸出控制(IOC)序列指令。在一些實施例中,可使用儲存在與系統控制器1350相關的大量儲存裝置1354及/或記憶裝置1356上的其他電腦軟體及/或程式。為了此目的的程式、或程式部分的示例包括基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包括處理工具構件所用的程式編碼,用以將基板裝載至基座1318上、並且控制基板與處理工具1300的其他部件之間的間距。
處理氣體控制程式可包括編碼,該編碼係用於控制含鹵化物氣體組成(例如,如本文所述之HBr、或HCl)及流量,並且任選地在沉積之前用於將氣體流入一或更多處理站中以穩定該處理站內之壓力。壓力控制程式可包括編碼,用於例如透過調節處理站之排氣系統內的節流閥、進入該處理站內的氣流等,以控制該處理站內之壓力。
加熱器控制程式可包括用於控制往加熱單元之電流的編碼,該加熱單元係用以加熱基板。或者,加熱器控制程式可控制熱傳輸氣體(例如,氦)往基板的傳輸。
電漿控制程式可包括編碼,用於根據本文的實施例以對施加至一或更多處理站內的處理電極之RF功率層級進行設定。
壓力控制程式可包括用於根據本文的實施例以維持反應腔室內之壓力的編碼。
在一些實施例中,可存在與系統控制器1350相關的使用者介面。所述使用者介面可包括顯示螢幕、設備及/或處理條件的圖像軟體顯示器、以及例如指向裝置、鍵盤、觸碰螢幕、麥克風等的使用者輸入裝置。
在一些實施例中,由系統控制器1350所調整的參數可與處理條件有關。非限制性的示例包括處理氣體的組成及流量、溫度、壓力、電漿條件(例如,RF偏壓功率層級)等。這些參數可透過配方的形式而提供給使用者,該配方可運用該使用者介面加以輸入。
透過來自各種處理工具感測器之系統控制器1350的類比及/或數位輸入連接件,可提供用於監控處理的複數信號。可將用於控制處理的該等信號輸出在處理工具1300的類比及數位輸出連接件上。可受監控之處理工具感測器的非限制性示例包括質量流量控制器、壓力感測器(例如,壓力計)、熱電耦等。經適當編程的回饋及控制演算法可與來自這些感測器的數據一起使用以維持處理條件。
系統控制器1350可提供用於實施上述沉積處理的程式指令。所述程式指令可控制各種處理參數,像是DC功率層級、RF偏壓功率層級、壓力、溫度等。所述指令可根據本文所述的各種實施例來控制該等參數,以操作顯影及/或蝕刻處理。
系統控制器1350通常將包括一或更多記憶裝置、以及配置以執行指令的一或更多處理器,使得該設備將根據所揭露的實施例而執行方法。可將包含指令的機器可讀媒體耦接至系統控制器1350,所述指令係用於根據所揭露的實施例而控制處理操作。
在一些實施例中,系統控制器1350為系統的一部分,其可為上述示例的一部份。這樣的系統可包括半導體處理配備,包括一或更多處理工具、一或更多腔室、一或更多的處理用平台、及/或特定處理構件(晶圓基座、氣體流量系統等)。這些系統可與在處理半導體晶圓或基板之前、期間、及之後將其操作進行控制之電子元件整合在一起。所述電子元件可稱為「控制器」,其可控制一或更多系統的各種構件或子部件。取決於處理條件及/或系統類型,可將系統控制器1350進行編程以控制本文揭露的任何處理,包括處理氣體的運輸、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流量設定、流體運輸設定、位置及操作設定、晶圓對於工具、其他傳輸工具、及/或與特定系統連接或接合之負載鎖室的傳入及傳出。
廣義而言,可將系統控制器1350界定為具有各種積體電路、邏輯、記憶體、及/或軟體的電子裝置,以接收指令、發出指令、控制操作、准許清潔操作、准許端點量測等。所述積體電路可包括以韌體形式儲存程式指令的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如,軟體)的一或更多微處理器或微控制器。程式指令可係以各種獨立設定(或是程式檔案)的形式而與系統控制器1350通信的指令,以定義出用於在半導體晶圓上、或針對半導體晶圓、或對系統執行特定處理的操作參數。在一些實施例中,操作參數可為由製程工程師所定義之配方的一部分,以在一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的加工期間完成一或更多處理步驟。
在一些實施例中,系統控制器1350可為電腦的一部分或耦接至電腦,所述電腦係整合並耦接至所述系統,不然就係以網路連接至所述系統,或是其組合。例如,系統控制器1350可位於「雲端」、或FAB主電腦系統的全部或一部分,而可允許對基板處理的遠端存取。電腦可准許對系統的遠端存取能夠監控加工操作的當前進程、檢視過去加工操作的歷史、檢視來自複數加工操作的趨勢或性能度量、變更當前處理的參數、設定當前處理之後的處理步驟、或是開始新的處理。在一些示例中,遠端電腦(例如,伺服器)可透過網路向系統提供處理配方,其中該網路可包括區域網路、或網際網路。遠端電腦可包括使用者介面,而能夠對參數及/或設定進行輸入或編寫,接著將所述參數及/或設定從該遠端電腦傳送至系統。在一些示例中,系統控制器1350接收數據形式的指令,所述指令係在一或更多操作期間待執行之每一處理步驟的特定參數。應當理解的是,所述參數可特定於待執行的處理類型、以及系統控制器1350所配置以連接或控制的工具類型。因此,如上所述,系統控制器1350可例如藉由包括一或更多離散控制器而進行分佈,所述離散控制器係彼此以網路連接且朝向共同的目的(例如本文所述的處理與控制)而運作。為了此目的所分佈的控制器示例將係位於腔室上的一或更多積體電路,所述積體電路係與遠端設置(例如,位於平台層或作為遠端電腦的一部分)、且結合以控制腔室上之步驟的一或更多積體電路通信。
不具限制地,示例性系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-清洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、晶邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、EUV微影腔室(掃描器)或模組、顯影腔室或模組、以及可有關於或使用於半導體晶圓之加工及/或製造中的任何其他半導體處理系統。
如上所述,取決於工具所待執行的一或更多處理步驟,系統控制器1350可通信至一或更多其他工具電路或模組、其他工具構件、群集式工具、其他工具介面、相鄰工具、鄰近工具、遍布於工廠的工具、主電腦、另一控制器、或材料輸送中所使用的工具,而將晶圓的容器帶進及帶出半導體製造工廠的工具位置及/或裝載通口。
在某些實施例中,感應耦合式電漿(ICP)反應可能適合用於蝕刻操作,所述蝕刻操作係適合用於實施現正描述的一些實施例。雖然此處係描述ICP反應器,但應當理解的是,在一些實施例中還可使用電容式耦合電漿反應器。
圖14係示意性地顯示感應耦合式電漿設備1400的橫剖面圖,該感應耦合式電漿設備1400係適合用於實行某些實施例、或是實施例的態樣,例如乾式顯影及/或蝕刻,感應耦合式電漿設備1400的一示例係由Lam Research Corp. of Fremont, CA所製造的Kiyo®反應器。在其他實施例中,可將其他工具、或工具類型用於實行例,所述其他工具、或工具類型係具有進行本文所述的乾式顯影及/或蝕刻處理的功能性。
感應耦合式電漿設備1400包括由腔室壁1401及窗部1411所結構界定的總處理腔室。腔室壁1401可從不鏽鋼、鋁、或塑膠加工而得。窗部1411可從石英、或其他介電材料加工而得。任選的內部電漿網格1450將該總處理腔室區分為上部子腔室1402、及下部子腔室1403。在大多數實施例中,可將電漿網格1450移除,從而運用由子腔室1402及1403所形成的腔室空間。卡盤1417係設置於下部子腔室1403中、且接近底部內表面。卡盤1417係配置以接收並固持半導體晶圓1419,其中在該半導體晶圓1419上係執行蝕刻與沉積處理。當存在時,卡盤1417可為用於支撐晶圓1419的靜電卡盤。在一些實施例中,當存在於卡盤1417上時,邊緣環(未顯示)係環繞卡盤1417,並且具有與晶圓1419的頂表面大致呈平面的上部表面。卡盤1417還包括用於將晶圓1419夾持、及解夾(dechucking)的靜電電極。過濾器及DC夾具電源(未顯示)可為此目的而提供。還可提供用於將晶圓1419從卡盤1417提起的其他控制系統。使用RF電源1423可將卡盤1417充電。RF電源1423係透過連接件1427而與匹配電路1421連接。匹配電路1421係透過連接件1425而與卡盤1417連接。在此方法中,RF電源1423係與卡盤1417連接。在各種實施例中,可將靜電卡盤的偏壓功率設定為約50 V、或是可取決於所揭露的實施例執行處理而設定不同的偏壓功率。舉例來說,偏壓功率可介於約20 V與約100 V之間、或介於約30 V與約150 V之間。
用於產生電漿的元件包括設置在窗部1411上的線圈1433。在一些實施例中,線圈並未使用於所揭露的實施例中。線圈1433係從導電材料加工而得,並且包括至少一完整的匝(turn)。圖14中所顯示的線圈1433之示例係包括三匝。線圈1433的橫剖面係伴隨符號加以顯示,具有「X」的線圈係迴旋延伸進入頁面,而具有「●」的線圈係迴旋延伸出頁面。用於產生電漿的元件還包括RF電源1441,該RF電源1441係配置以將RF功率供應至線圈1433。一般而言,RF電源1441係透過連接件1445而與匹配電路1439連接。匹配電路1439係透過連接件1443而與線圈1433連接。在此方式中,RF電源1441係與線圈1433連接。任選的法拉第遮蔽件1449係設置在線圈1433與窗部1411之間。可將該法拉第遮蔽件1449相對於線圈1433保持在分隔開的關係中。在一些實施例中,該法拉第遮蔽件1449係緊鄰設置在窗部1411上方。在一些實施例中,該法拉第遮蔽件1449係介於窗部1411與卡盤1417之間。在一些實施例中,該法拉第遮蔽件1449相對於線圈1433係不保持在分隔開的關係中。舉例來說,法拉第遮蔽件1449可直接位於窗部1411下而不具間隙。線圈1433、法拉第遮蔽件1449、及窗部1411係各自實質平行於彼此而配置。法拉第遮蔽件1449可防止金屬、或其他物種沉積在處理腔室的窗部1411上。
處理氣體可經由設置在上部子腔室1402的一或更多主氣體流入口1460、及/或經由一或更多側氣體流入口1470而流入處理腔室中。同樣地,雖然並未明確顯示,但可將類似的氣體流入口用以將處理氣體供應至電容耦合式電漿處理腔室。真空幫浦(例如,一或二級的機械式乾燥幫浦、及/或渦輪分子幫浦1440)可用以將處理氣體抽出處理腔室,並維持該處理腔室中的壓力。舉例來說,在ALD的吹淨操作期間,可將真空幫浦用以對下部子腔室1403抽真空。閥控制的導管可用以將真空幫浦流體連接至處理腔室,以選擇性控制該真空幫浦所提供的真空環境之應用。這可透過在運行的電漿處理期間使用例如節流閥(未顯示)、或鐘擺閥(未顯示)的閉迴路控制流量限制裝置而加以完成。同樣地,還可使用往電容耦合式電漿處理腔室的真空幫浦與閥控制的流體連接件。
在設備1400的操作期間,可經由氣體流入口1460及/或1470來供應一或更多處理氣體。在某些實施例中,可僅經由主氣體流入口1460、或僅經由側氣體流入口1470來供應處理氣體。在一些實施例中,可例如以較複雜的氣體流入口、一或更多噴淋頭來取代圖中所顯示的氣體流入口。法拉第遮蔽件1449及/或任選的網格1450可包括內部通道與孔洞,以允許將處理氣體輸送至處理腔室。法拉第遮蔽件1449和任選的網格1450的其中一者或兩者可用作噴淋頭以輸送處理氣體。在一些實施例中,液體汽化及輸送系統可位於處理腔室的上游,一旦將液體反應物或前驅物汽化,即可將汽化的反應物或前驅物經由氣體流入口1460及/或1470導入處理腔室中。
射頻功率係從RF電源1441供應至線圈1433,使RF電流流經該線圈1433。流經線圈1433的RF電流在該線圈1433周圍產生電磁場。該電磁場在上部子腔室1402中產生感應電流。所產生的各種離子及自由基對於晶圓1419的物理和化學交互作用將該晶圓1419的特徵部進行蝕刻、以及在該晶圓1419上選擇性進行層沉積。
假若使用電漿網格1450而存在上部子腔室1402與下部子腔室1403,則感應電流係作用在上部子腔室1402所存在的氣體上,以在該上部子腔室1402中產生電子-離子電漿。任選的內部電漿網格1450限制了下部子腔室1403中的熱電子量。在一些實施例中,係將設備1400進行設計與操作,使得存在於下部子腔室1403中的電漿為離子-離子電漿。
雖然上部的電子-離子電漿與下部的離子-離子電漿兩者可包含正離子與負離子,但該離子-離子電漿將具有較大的負離子比正離子比率。揮發性的蝕刻及/或沉積副產物可經由通口1422而從下部子腔室1403移除。本文所揭露的卡盤1417可在介於約10°C與約250°C之間範圍的高溫下進行操作。該溫度將取決於處理操作與特定配方。
當安裝在無塵室、或加工設施中時,可將設備1400耦接至複數設施(未顯示)。設施包括提供處理氣體、真空、溫度控制、及環境微粒控制的管路。當安裝在目標加工設施中時,可將這些設施耦接至設備1400。此外,可將設備1400耦接至轉移腔室,允許機器人使用典型的自動化將半導體晶圓轉移進出設備1400。
在一些實施例中,系統控制器1430(其可包括一或更多實體、或邏輯控制器)控制處理腔室的一些或所有操作。系統控制器1430可包括一或更多記憶裝置、與一或更多處理器。在一些實施例中,設備1400包括切換系統,用於在執行所揭露的實施例時控制流量與持續時間。在一些實施例中,設備1400可具有高達約500 ms、或高達約750 ms的切換時間。切換時間可取決於所流動的化學品、配方選擇、反應器架構、及其他因素。
在一些實施例中,系統控制器1430為系統的一部分,其可為上述示例的一部份。這樣的系統可包括半導體處理配備,包括一或更多處理工具、一或更多腔室、一或更多的處理用平台、及/或特定處理構件(晶圓基座、氣體流量系統等)。這些系統可與在處理半導體晶圓或基板之前、期間、及之後將其操作進行控制之電子元件整合在一起。可將所述電子元件整合在系統控制器1430中,而可控制一或更多系統的各種構件或子部件。取決於處理條件及/或系統類型,可將系統控制器進行編程以控制本文揭露的任何處理,包括處理氣體的運輸、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流量設定、流體運輸設定、位置及操作設定、晶圓對於工具、其他傳輸工具、及/或與特定系統連接或接合之負載鎖室的傳入及傳出。
廣義而言,可將系統控制器1430界定為具有各種積體電路、邏輯、記憶體、及/或軟體的電子裝置,以接收指令、發出指令、控制操作、准許清潔操作、准許端點量測等。所述積體電路可包括以韌體形式儲存程式指令的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如,軟體)的一或更多微處理器或微控制器。程式指令可係以各種獨立設定(或是程式檔案)的形式而與控制器通信的指令,以定義出用於在半導體晶圓上、或針對半導體晶圓、或對系統執行特定處理的操作參數。在一些實施例中,操作參數可為由製程工程師所定義之配方的一部分,以在一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的加工期間完成一或更多處理步驟。
在一些實施例中,系統控制器1430可為電腦的一部分或耦接至電腦,所述電腦係整合並耦接至所述系統,不然就係以網路連接至所述系統,或是其組合。例如,控制器可位於「雲端」、或FAB主電腦系統的全部或一部分,而可允許對基板處理的遠端存取。電腦可准許對系統的遠端存取能夠監控加工操作的當前進程、檢視過去加工操作的歷史、檢視來自複數加工操作的趨勢或性能度量、變更當前處理的參數、設定當前處理之後的處理步驟、或是開始新的處理。在一些示例中,遠端電腦(例如,伺服器)可透過網路向系統提供處理配方,其中該網路可包括區域網路、或網際網路。遠端電腦可包括使用者介面,而能夠對參數及/或設定進行輸入或編寫,接著將所述參數及/或設定從該遠端電腦傳送至系統。在一些示例中,系統控制器1430接收數據形式的指令,所述指令係在一或更多操作期間待執行之每一處理步驟的特定參數。應當理解的是,所述參數可特定於待執行的處理類型、以及控制器所配置以連接或控制的工具類型。因此,如上所述,系統控制器1430可例如藉由包括一或更多離散控制器而進行分佈,所述離散控制器係彼此以網路連接且朝向共同的目的(例如本文所述的處理與控制)而運作。為了此目的所分佈的控制器示例將係位於腔室上的一或更多積體電路,所述積體電路係與遠端設置(例如,位於平台層或作為遠端電腦的一部分)、且結合以控制腔室上之步驟的一或更多積體電路通信。
不具限制地,示例性系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-清洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、晶邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、ALE腔室或模組、離子植入腔室或模組、軌道腔室或模組、EUV微影腔室(掃描器)或模組、乾式顯影腔室或模組、以及可有關於或使用於半導體晶圓之加工及/或製造中的任何其他半導體處理系統。
如上所述,取決於工具所待執行的一或更多處理步驟,控制器可通信至一或更多其他工具電路或模組、其他工具構件、群集式工具、其他工具介面、相鄰工具、鄰近工具、遍布於工廠的工具、主電腦、另一控制器、或材料輸送中所使用的工具,而將晶圓的容器帶進及帶出半導體製造工廠的工具位置及/或裝載通口。
EUVL圖案化可使用任何合適的工具(經常稱之為掃描器)加以執行,例如由ASML of Veldhoven, NL所供應的TWINSCAN NXE: 3300B®平台。EUVL圖案化工具可為基板從其移動進出的獨立裝置,以用於進行本文所述的沉積與蝕刻。或者,如下所述,EUVL圖案化工具可為位於較大型多構件工具上的模組。圖15繪示半導體處理群集工具架構,該半導體處理群集工具架構具有與真空轉移模組連接的真空整合沉積、EUV圖案化、及乾式顯影/蝕刻模組,而適合用於實行本文所述的處理。雖然所述處理可在不具這種真空整合設備的情況下進行,但在一些實施例中這種設備可為有利的。
圖15繪示半導體處理群集工具架構,該半導體處理群集工具架構具有與真空轉移模組連接的真空整合沉積、及圖案化模組,而適合用於實行本文所述的處理。可將在複數儲存設施與處理模組之間「傳輸」晶圓的傳輸模組配置稱為「群集工具架構」系統。根據特定處理的需求,沉積和圖案化模組係真空整合的。還可將其他模組(例如,用於蝕刻)包括於該群集上。
真空傳輸模組(VTM)1538與四個處理模組1520a - 1520d相互連接,其中可獨立對所述處理模組進行優化以執行各種加工處理。舉例來說,處理模組1520a - 1520d可實施以執行沉積、蒸發、ELD、乾式顯影、蝕刻、剝除、及/或其他半導體處理。例如,模組1520a可為ALD反應器,可將ALD反應器操作以在本文所述的非電漿、熱原子層沉積中執行,所述ALD反應器例如為可從Lam Research Corporation, Fremont, CA所取得的Vector工具。而模組1520b可為PECVD工具,例如Lam Vector®。應當理解,圖式並不需按照比例繪示。
氣室1542及1546(亦稱為負載鎖室、或傳輸模組)與VTM 1538和圖案化模組1540相互連接。舉例來說,如上所述,合適的圖案化模組可為由ASML of Veldhoven, NL所供應的TWINSCAN NXE: 3300B®平台。此工具架構允許工件(例如,半導體基板、或晶圓)在真空下進行傳輸,而在曝光之前不會進行反應。考慮到入射光子被環境氣體(例如,H2 O、O2 等)的強烈光學吸收,使得EUVL還需要大幅減壓的事實促進了沉積模組與微影工具的整合。
如上所述,此整合架構僅僅是用於實行所述處理之工具的其中一種可能的實施例。所述處理還可利用更習知的獨立EUVL掃描器、以及獨立、或與其他工具(例如,蝕刻、剝除等)(例如,Lam Kiyo或Gamma工具)整合在群集架構中沉積反應器(例如,Lam Vector工具)而作為模組加以實施,例如參照圖15所描述但不具有整合圖案化模組。
氣室1542可為「輸出」負載鎖室,指的是將基板從供應沉積模組1520a的VTM 1538傳輸至圖案化模組1540;而氣室1546可為「輸入」負載鎖室,指的是將基板從該圖案化模組1540傳輸回到VTM 1538中。輸入負載鎖室1546還可提供往工具外部的介面,以用於將基板放入或取出。各處理模組具有將模組與VTM 1538相互連接的維面(facet)。舉例來說,沉積處理模組1520a具有維面1536。在各維面內側,感測器(例如,所顯示的感測器1~18)係當晶圓1526在各自的站之間移動時用以偵測晶圓1526的通過。可利用附加的維面與感測器(未顯示)對圖案化模組1540、及氣室1542與1546進行配備。
主VTM機器人1522將晶圓1526在模組(包括氣室1542與1546)之間傳輸。在一實施例中,機器人1522具有一臂件,而在另一實施例中,機器人1522具有兩臂件,其中各臂件具有拾取晶圓(例如,晶圓1526)以進行輸送的端效器1524。前端機器人1544係用以將晶圓1526從輸出氣室1542傳輸至圖案化模組1540中、以及從該圖案化模組1540傳輸至輸入氣室1546中。前端機器人1544還可將晶圓1526在輸入負載鎖室與工具的外部之間輸送,以用於將基板放入或取出。由於輸入氣室模組1546具有將環境在大氣與真空之間進行匹配的能力,因此能夠將晶圓1526在兩種壓力環境之間移動而不受損。
應當注意,EUVL工具通常係在比沉積工具更高的真空下操作。若是如此,則需要在沉積與EUVL工具之間傳輸的期間提高基板的真空環境,以允許將該基板在進入圖案化工具之前進行除氣。輸出氣室1542可提供此功能,藉由將所傳輸的晶圓保持在較低壓力(不高於圖案化模組1540中的壓力)一段時間,並且排出任何的釋放氣體(off-gassing),使得圖案化模組1540的光學件不會被基板的釋放氣體所汙染。對於輸出、釋放氣體氣室的合適壓力係不大於1E-8 Torr。
在一些實施例中,系統控制器1550(其可包括一或更多實體、或邏輯控制器)控制著群集工具及/或其各自模組的一些、或所有操作。應當注意,控制器可位於該群集架構的本地、或是可位於製造樓層中的群集架構外部、或是在遠端位置透過網路而連接至該群集架構。系統控制器1550可包括一或更多記憶裝置、與一或更多處理器。所述處理器可包括中央處理單元(CPU)或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板、與其他類似構件。在所述處理器上係執行用於實行合適控制操作的複數指令。這些指令可儲存在與該控制器相關的記憶裝置上、或是可將它們透過網路加以提供。在某些實施例中,系統控制器係執行系統控制軟體。
系統控制軟體可包括複數指令,用於控制應用時間、及/或任何態樣之工具或模組操作的強度。系統控制軟體可利用任何合適方式加以配置。舉例來說,可將各種處理工具構件的子程式、或控制物件進行編寫,以控制處理工具構件執行各種處理工具處理所需要的操作。系統控制軟體可在任何合適的電腦可讀編程語言中進行編碼。在一些實施例中,系統控制軟體包括包括輸入/輸出控制(IOC)序列指令,以用於控制上述的各種參數。舉例來說,半導體加工處理的各階段可包括由系統控制器所執行的一或更多指令。舉例來說,可將用於設定縮合、沉積、蒸發、圖案化、及/或蝕刻階段之處理條件的指令包括在相應的配方階段中。
在各種實施例中,係提供用於形成負調性圖案遮罩的設備。該設備可包括用於圖案化、沉積、和蝕刻的處理腔室、以及包括複數指令的控制器,以用於形成負調性圖案遮罩。所述指令可包括編碼,用於在處理腔室中透過EUV曝光以暴露基板表面,而在半導體基板上的化學放大光阻(CAR)中圖案化一特徵部;將經光圖案化的光阻顯影;以及使用經圖案化光阻作為遮罩來蝕刻下伏層或層堆疊。顯影可使用含鹵化物化學品而執行。
應當注意,控制著晶圓移動的電腦可位於該群集架構的本地、或是可位於製造樓層中的群集架構外部、或是在遠端位置透過網路而連接至該群集架構。關於圖12、13、或14任何一者所描述於上的控制器可與圖15中的工具一起實行。結論
揭露了用於將金屬及/或金屬氧化物光阻進行乾式顯影,以例如在EUV圖案化的背景中形成圖案化遮罩的處理與設備。
應當理解,本文中所揭露的示例與實施例僅係為了說明目的,且依據它們的各種修改與變更將係建議給本領域中具有通常知識者。儘管各種細節係為了簡潔性而省略,但可實行各種設計替代物。因此,係將所呈現的示例視為說明性、而並非限制性的,且本揭露並不受限於本文中所給定的細節,而是可在本揭露的範圍內進行修改。
1~18:感測器 102,104,106,108,110,112:方塊 200:晶圓 202:基板 204:基板層 206:經光圖案化含金屬EUV光阻膜 206a:非EUV曝光區域 206b:經EUV曝光區域 208:光阻遮罩 1200:處理站 1201:反應物輸送系統 1202:處理腔室本體 1203:汽化點 1204:混合槽 1206:分佈噴淋頭 1208:基座 1210:加熱器 1212:基板 1214:射頻(RF)功率供應器 1216:匹配網路 1218:蝶形閥 1220:混合槽入口閥 1300:多站處理工具 1302:入站負載鎖室 1304:出站負載鎖室 1306:機器人 1308:傳送盒 1310:大氣通口 1312:基座 1314:處理腔室 1316:腔室傳輸通口 1318:基座 1350:系統控制器 1352:處理器 1354:儲存裝置 1356:記憶裝置 1358:系統控制軟體 1390:晶圓搬運系統 1400:感應耦合式電漿設備 1401:腔室壁 1402:上部子腔室 1403:下部子腔室 1411:窗部 1417:卡盤 1419:半導體晶圓 1421:匹配電路 1422:通口 1423:RF電源 1425:連接件 1427:連接件 1430:系統控制器 1433:線圈 1439:匹配電路 1440:渦輪分子幫浦 1441:RF電源 1443:連接件 1445:連接件 1449:法拉第遮蔽件 1450:內部電漿網格 1460:主氣體流入口 1470:側氣體流入口 1520a~1520d:處理模組 1522:主VTM機器人 1524:端效器 1526:晶圓 1536:維面 1538:真空傳輸模組(VTM) 1540:圖案化模組 1542:氣室 1544:前端機器人 1546:氣室 1550:系統控制器
圖1係根據一些實施例而呈現用於將光阻進行沉積與顯影之示例性方法的流程圖。
圖2A-2C係根據一些實施例而顯示乾式顯影的各種處理階段之橫剖面示意圖。
圖3係根據一些實施例所繪示的示例性乾式顯影機制,以用於溴化氫(HBr)對於EUV光阻之經曝光與未曝光部分的化學反應。
圖4A係根據一些實施例而顯示在不施加惰性氣體電漿的情況下進行乾式顯影的橫剖面示意圖。
圖4B係根據一些實施例而顯示在將惰性氣體電漿循環以去殘渣的情況下進行乾式顯影的橫剖面示意圖。
圖5顯示一圖表,該圖表係比較在乾式顯影期間使用氦電漿的EUV光阻的經曝光與未曝光部分之間的蝕刻速率。
圖6A與6B顯示將濕式顯影與乾式顯影關於線路崩塌加以比較的掃描式電子顯微鏡(SEM)影像。
圖7A與7B顯示將濕式顯影與乾式顯影關於控制粗糙度及臨界尺寸(CD)加以比較的SEM影像。
圖8顯示將濕式顯影與乾式顯影關於在硬遮罩開口後的殘渣加以比較的SEM影像。
圖9A與9B顯示複數圖表,該等圖表係繪示在不同壓力及溫度的情況下,第二曝光後烘烤操作對於乾式顯影的選擇性所造成的影響。
圖10顯示複數SEM影像,該等SEM影像繪示壓力對於EUV光阻輪廓的影響。
圖11A與11B顯示在不同線路/間隔的節距、與不同厚度下的EUV光阻之SEM影像。
圖12係根據一些實施例而繪示用於維持低壓環境的示例性處理站之示意圖,該低壓環境係適合用於執行顯影、清洗、重工、去殘渣與平滑化操作。
圖13繪示出示例性多站處理工具的示意圖,以用於實行本文所述的顯影、清洗、重工、去殘渣與平滑化操作。
圖14顯示出示例性感應耦合式電漿設備之橫剖面示意圖,以用於實行本文所述的某些實施例及操作。
圖15繪示半導體處理群集工具架構,該半導體處理群集工具架構具有與真空轉移模組連接的真空整合沉積及圖案化模組,而適合用於實行本文所述的處理。

Claims (25)

  1. 一種半導體基板的處理方法,包括:在一處理腔室中,在一半導體基板的一基板層上提供一經光圖案化的含有機金屬氧化物EUV光阻;以及透過暴露至包括鹵化物的乾式顯影化學品而選擇性地移除該經光圖案化的含有機金屬氧化物EUV光阻的一部分,以將該經光圖案化的含有機金屬氧化物EUV光阻加以乾式顯影而形成一光阻遮罩。
  2. 如請求項1所述之半導體基板的處理方法,其中將該經光圖案化的含有機金屬氧化物EUV光阻加以乾式顯影係包括利用該顯影化學品將該經光圖案化的含有機金屬氧化物EUV光阻之一未EUV曝光部分相對於一經EUV曝光部分進行選擇性地移除,以形成該光阻遮罩。
  3. 如請求項2所述之半導體基板的處理方法,更包括:在不移除該基板層的情況下,將該經光圖案化的含有機金屬氧化物EUV光阻之該未EUV曝光部分與該經EUV曝光部分進行非選擇性移除。
  4. 如請求項1所述之半導體基板的處理方法,其中該乾式顯影化學品包括鹵化氫、氫氣與鹵素氣體、有機鹵化物、醯基鹵化物、羰基鹵化物、亞硫醯基鹵化物、或其混合。
  5. 如請求項4所述之半導體基板的處理方法,其中該乾式顯影化學品包括氟化氫(HF)、氯化氫(HCl)、溴化氫(HBr)、或碘化氫(HI)。
  6. 如請求項4所述之半導體基板的處理方法,其中該乾式顯影化學品包括氫氣(H2)與氟氣(F2)、氯氣(Cl2)、溴氣(Br2)、或碘氣(I2)。
  7. 如請求項1所述之半導體基板的處理方法,其中該鹵化物係與一載體氣體一起流入該處理腔室中,該載體氣體包括氦(He)、氖(Ne)、氬(Ar)、氙(Xe)、或氮(N2)。
  8. 如請求項1所述之半導體基板的處理方法,其中將該經光圖案化的含有機金屬氧化物EUV光阻進行乾式顯影係包括將包括該鹵化物之自由基的一遠端電漿施加至該經光圖案化的含有機金屬氧化物EUV光阻。
  9. 如請求項1所述之半導體基板的處理方法,其中將該經光圖案化的含有機金屬氧化物EUV光阻進行乾式顯影係包括在無電漿的熱處理中至少暴露於該鹵化物。
  10. 如請求項1所述之半導體基板的處理方法,其中將該經光圖案化的含有機金屬氧化物EUV光阻進行乾式顯影係在介於約-60℃與約120℃之間的溫度下、介於約0.1mTorr與約760Torr之間的腔室壓力下、介於約100sccm與約2000sccm之間的該鹵化物之氣體流量下進行,該光阻遮罩的蝕刻選擇性係至少部分基於該溫度、該腔室壓力、該氣體流量、或其組合而能夠加以調整。
  11. 如請求項10所述之半導體基板的處理方法,其中該溫度係介於約-20℃與約20℃之間。
  12. 如請求項10所述之半導體基板的處理方法,其中該光阻遮罩的輪廓係至少部分基於該溫度、該腔室壓力、該氣體流量、或其組合而能夠加以控制。
  13. 如請求項1所述之半導體基板的處理方法,其中該經光圖案化的含有機金屬氧化物EUV光阻包括有機錫氧化物。
  14. 如請求項1至12中任一項所述之半導體基板的處理方法,其中該經光圖案化的含有機金屬氧化物EUV光阻包括一元素,該元素係選自於由:錫、鉿、碲、鉍、銦、銻、碘、及鍺所構成的群組。
  15. 如請求項1至12中任一項所述之半導體基板的處理方法,其中提供該經光圖案化的含有機金屬氧化物EUV光阻係包括在該基板層上將一含有機金屬氧化物光阻膜進行氣相沉積。
  16. 如請求項1至12中任一項所述之半導體基板的處理方法,其中提供該經光圖案化的含有機金屬氧化物EUV光阻係包括在該基板層上將一含有機金屬氧化物光阻膜進行旋轉塗佈。
  17. 如請求項1至12中任一項所述之半導體基板的處理方法,其中該經光圖案化的含有機金屬氧化物EUV光阻的厚度係介於約10nm與約50nm之間。
  18. 如請求項1至12中任一項所述之半導體基板的處理方法,更包括:在將該經光圖案化的含有機金屬氧化物EUV光阻加以乾式顯影之後,將該經光圖案化的含有機金屬氧化物EUV光阻暴露至一惰性氣體電漿。
  19. 如請求項18所述之半導體基板的處理方法,更包括:重複進行下列操作:將該經光圖案化的含有機金屬氧化物EUV光阻加以乾式顯影、以及將該經光圖案化的含有機金屬氧化物EUV光阻暴露至該惰性氣體電漿。
  20. 如請求項1至12中任一項所述之半導體基板的處理方法,更包括:在將該經光圖案化的含有機金屬氧化物EUV光阻加以乾式顯影之前,在一高溫下將該經光圖案化的含有機金屬氧化物EUV光阻進行烘烤。
  21. 如請求項1至12中任一項所述之半導體基板的處理方法,其中提供該經光圖案化的含有機金屬氧化物EUV光阻包括:在該半導體基板上沉積一含有機金屬氧化物EUV光阻膜;在該半導體基板的背側與晶邊周緣上將部分的該含有機金屬氧化物EUV光阻膜進行非選擇性移除;以及將該含有機金屬氧化物EUV光阻膜暴露至EUV光,以形成該經光圖案化的含有機金屬氧化物EUV光阻。
  22. 如請求項1至12中任一項所述之半導體基板的處理方法,更包括:在該半導體基板上沉積一含有機金屬氧化物EUV光阻膜;以及在提供該經光圖案化的含有機金屬氧化物EUV光阻之前,在不移除該基板層的情況下將該含有機金屬氧化物EUV光阻膜從該半導體基板進行非選擇性移除。
  23. 一種半導體基板的處理方法,包括:在一處理腔室中,在一半導體基板的一基板層上提供經乾式沉積的一經光圖案化的含有機金屬氧化物EUV光阻;以及透過暴露至包括HCl及/或HBr的乾式顯影化學品而選擇性地移除該經光圖案化的含有機金屬氧化物EUV光阻的一未EUV曝光部分,以將該經光圖案化的含有機金屬氧化物EUV光阻進行乾式顯影,而從一經EUV曝光部分形成一光阻硬遮罩。
  24. 如請求項23所述之半導體基板的處理方法,其中乾式顯影係在無電漿的熱處理中進行,其中暴露至該乾式顯影化學品係在介於約-20℃與約20℃之間的溫度下進行。
  25. 如請求項23所述之半導體基板的處理方法,其中該經光圖案化的含有機金屬氧化物EUV光阻係包括有機錫氧化物。
TW109121680A 2019-06-26 2020-06-24 利用鹵化物化學品的光阻顯影 TWI837391B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962866942P 2019-06-26 2019-06-26
US62/866,942 2019-06-26

Publications (2)

Publication Number Publication Date
TW202117468A TW202117468A (zh) 2021-05-01
TWI837391B true TWI837391B (zh) 2024-04-01

Family

ID=74061069

Family Applications (2)

Application Number Title Priority Date Filing Date
TW109121680A TWI837391B (zh) 2019-06-26 2020-06-24 利用鹵化物化學品的光阻顯影
TW113107490A TW202424665A (zh) 2019-06-26 2020-06-24 利用鹵化物化學品的光阻顯影

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW113107490A TW202424665A (zh) 2019-06-26 2020-06-24 利用鹵化物化學品的光阻顯影

Country Status (7)

Country Link
US (1) US20220244645A1 (zh)
EP (1) EP3990987A4 (zh)
JP (1) JP2022538040A (zh)
KR (2) KR20220025020A (zh)
CN (1) CN114026501A (zh)
TW (2) TWI837391B (zh)
WO (1) WO2020264158A1 (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
CN113785381A (zh) 2019-04-30 2021-12-10 朗姆研究公司 用于极紫外光刻抗蚀剂改善的原子层蚀刻及选择性沉积处理
KR20210135004A (ko) 2020-01-15 2021-11-11 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
CN115398347A (zh) * 2020-02-04 2022-11-25 朗姆研究公司 提高含金属euv抗蚀剂干式显影性能的涂敷/暴露后处理
US11621172B2 (en) * 2020-07-01 2023-04-04 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists
US20220004105A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Dry develop process of photoresist
US11886120B2 (en) 2020-07-21 2024-01-30 Applied Materials, Inc. Deposition of semiconductor integration films
US11562904B2 (en) 2020-07-21 2023-01-24 Applied Materials, Inc. Deposition of semiconductor integration films
US20240295821A1 (en) * 2021-03-15 2024-09-05 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US12032291B2 (en) 2021-06-15 2024-07-09 Inpria Corporation Organotin patterning materials with ligands having silicon/germanium; precursor compositions; and synthesis methods
WO2022266140A1 (en) * 2021-06-15 2022-12-22 Lam Research Corporation Dry development apparatus and methods for volatilization of dry development byproducts in wafers
CN114628620B (zh) * 2022-03-15 2024-06-14 安徽熙泰智能科技有限公司 一种用于药液耐受性差的膜层的图形化方法
WO2023215136A1 (en) * 2022-05-04 2023-11-09 Lam Research Corporation Post-development treatment of metal-containing photoresist
TW202407473A (zh) * 2022-06-06 2024-02-16 美商英培雅股份有限公司 在氧化鹵素供給環境中有機金屬阻劑之基於氣體的顯影
TW202417971A (zh) * 2022-07-01 2024-05-01 美商蘭姆研究公司 用於蝕刻停止阻遏之基於金屬氧化物的光阻之循環顯影
WO2024024373A1 (ja) * 2022-07-29 2024-02-01 東京エレクトロン株式会社 基板処理方法及び基板処理システム
WO2024024919A1 (ja) * 2022-07-29 2024-02-01 東京エレクトロン株式会社 基板処理方法及び基板処理システム
US20240045337A1 (en) * 2022-08-03 2024-02-08 Tokyo Electron Limited Metal Oxide Resists for EUV Patterning and Methods for Developing the Same
US20240053684A1 (en) * 2022-08-15 2024-02-15 Tokyo Electron Limited Cyclic Method for Reactive Development of Photoresists
WO2024058135A1 (ja) * 2022-09-13 2024-03-21 東京エレクトロン株式会社 基板処理方法及び基板処理システム
US20240096622A1 (en) * 2022-09-21 2024-03-21 Tokyo Electron Limited Method and Apparatus for In-Situ Dry Development
WO2024070756A1 (ja) * 2022-09-27 2024-04-04 東京エレクトロン株式会社 基板処理方法及び基板処理システム
US20240160100A1 (en) * 2022-11-14 2024-05-16 Applied Materials, Inc. Integrated solution with low temperature dry develop for euv photoresist
WO2024111454A1 (ja) * 2022-11-25 2024-05-30 東京エレクトロン株式会社 ドライ現像方法及びドライ現像装置
WO2024143125A1 (ja) * 2022-12-28 2024-07-04 東京エレクトロン株式会社 基板処理方法及び基板処理装置
WO2024157943A1 (ja) * 2023-01-27 2024-08-02 東京エレクトロン株式会社 基板処理方法及び基板処理システム

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0869959A (ja) * 1994-08-30 1996-03-12 Hitachi Ltd ドライ現像方法及び半導体装置の製造方法
US20040229169A1 (en) * 2000-09-18 2004-11-18 Micronic Laser Systems Ab Dual layer workpiece masking and manufacturing process
TW201631377A (zh) * 2014-10-23 2016-09-01 因普利亞公司 以有機金屬溶液為主之高解析度圖案化組合物及相對應之方法
TW201729006A (zh) * 2015-11-20 2017-08-16 蘭姆研究公司 氣相沉積的含金屬氧化物硬遮罩之極紫外光圖案化
TW201830472A (zh) * 2016-12-15 2018-08-16 台灣積體電路製造股份有限公司 微影圖案化的方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5119974A (en) * 1974-08-12 1976-02-17 Fujitsu Ltd Kibanjoheno pataanno sentakukeiseiho
US4061829A (en) * 1976-04-26 1977-12-06 Bell Telephone Laboratories, Incorporated Negative resist for X-ray and electron beam lithography and method of using same
US4292384A (en) * 1977-09-30 1981-09-29 Horizons Research Incorporated Gaseous plasma developing and etching process employing low voltage DC generation
US4396704A (en) * 1981-04-22 1983-08-02 Bell Telephone Laboratories, Incorporated Solid state devices produced by organometallic plasma developed resists
JPH0778629B2 (ja) * 1986-12-19 1995-08-23 ミノルタ株式会社 ポジ型レジスト膜及びそのレジストパターンの形成方法
JPH04226462A (ja) * 1990-06-29 1992-08-17 Fujitsu Ltd レジスト材料およびそれを用いるレジストパターンの形成方法
US6013418A (en) * 1992-04-29 2000-01-11 Lucent Technologies Inc. Method for developing images in energy sensitive materials
JPH06232041A (ja) * 1993-02-05 1994-08-19 Hitachi Ltd パターン形成方法
JP3258199B2 (ja) * 1995-05-24 2002-02-18 沖電気工業株式会社 半導体装置のパターン形成方法
JP2000305273A (ja) * 1998-11-19 2000-11-02 Applied Materials Inc 遠紫外線ドライフォトリソグラフィー
KR100520670B1 (ko) * 1999-05-06 2005-10-10 주식회사 하이닉스반도체 포토레지스트 패턴의 형성방법
EP1347468A4 (en) * 2000-12-28 2005-04-20 Nissan Chemical Ind Ltd METHOD FOR MODELING THE CONTOURS OF AN ELECTRO-CONDUCTIVE TIN OXIDE LAYER
JPWO2008088076A1 (ja) * 2007-01-17 2010-05-13 ソニー株式会社 現像液、および微細加工体の製造方法
WO2010044400A1 (ja) * 2008-10-14 2010-04-22 旭化成株式会社 熱反応型レジスト材料、それを用いた熱リソグラフィ用積層体及びそれらを用いたモールドの製造方法
KR102346372B1 (ko) * 2015-10-13 2021-12-31 인프리아 코포레이션 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝
US10018920B2 (en) * 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
US20210013034A1 (en) * 2018-05-11 2021-01-14 Lam Research Corporation Methods for making euv patternable hard masks

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0869959A (ja) * 1994-08-30 1996-03-12 Hitachi Ltd ドライ現像方法及び半導体装置の製造方法
US20040229169A1 (en) * 2000-09-18 2004-11-18 Micronic Laser Systems Ab Dual layer workpiece masking and manufacturing process
TW201631377A (zh) * 2014-10-23 2016-09-01 因普利亞公司 以有機金屬溶液為主之高解析度圖案化組合物及相對應之方法
TW201729006A (zh) * 2015-11-20 2017-08-16 蘭姆研究公司 氣相沉積的含金屬氧化物硬遮罩之極紫外光圖案化
TW201830472A (zh) * 2016-12-15 2018-08-16 台灣積體電路製造股份有限公司 微影圖案化的方法

Also Published As

Publication number Publication date
KR20240131468A (ko) 2024-08-30
EP3990987A4 (en) 2023-08-16
WO2020264158A1 (en) 2020-12-30
KR20240131469A (ko) 2024-08-30
JP2022538040A (ja) 2022-08-31
US20220244645A1 (en) 2022-08-04
TW202424665A (zh) 2024-06-16
KR20220025020A (ko) 2022-03-03
CN114026501A (zh) 2022-02-08
TW202117468A (zh) 2021-05-01
EP3990987A1 (en) 2022-05-04

Similar Documents

Publication Publication Date Title
TWI837391B (zh) 利用鹵化物化學品的光阻顯影
JP7527456B2 (ja) フォトレジストのドライ除去用プロセスツール
TWI845848B (zh) 用於圖案化輻射光阻圖案化的整合型乾式處理系統
JP2023513134A (ja) 金属含有euvレジストの乾式現像性能を高めるための塗布/露光後処理
JP2023531102A (ja) フォトレジストの乾式裏面及びベベルエッジ洗浄
TW202239942A (zh) 利用有機蒸氣的光阻顯影
TW202407467A (zh) 含金屬光阻的重工
KR102708141B1 (ko) 할로겐 화학 물질들을 사용한 포토레지스트 현상
JP7557569B2 (ja) 照射フォトレジストパターニングのための統合乾式プロセス
US20240036474A1 (en) Control of metallic contamination from metal-containing photoresist
TW202417971A (zh) 用於蝕刻停止阻遏之基於金屬氧化物的光阻之循環顯影
TW202431348A (zh) 使用熱及電漿製程的乾式腔室清潔