KR102346372B1 - 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝 - Google Patents

유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝 Download PDF

Info

Publication number
KR102346372B1
KR102346372B1 KR1020217000995A KR20217000995A KR102346372B1 KR 102346372 B1 KR102346372 B1 KR 102346372B1 KR 1020217000995 A KR1020217000995 A KR 1020217000995A KR 20217000995 A KR20217000995 A KR 20217000995A KR 102346372 B1 KR102346372 B1 KR 102346372B1
Authority
KR
South Korea
Prior art keywords
coating
ligand
precursor
solution
carbon atoms
Prior art date
Application number
KR1020217000995A
Other languages
English (en)
Other versions
KR20210008151A (ko
Inventor
스티븐 티. 메이어스
티. 앤더슨 제레미
브라이언 제이. 카르디네우
조셉 비. 에드슨
카이 지앙
더글라스 에이. 캐쉴러
앨런 제이. 텔레키
Original Assignee
인프리아 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=58500023&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR102346372(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 인프리아 코포레이션 filed Critical 인프리아 코포레이션
Priority to KR1020217036130A priority Critical patent/KR102508142B1/ko
Publication of KR20210008151A publication Critical patent/KR20210008151A/ko
Application granted granted Critical
Publication of KR102346372B1 publication Critical patent/KR102346372B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/086Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/002Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor using materials containing microcapsules; Preparing or processing such materials, e.g. by pressure; Devices or apparatus specially designed therefor
    • G03F7/0022Devices or apparatus
    • G03F7/0025Devices or apparatus characterised by means for coating the developer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/028Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with photosensitivity-increasing substances, e.g. photoinitiators
    • G03F7/031Organic compounds not covered by group G03F7/029
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Catalysts (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Paints Or Removers (AREA)

Abstract

금속 옥사이드 하이드록사이드 화학을 기초로 한 고해상도 리소그래피(lithography) 패터닝 코팅 형성을 위한 유기 금속 전구체가 기재되어 있다. 상기 전구체 조성물은 일반적으로 온화한 조건하에 수증기 또는 다른 OH 공급원 조성물에 의해 쉽게 가수분해될 수 있는 리간드를 포함한다. 상기 유기 금속 전구체는 일반적으로 비교적 낮은 방사선 선량(radiation dose)에서 고해상도 패터닝에 효과적일 수 있고 EUV 패터닝에 특히 유용한 코팅을 제공할 수 있는, 주석과의 방사선 민감성 유기 리간드를 포함한다. 상기 전구체 조성물은 상업적으로 적합한 조건하에서 쉽게 처리할 수 있다. 현장(in situ) 가수분해 또는 증기 기반 증착(deposition)을 이용한 용액 상(solution phase) 처리로 상기 코팅을 형성할 수 있다.

Description

유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝{ORGANOTIN OXIDE HYDROXIDE PATTERNING COMPOSITIONS, PRECURSORS, AND PATTERNING}
관련 출원에 대한 언급
본원은 2015년 10월 13일에 출원된 동시 계류 중인 미국 가특허출원 제62/240,812호(Meyers et al., 발명의 명칭: "전구체 증기 증착을 이용한 유기주석 옥사이드 하이드록사이드 패터닝 조성물"), 및 2016년 2월 19일에 출원된 동시 계류 중인 미국 가특허출원 제62/297,540호(Cardineau et al., 발명의 명칭: "유기주석 옥사이드 하이드록사이드 포토레지스트 필름용 전구체 조성물")를 우선권 주장하며, 이들은 모두 본원에 참조로 도입된다.
발명의 분야
본 발명은 유기주석 옥사이드 하이드록사이드를 포함하는 코팅을 형성하기 위해 코팅될 수 있고 현장(in situ) 가수분해될 수 있는 전구체 조성물에 관한 것이다. 본 발명은 또한 낮은 선폭 거칠기(line width roughness, LWR)를 갖는 고해상도 패턴을 형성하기 위한 자외선(ultraviolet, UV)광, 극자외선(extreme ultraviolet, EUV)광 또는 전자 빔 방사선(electron-beam radiation)에 의해 효과적으로 패턴화될 수 있는 방사선 민감성 유기주석 옥사이드 하이드록사이드 코팅에 관한 것이다.
반도체 기반 장치 뿐만 아니라 다른 전자 장치 또는 다른 복합 미세 구조물의 형성을 위하여, 일반적으로 구조물을 통합하기 위해 재료를 패턴화한다. 따라서, 구조물은 일반적으로 다양한 재질의 패턴이 형성되는 순차적 증착(deposition) 및 에칭(etching) 단계의 반복 공정을 통하여 형성된다. 이러한 방식으로, 다수의 장치가 작은 영역으로 형성될 수 있다. 본 기술의 일부 진보는 장치에 대한 차지 공간(footprint)의 감소를 수반할 수 있고, 이는 성능 향상에 바람직할 수 있다.
유기 조성물은 방사선 패턴화된 레지스트로서 이용될 수 있어서, 방사선 패턴은 상기 패턴에 대응하는 유기 조성물의 화학 구조를 변경하는데 사용된다. 예를 들어, 반도체 웨이퍼의 패터닝 공정은 방사선 민감성 유기 물질의 박막으로부터 원하는 이미지의 리소그래피 전사를 수반할 수 있다. 레지스트의 패터닝은 일반적으로 레지스트를, 예컨대 마스크를 통해, 선택된 에너지원에 노광시켜 잠상(latent image)을 기록하는 단계 및 이어서 상기 레지스트의 선택된 영역을 현상 및 제거하는 단계를 포함하여 몇몇 단계들을 포함한다. 포지티브 톤 레지스트(positive-tone resist)의 경우, 노광된 영역은 이러한 영역이 선택적으로 제거될 수 있도록 변형되는 반면, 네가티브 톤 레지스트(negative-tone resist)의 경우는, 비노광 영역이 더 용이하게 제거될 수 있다.
일반적으로, 패턴을 방사선, 반응성 기체 또는 액체 용액으로 현상하여 레지스트의 선택적으로 민감한 부분을 제거할 수 있는 한편, 레지스트의 다른 부분은 보호성 에칭방지층(protective etch-resistant layer)으로서 작용한다. 액체 현상제는 잠상의 현상에 특히 효과적일 수 있다. 기판은 보호성 레지스트층의 나머지 영역에 있는 윈도우 또는 갭을 통해 선택적으로 에칭될 수 있다. 그렇지 않으면, 보호성 레지스트층의 나머지 영역에 있는 현상된 윈도우 또는 갭을 통해 하부(underlying) 기판의 노광 영역에 물질을 증착시킬 수 있다. 궁극적으로, 보호성 레지스트층은 제거한다. 상기 공정을 반복하여 패턴화된 물질의 추가 층들을 형성할 수 있다. 상기 물질을 화학적 증기 증착, 물리적 증기 증착 또는 다른 원하는 방법을 이용하여 증착시킬 수 있다. 전도성 물질의 증착 또는 도펀트의 주입과 같은 추가 처리(processing) 단계를 이용할 수 있다. 마이크로 및 나노 제조 분야에서, 집적 회로 내 피처(feature) 크기는 높은 집적 밀도를 달성하고 회로 기능을 개선시키기 위하여 매우 작아져 왔다.
제1 측면에서, 본 발명은 유기 용매, 제1 유기 금속 조성물, 및 가수분해성 리간드-금속 결합을 갖는 금속 화합물을 포함하는 코팅 용액에 관한 것이다. 몇몇 양태에서, 상기 제1 유기 금속 조성물은 식 RzSnO(2-(z/2)-(x/2))(OH)x 또는 식 RnSnX4-n(여기서, 0 < z ≤ 2이고, 0 < (z+x) ≤ 4이고, n은 1 또는 2이고, R은 1 내지 31개의 탄소원자를 갖는 하이드로카빌 그룹이고, X는 가수분해성 M-X 결합을 갖는 리간드이다) 또는 이들의 혼합물로 표시될 수 있다. 상기 가수분해성 금속 화합물은 식 MX'n(여기서, M은 원소주기율표의 2족 내지 16족에서 선택되는 금속이고, X'는 가수분해성 M-X' 결합을 갖는 리간드 또는 이의 조합이고, n은 상기 금속의 원자가와 상기 리간드의 전하에 의해 결정된다)으로 표시될 수 있다.
추가의 측면에서, 본 발명은 유기 용매, 총 금속 함량의 약 10mole% 이상의 제1 유기 금속 조성물 및 총 금속 함량의 약 10mole% 이상의 제2 유기 금속 조성물을 포함하는 코팅 용액에 관한 것이다. 몇몇 양태에서, 상기 제1 유기 금속 조성물은 식 RzSnO(2-(z/2)-(x/2))(OH)x 또는 식 RnSnX4-n(여기서, 0 <z ≤ 2이고, 0 < (z+x) ≤ 4이고, n은 1 또는 2이고, R은 하이드로카빌 그룹이고, Sn-X는 가수분해성 화학 결합이다) 또는 이들의 혼합물로 표시될 수 있다. 상기 제2 유기 금속 조성물은 식 R'ySnX'4-y(여기서, y는 1 또는 2이고, R'는 R과는 다른 하이드로카빌 그룹이고, X'는 가수분해성 Sn-X' 결합을 갖는 리간드로서 X와 동일하거나 상이하다)로 표시될 수 있다.
다른 측면에서, 본 발명은 방사선 패턴화가능한 코팅의 형성 방법에 관한 것으로, 상기 방법은 기판 상의 전구체 코팅을 수증기에 노출시키는 것을 포함하고, 여기서 상기 전구체 코팅은 제1 유기 금속 조성물 및 제2 가수분해성 조성물을 포함한다. 상기 제1 유기 금속 조성물은 식 RzSnO(2-(z/2)-(x/2))(OH) x 또는 식 R'nSnX4-n(여기서, 0 < z ≤ 2이고, 0 < (z+x) ≤ 4이고, n은 1 또는 2이고, R 및 R'는 독립적으로 1 내지 31개의 탄소원자를 갖는 하이드로카빌 그룹이다)으로 표시될 수 있다. 상기 제2 가수분해성 조성물은 식 R"ySnX'4-y(여기서, y는 1 또는 2이고, R"는 R'와는 다르고, X'는 가수분해성 Sn-X' 결합을 갖는 리간드로서 X와 동일하거나 상이하다)로 표시되는 제2 유기 금속 조성물이거나, 무기 조성물 MLv(여기서, 2 ≤ v ≤ 6이고, L은 가수분해성 M-L 결합을 갖는 리간드로서 X 및 X'와 동일하거나 상이하다)이다. 몇몇 양태에서, 상기 노출 결과 상기 전구체 코팅 조성물이 가수분해되어 ((R 또는 R')aR"b)SnO(2-((a+b)/2)-(w/2))(OH)w(여기서, 0 < (a + b) ≤ 2이고, 0 < (a + b + w) < 4이다) 또는 y ((R 또는 R')aR"b)SnO(2-((a+b)/2)-(w/2))(OH)w·zMO((m/2)-l/2)(OH)l(여기서, 0 < (a + b) ≤ 2이고, 0 < (a + b + w) < 4이고, m은 Mm+의 공식 원자가(formal valence)이고, 0 ≤ l ≤ m이고, y/z는 (0.05 내지 0.6)이고, M은 M' 또는 Sn이고, M'는 원소주기율표의 2족 내지 16족의 비-주석 금속이다)을 포함하는 코팅을 형성한다.
추가적인 측면에서, 본 발명은 금속 탄소 결합 및 금속 산소 결합을 갖는 유기 리간드를 갖는 금속 양이온과의 옥소-하이드록소 네트워크를 포함하는 방사선 패턴화가능한 코팅의 형성 방법에 관한 것으로, 상기 방법은 주위 대기로부터 차단된 증착 챔버(deposition chamber)에, 화합물 RnSnX4-n(여기서, n은 1 또는 2이고, R은 1 내지 31개의 탄소원자를 갖는 하이드로카빌 그룹이고, X는 가수분해성 또는 산화성 리간드이다)을 포함하는 제1 전구체 증기 및 상기 증착 챔버내 조건하에 상기 제1 전구체 증기를 가수분해하거나 산화시킬 수 있는 산소 함유 화합물을 포함하는 제2 전구체 증기를 투입하여 가수분해된 또는 산화된 조성물을 형성하는 것을 포함한다. 일반적으로, 기판이 상기 가수분해된 또는 산화된 조성물을 수용하는 표면을 갖는 것으로 구성될 수 있다.
다른 측면에서, 본 발명은 표면 및 상기 표면 상의 코팅을 갖는 기판을 포함하는 코팅된 기판에 관한 것으로, 상기 코팅은 y (RzSnO(2-(z/2)-(w/2))(OH)w·zMO((m/2)-l/2)(OH)l(여기서, 0 < z ≤ 2이고, 0 < (z + w) ≤ 4이고, m은 Mm+의 공식 원자가이고, 0 ≤ l ≤ m이고, y/z는 (0.05 내지 0.6)이고, M은 M' 또는 Sn이고, M'는 원소주기율표의 2족 내지 16족의 비-주석 금속이고, R은 1 내지 31개의 탄소원자를 갖는 하이드로카빌 그룹이다)로 표시되는 유기 금속 조성물을 포함한다.
또한, 본 발명은 기판과, 약 6.125mJ/㎠ 이하의 단위겔당 선량(dose-to-gel, Dg)을 갖는 알킬 금속 옥사이드 하이드록사이드를 포함하는 방사선 민감성 코팅에 관한 것이다.
또한, 본 발명은 표면을 따라 무기 반도체층과 방사선 민감성 코팅 물질을 포함하는 기판에 관한 것이다. 몇몇 양태에서, 상기 방사선 코팅 물질은 13.5nm 파장의 EUV광으로 32nm 피치(pitch)의 16nm 라인(line) 패턴으로 패턴화되어 약 8mJ/㎠ 내지 약 25mJ/㎠의 선량(dose)으로 약 4nm 이하의 선폭 거칠기(LWR)를 갖는 16nm의 임계치수(critical dimension)를 달성할 수 있다.
본원에 기재된 개선된 전구체는 합리적인 코팅 용액 및 현장 가수분해에 기초한 패턴화가능한 코팅의 조성물에 대한 더 많은 가능성을 제공한다. 현장 가수분해는 용액 기반 처리에 대한 적절한 대체물로서 기상 증착 방법의 범위에 대한 능력을 제공한다. 방사선 민감성 리간드를 갖는 패턴화가능한 코팅의 조성물을 조절하는 능력을 통해, 보다 낮은 방사선 선량 및 양호한 패턴 품질을 갖는 개선된 패터닝을 얻을 수 있다.
도 1은 잠상이 형성된 방사선 패턴화된 구조물의 개략적인 투시도이다.
도 2는 도 1의 구조물의 측면 평면도이다.
도 3은 잠상을 현상하여 조사되지 않은(un-irradiated) 코팅 물질을 제거하고 패턴화된 구조물을 형성한 후의 도 1의 구조물의 개략적인 투시도이다.
도 4는 도 3의 패턴화된 구조물의 측면도이다.
도 5는 잠상을 현상하여 조사된 코팅 물질을 제거하고 패턴화된 구조물을 형성한 후의 도 1의 구조물의 개략적인 투시도이다.
도 6은 도 5의 패턴화된 구조물의 측면도이다.
도 7은 56mJ/㎠의 EUV 선량(EUV dose)으로 형성된 16.7nm의 라인 간격을 갖는, 기판 상에 형성된 규칙적인 패턴의 주사 전자 현미경사진(SEM)이다.
도 8은 본원에 기재된 바와 같이 현장 가수분해된 코팅된 기판에 대해 방사선 레지스트를 이용하고 계단형 선량으로 노출된 직경이 500㎛인 50개의 원형 패드로 형성된, EUV 선량의 함수로서의 노출 및 현상 후의 필름 두께의 플롯이다.
도 9는 코팅 시 현장 가수분해로 형성된 필름과 용액 기반 가수분해로 형성된 필름을 비교한 2개의 푸리에 변환 적외선(Fourier Transform Infrared, FTIR) 스펙트럼의 플롯이다.
도 10은 현장 가수분해 전에 방사선 민감성 코팅에서 3가지 상이한 양의 Sn(NMe2)4로 형성된 코팅들에 대한 선량의 함수를 포함하는 EUV 콘트라스트 커브의 플롯 세트이다
도 11은 표시된 조성물 및 조사 선량으로 형성된 5개의 패턴화된 코팅에 대한 SEM 세트이다
도 12는 16nm의 임계치수를 달성하기 위한, 13.5nm 파장의 EUV광에 의한 32nm 피치의 16nm 라인 패턴으로의 패턴화에 기초한 6개의 레지스트 조성물에 대해 크기에 대한 선량의 함수로서의 선폭 거칠기(LWR)와 상기 크기에 대한 선량의 플롯이다.
도 13은 방사선 민감성 그룹으로서 다양한 양의 메틸 리간드로 형성된 5개의 코팅에 대한 선량의 함수를 포함하는 EUV 콘트라스트 커브의 플롯 세트이다.
도 14는 3가지 상이한 양의 메틸 리간드를 갖는 코팅에 대한 조사 선량의 함수로서 공간 임계 치수의 플롯 세트이다.
도 15는 상이한 EUV 방사선 선량으로 형성된 패턴에 대한 3개의 SEM이다.
방사선 민감성 알킬-Sn 결합의 선택된 비율 및/또는 방사선 민감성 리간드를 함유하지 않는 주석 전구체의 선택된 양을 갖는 유기주석 패터닝 조성물을 이용하여 보다 낮은 방사선 선량으로 개선된 패터닝 성능을 얻을 수 있고, 상기 전구체 조성물의 현장 가용매분해(solvolysis), 예를 들어, 가수분해를 이용하여 방사선 패턴화가능한 코팅의 개선된 처리를 달성할 수 있다. 상기 방사선 패턴화가능한 코팅은 일반적으로 RzSnO(2-(z/2)-(x/2))(OH)x 조성물(여기서, 0 < z ≤ 2이고, 0 < (z+x) < 4이고, R은 방사선 민감성 알킬이다)을 포함하고, 이것은 몇몇 양태에서 전체 조성물에 대한 z 값을 변경하기 위해 선택된 양의 SnX4 전구체 화합물에 의해 형성될 때 개선된 저선량 방사선 패터닝을 나타낼 수 있다. 현장 가수분해의 사용은 용액 기반 처리를 통한 전구체 조성물의 효과적인 사용을 가능케 하고, 이것은 알킬 주석 옥소-하이드록소 조성물의 직접적인 용해 및 증착을 통해서는 달성하기가 어렵거나 불가능할 수 있다. 본원에 기재된 바와 같이, 처리는 패턴화가능한 RzSnO(2-(z/2)-(x/2))(OH)x 조성물을 형성하기 위한 현장 가수분해를 통해 개선된다. 유기주석 옥사이드 하이드록사이드 전구체를 형성하기 위해 용액 기반 처리에 대한 대안으로서 특정 전구체 코팅의 증착을 위한 증기 증착이 유용할 수 있다. 패터닝 조성물은 감소된 선량의 EUV 패터닝에 특히 유용하고, 작은 피처에 대해 낮은 선폭 거칠기를 얻을 수 있다.
화학식 RzSnO(2-(z/2)-(x/2))(OH)x(여기서, 0 < (z+x) < 4이고 z > 0이다)의 유기주석 옥사이드 하이드록사이드는, 얇은 코팅으로 증착되고 UV광, EUV광 또는 전자 빔 조사에 노출되고 적합한 용매에서 현상되는 경우, 흔히 포토레지스트로 알려져 있는 패터닝 물질로서 우수한 성능을 제공하는 것으로 밝혀졌다. 이전 연구는 유기주석 옥사이드 하이드록사이드가 우수한 방사선 흡수 및 현상 속도 콘트라스트를 제공하는 레지스트 층을 형성할 수 있는 안정한 전구체 용액의 형성을 위한 기초를 제공할 수 있다는 것을 보여주었다. 유기주석 조성물은 네가티브 레지스트 또는 포지티브 레지스트로서 효과적으로 사용된다. EUV 및 전자 빔 레지스트에 대한 이들 화합물의 효능은 본원에 참조로 도입된 미국 특허 제9,310,684 B2호(Meyer et al., 발명의 명칭: "유기 금속 용액 기반 고해상도 패터닝 조성물")에 기재되어 있다. 현재의 합성 방법들에 기초하여, 이들 화합물을 (z+x) = 4의 값으로 확장하여 0 < (z+x) ≤ 4가 되도록 하는 것이 적합해 보인다. 분지형 알킬 리간드 및 알킬 주석 옥사이드 하이드록사이드 조성물의 블렌드(blend)로 밝혀진 개선된 패터닝 성능이 본원에 참조로 도입된 미국 특허출원 제2016/0116839 A1호(Meyer et al., 발명의 명칭: "유기 금속 용액 기반 고해상도 패터닝 조성물 및 상응하는 방법")(이하, '839 출원)에 기재되어 있다.
상기 문헌들은 하나 이상의 RnSnX(4-n) 조성물(여기서, n은 1 또는 2이다)의 예비가수분해에 의해 제조된 RzSnO(2-(z/2)-(x/2))(OH)x 조성물을 함유하는 전구체 용액의 코팅, 유기주석 가수분해물(들)의 분리 및 정제, 및 적합한 용매들 또는 이들의 혼합물에서의 상기 옥사이드 하이드록사이드(들)의 용해에 의한 유기주석 옥사이드 하이드록사이드 포토레지스트 필름 증착을 기재한다. 그러나, 상기 예비가수분해된 유기주석 옥사이드 하이드록사이드의 용해 및 코팅은 하나 이상의 가수분해물의 불량한 용해도를 회피함으로써 접근가능한 리간드 아이덴티티(identity) 및 화학량론 뿐만 아니라 바람직하지 않은 오염물을 도입할 가능성을 갖는 몇몇 양태에 대한 복합 가수분해 방법에 실질적인 제한을 가질 수 있다. 또한, 가용성 레지스트 전구체 용액이 유기주석 옥사이드 하이드록사이드 전구체 조성물로 제조된다고 해도, 바람직하지 않은 용매가 필요할 수 있고, 또는 필름 형태(morphology)가 손상될 수 있다.
적합한 용매들 또는 들의 혼합물에 용해된 하나 이상의 적합한 RnSnX(4-n) 화합물(여기서, X는 가수분해성 Sn-X 결합을 갖는 리간드이다)로 이루어진 레지스트 전구체 용액의 제조에 의해 이들 제약 중 많은 것이 극복될 수 있는 것으로 밝혀졌다. 전구체 RnSnX(4-n)이 수증기와 충분히 반응성이면, 물의 존재하에 현장 -M-X 가수분해 및 축합을 수행하여 하기 일반적인 반응으로 예시되는 바와 같이 상응하는 옥사이드 하이드록사이드를 제조할 수 있다:
RnSnXx + xH2O → RnSn(OH)x + xHX
RnSn(OH)x → RnSnO(2-(n/2)-(x/2))OHx + (x/2)H2O (여기서, 0 < (x + z) ≤ 4이다). 따라서, RnSnX(4-n) 화합물을 포함하는 코팅 용액의 사용에 의해, 보다 넓은 범위의 RzSnO(2-(z/2)-(x/2))(OH)x 조성물이 포토레지스트 코팅으로서 실용적인 방식으로 형성될 수 있다. 이러한 방법에서, R-Sn 잔기는 가수분해 및 축합 공정을 통해 적어도 부분적으로 보존되고, 생성된 필름은 M-C 및 M-0 결합을 모두 갖는다.
현장 가수분해 공정의 한 양태에서, 전구체 RnSnX(4-n)을 용매에 용해시키고, 기판 상에, 선택적으로 수증기(예컨대, 습한 공기)의 존재하에, 직접 코팅시켜 코팅을 생성한 다음, 수증기의 존재하에 추가로 또는 대안적으로 더 베이킹시켜 유기주석 옥사이드 하이드록사이드 코팅을 형성한다. 따라서, 현장 가수분해를 수행하기 위해 코팅 증착 동안 및/또는 예비패터닝 베이킹 단계 동안 가수분해용 수증기가 존재할 수 있다. 추가로, 적합한 용매 중의 다수의 RnSnX(4-n) 화합물(여기서, n은 0, 1 또는 2이고, 이에 따라 예컨대 SnX4, RSnX'3, R'SnX"3 및 R"2SnX2가 되고, R, R' 및 R"는 동일하거나 상이하고, X, X' 및 X"는 동일하거나 상이하다)의 혼합에 의해, 0 < z ≤ 2 및 0 < (x + z) ≤ 4의 선택된 화학량론의 RzSnO(2-(z/2)-(x/2))(OH)x 필름이 쉽게 증착될 수 있다. 유사하게, 유기주석 옥사이드 하이드록사이드 RzSnO(2-(z/2)-(x/2))(OH)x(여기서, 0 < (x + z) < 4이고 z > 0이다)와 하나 이상의 상이한 유기주석 옥사이드 R'bSnO(2-(b/2)-(a/2))(OH)a(여기서, 0 < (a + b) < 4이고 b > 0이며, R' ≠ R이다)와의 혼합물을 포함하는 혼합된 리간드 가수분해물을 이 방법으로 유사하게 제조할 수 있다. 가수분해성 RnSnX(4-n) 및 R'bSnX(4-b) 화합물을 공통 용매들 또는 이들의 혼합물에 용해시키고, 현장 가수분해를 위해 기판 상에 스핀 코팅할 수 있다. 두 경우 모두, 적합한 분자 유기주석 전구체 화합물의 높은 용해도 및 신속한 가수분해는 표적 유기주석 옥사이드 하이드록사이드 가수분해물의 잠재적인 용해도 제한을 유리하게 회피하고 현장외(ex situ) 가수분해된 및 부분적으로 축합된 레지스트 전구체들을 단리시키기 위해 복잡하고 민감한 합성 절차들에 대한 필요성을 제거한다. 이러한 방식으로, 레지스트 전구체 제조는 상당히 간소화될 수 있고 개선된 성능의 바람직한 조성물이 가능하게 된다.
다른 양태에서, 많은 분자의 RnSnX(4-n) 화합물의 비교적 높은 증기압 및 반응도는 유기주석 옥사이드 하이드록사이드 박막 포토레지스트의 증착을 위한 증기 증착 방법의 사용을 가능하게 한다. 잠재적인 증착 방법은, 예를 들어, 물리적 증기 증착(PVD), 화학적 증기 증착(CVD), 원자층 증착(ALD) 또는 이들의 변형을 포함한다. 예를 들어, 하나 이상의 가스 RnSnX(4-n) 화합물을 반응 챔버에 도입하고, H2O 또는 그의 관련 분해 생성물과 같은 공-전구체(co-precursor)와 가스 상으로 또는 기판 표면 중 어느 하나에서 반응시킬 수 있고, 이에 따라 방사선 민감성 유기주석 옥사이드 하이드록사이드 코팅을 제조할 수 있다. 가수분해성 화합물을 후속 가수분해 반응을 이용하여 표면 상에 증착시키는 경우, 이러한 공정은 현장 가수분해에 의한 PVD로 간주될 수 있지만, 연속적인 증착 공정 중에 가수분해가 발생한다면 CVD 공정으로 간주될 수 있다. 마찬가지로, 가수분해성 전구체가 기판 상에 순차적으로 흡착되거나 화학흡착(chemisorbe)되거나 분해되고, 상응하는 유기주석 옥사이드 하이드록사이드를 증착하기 위한 다중 증착/반응 사이클을 통해 잔류 필름이 제2 반응성 전구체와 반응하면, 이것은 ALD 공정으로 간주될 수 있다. 증기 증착 방법의 이점은 감소된 레지스트 필름 결함 밀도, 개선된 두께 및 조성 균일성 뿐만 아니라 기판 토포그래피의 등각(conformal, 等角) 및 측벽(side-wall) 코팅을 포함한다.
예를 들어, 이미징 선량(imaging dose), 최종 해상도 및 선폭 거칠기(LWR)를 포함하는 유기주석 옥사이드 하이드록사이드 포토레지스트 성능은 상기 포토레지스트 코팅의 조성에 따라 좌우되는 것으로 밝혀졌다. 조성물 RzSnO(2-(z/2)-(x/2))(OH)x(여기서, 0 < (x + z) ≤ 4이고 z > 0이다)의 포토레지스트 필름에 대하여, 방사선 민감성 리간드 R의 아이덴티티 뿐만 아니라 z로 표시되는 R:Sn 화학량론은 중요한 변수이다. 일반적으로, 상기 포토레지스트 필름은 충분한 방사선 민감성 리간드 R을 포함할 수 있어서 박막은 금속 양이온(z)에 대한 방사선 민감성 리간드의 몰 농도비가 약 0.1 내지 약 2이다. 이 범위의 리간드 비율을 갖는 유기주석 옥사이드 하이드록사이드 레지스트 필름은 적합한 화학량론 내에서 z가 1 또는 2인 다수의 RnSnX(4-n) 전구체의 예비가수분해, 및 생성된 가수분해물의 코팅 용매에서의 용해에 의해 제조될 수 있는데, 이들은 용해도 및 안정성 제약 대상이다. 특정한 화학량론, 특히 0.1 < z < 1인 포토레지스트 조성물은 유리한 포토레지스트 특성을 나타내는 것으로 밝혀졌다. 그러나, z < 1인 포토레지스트 조성물의 경우, 무기 SnO(2-(x/2))(OH)x 가수분해물(z = 0)의 용해도가 유기 용매에서 일반적으로 극히 낮기 때문에 특정 유기주석 RSnX3 또는 R2SnX2 잔기와의 공동 가수분해 및 클러스터 축합에 바람직한 매우 제한된 조건이 아닌 상기한 처리 제약이 부담스러울 수 있다. 또한, 이러한 조건이 확인되고 가수분해물이 단리되고 용해되는 경우라도 전구체 용액 안정성, 화학량론, 리간드 아이덴티티 및 용매는 EUV 포토레지스트로서의 작동에 대한 바람직한 값에 대하여 불리하게 제한될 수 있다.
이들 처리 및 조성물 제약은 하나 이상의 예비가수분해된 유기주석 옥사이드 하이드록사이드를 함유하거나, 또는 물의 존재하 또는 산소 및 수소의 다른 적합한 공급원의 존재하에서 코팅하고 베이킹시킬 때 HX 부산물 휘발과 함께 실질적으로 완전한 가수분해 및 후속 축합을 겪도록 선택된 하나 이상의 RSnX3 및/또는 R2SnX2 화합물을 함유하는 전구체 코팅 용액에 쉽게 가수분해되는 SnX4 화합물을 직접 첨가함으로써 극복될 수 있다. 이러한 방식으로, 전구체 코팅 용액 및 포토레지스트 필름 둘 다에서 다수의 방사선 민감성 리간드의 아이덴티티 및 상대적 화학량론 둘 다를 이완 용액 안정성 및 용해도 제약과 단순화된 전구체 합성으로써 광범위한 총 리간드 대 금속 양이온 비율에 걸쳐 독립적으로 조절할 수 있다. 따라서, 적절하게 선택된 SnX4 조성물은 전구체 혼합물 또는 공정에 도입되어 비교가능한 조성물을 이용한 유기주석 옥사이드 하이드록사이드 증기 증착을 가능하게 할 수 있다.
M-C 및 M-0 결합 모두를 갖는 유기 금속 화합물에 내재하는 안정성 및 용해도 제약을 완화시킴으로써, 대안적인 금속 종들을 또한 MX'n(여기서, M은 2족 내지 16족 금속에서 선택된 금속 양이온이고, n은 상기 금속 양이온의 원자가 및 리간드의 전하에 의해 결정되지만, 일반적으로 3 내지 6이다) 형태의 전구체 코팅 용액 또는 반응성 가스 혼합물에 첨가할 수 있다. M ≠ Sn인 경우, X' 리간드는 동일한 제제(formulation)에 사용되는 RnSnX(4-n) 화합물의 X와 동일하거나 상이할 수 있다. 두 경우 모두, 이들 리간드 및 MX'n은 유사한 기준, 즉 H20의 존재하에서 빠르고 실질적으로 완전한 가수분해 및 상기 옥사이드 하이드록사이드 필름으로부터의 X(X') 리간드 가수분해 생성물의 확산 및 휘발에 적용된다. 이러한 방식으로 상기 유기주석 옥사이드 하이드록사이드 코팅으로 도입되는 대안적인 금속 양이온은 방사선 흡수, 필름 밀도, 금속-리간드 열분해, 바람직한 현상제의 현상 속도 또는 다른 원하는 포토레지스트 특성을 조정하는 데 유리할 수 있다.
유기주석 옥사이드 하이드록사이드 레지스트 필름에 존재하는 다수의 R-Sn 잔기의 아이덴티티 및 상대적 화학량론은 '839 출원에 기재된 바와 같이 개선된 패터닝 성능을 제공하는 것으로 이미 밝혀졌다. 상기 출원에 기재된 분지화된 알킬 리간드 및 관련된 배합 조성물은 용매에 용해된 예비가수분해된 유기주석 옥사이드 하이드록사이드 화합물을 사용하여 적어도 부분적으로 접근가능하고, 상업적 사용에 적합한 실제적인 처리와 관련하여 리간드 아이덴티티 및 화학량론에 대한 상당한 제약이 발견되었다. 이들 제약 중 다수는 가수분해물 용해도와 관련된다. n-부틸 주석 옥사이드 하이드록사이드와 같은 몇몇 모노-유기주석 가수분해물은 광 범위한 유기 용매에서 우수한 용해도를 갖지만, 모노-tert-부틸 주석 잔기의 가수분해물, 예컨대 tBuSnO(3/2-(x/2))(OH)x(여기서, 0 < x < 3이다)는 종종 유용한 용매에 불충분하게 용해되고/되거나 원하는 용액 농도가 재생 및/또는 제어되기 어렵다. '839 출원에 나타난 바와 같이, tBuSnO(3/2-(x/2))(OH)x와 메탄올의 용액 및 이로부터 유도된 용매 혼합물을 제조할 수 있지만, 메탄올의 휘발성, 발화점 및 독성은 메탄올을 반도체 제조에 사용하기에 바람직하지 않은 용매로 만든다. 또한, 낮은 최대 농도는 접근가능한 필름 두께의 범위, 혼합된 전구체 제제 및 코팅의 가능한 조성물을 제한한다. 이러한 제약은 고성능 tBuSnO(3/2-(x/2))(OH)x 포토레지스트 필름이 수증기 존재하에 4-메틸-2-펜탄올 중의 tBuSn(NEt2)3 용액의 스핀 코팅을 통해 입증되는 하기 예에서 제거된다.
유사하게, 모노메틸 주석 잔기의 가수분해물, 예컨대 MeSnO(2-(z/2)-(x/2))(OH)x의 낮은 용해도는 필름 두께, 및 제제 및 코팅의 조성물 범위를 제한한다. 그러나, 쉽게 가수분해되고 매우 가용성인 MeSnX3 화합물을 포함하는 레지스트 전구체 용액을 제조함으로써, 생성된 메틸-주석 옥사이드 하이드록사이드를 tBuSnO(3/2-(x/2))(OH)x와의 배합 제제에 포함하는 레지스트 필름이 증착되고 유리한 리소그래피 성능을 제공하는 것으로 밝혀졌다. 의미있게도, 본원에 개시된 방법 및 전구체 용액을 사용하여, 레지스트 전구체 용액 용매 제한은 실질적으로 이완되고 레지스트 필름 화학량론은 유용한 리소그래피 특성을 달성하기 위해 더욱 용이하게 조절될 수 있다. 바람직한 포토레지스트 전구체 용액 및 후속 필름 조성물은 상이한 유기 리간드(R, R', R" 등)와 유기주석 잔기의 혼합물을 서로에 대해 넓은 범위의 몰 비로 포함하고 상기 금속 양이온은 다수의 가수분해성 유기주석 화합물 RnSnX(4-n) + R'zSnX'(4-z) + R"aSnX"(4-a) + ... (여기서, 0 ≤ (n, z, a) ≤ 2이고 n, z, a 등의 적어도 하나는 > 0이다)을 혼합하여 접근할 수 있다.
대안적으로, 선택된 RnSnX(4-n) 화합물(여기서, n은 0, 1 또는 2이다)을 적절한 용매에 용해된 하나 이상의 개별적으로 합성된 유기주석 옥사이드 하이드록사이드 가수분해물을 함유하는 전구체 코팅 용액에 첨가할 수 있다. 따라서, 첨가된 RnSnX(4-n) 화합물은 수증기 또는 하이드록사이드 잔기에 노출 시 가수분해될 수 있고, 코팅 및 베이킹 단계 동안 초기 유기주석 옥사이드 하이드록사이드가 축합되어 전구체 코팅 용액 중의 원래 전구체 화합물의 화학량론에 의해 결정되는 알킬 리간드 대 금속 비율을 갖는 코팅을 형성한다.
전술한 화합물들에서 가수분해성 Sn-X 결합의 리간드(X)의 선택이 용매화(solvation) 효능, 코팅 및 성공적인 현장 가수분해를 위해 중요하다. 적절한 리간드는 루이스산의 부재하에 Sn과 안정한 결합을 형성해야 하고 산성 양성자와 빠르게 반응하여 축합 옥사이드 하이드록사이드 필름으로부터 쉽게 탈착 또는 휘발되는 종을 생성하는 일반적으로 강한 친핵체(nucleophile)여야 하는데, 이로써 보이드(void), 도메인 분리(domain segregation) 또는 기타 불균등성(inhomogeneity)이 저하된다. RnSnX(4-n) 화합물의 경우, X는 단일 고유 리간드일 수 있지만, 특정 양태에서는 다수의 상이한 리간드의 조합, 예컨대 RnSnX1 aX2 bX3 cX4 d(여기서, a + b + c + d - n = 4이고, 0 ≤ n ≤ 2이다)를 지칭할 수 있다. 이러한 유형의 화합물의 예는 tBuSn(NEt2)2(OtBu), tBuSn(NEt2) (NH2)(OtBu), tBuSn(NEt2)(OtBu)2, MeSn(NEt2)(OtBu)2, MeSn(NEt2)2(OtBu), (tBu)2Sn(NEt2)(OtBu), Me2Sn(NEt2)(OtBu), (Me)(tBu)Sn(NEt2)2, (Me)(tBu)Sn(NEt2)(OtBu), (iPr)(tBu)Sn(NMe2)(OtBu) 및 이들의 혼합물이다.
입체(운동) 및 정전기적(열역학적) 효과 면에서 가수분해 또는 가용매분해에 대해 주어진 Sn-X 잔기의 반응성은 금속 주위의 총 리간드 환경에 의해 변형될 것이기 때문에, -X 리간드(들)의 선택은 하이드로카빌 리간드 R의 아이덴티티, 다른 가수분해성 리간드 및 화학량론 비율인 R:Sn에 의해 부분적으로 결정될 수 있다.
하나 이상의 RnSnX(4-n) 화합물(여기서, -X는 단쇄 지방족 디알킬아미드 -NR'2 또는 알콕사이드 -OR' 리간드이고, R'는 10개 미만의 탄소원자를 함유한다)을 포함하는 제제가 이러한 용도에 특히 적합한 것으로 밝혀졌다. 코팅 및 베이킹 공정 동안 대기 습기에 노출될 때, 이들 물질은 신속하게 가수분해되고, 전술한 바와 같이 다른 유기주석 전구체 성분과 축합되어 휘발성 디알킬아민 및 알콜을 방출시키고,우수한 포토레지스트 성능을 갖는 유기주석 옥사이드 하이드록사이드를 형성한다. 이러한 유형의 다른 유용한 리간드는 아미도, 알킬아미도, 디알킬아미도, 알콕소, 아릴옥소, 아지도, 이미도 및 당업계의 기술자에게 알려진 다른 것들을 포함한다.
몇몇 양태에서, 알콜과 같은 극성 용매에서의 유기주석 디알킬아미드의 용해와 같이, 상기 주석 전구체 화합물은 상기 용매와 반응할 수 있다. 상기 용매가 알콜인 경우의 가알콜분해와 같은 가용매분해 또는 유사한 반응을 통해 전체 또는 부분적 리간드 치환(metathesis)이 아래 반응식으로 예시된 바와 같이 일어날 수 있다.
RnSn(NR'2)(4-n) + (4-n)R"OH → RnSn(OR")(4-n) + (4-n)HNR'2 (2)
위의 반응식 (2)에서의 이러한 가용매분해 및 치환 반응은 예상되고 허용가능할 뿐만 아니라, 잠재적으로 더욱 유리하게는 물 반응성, 가수분해 부산물 휘발성, 확산성에 대한 필수 특성을 갖고 적절히 습한 환경에서의 코팅 및 베이킹 시 적절한 옥사이드 하이드록사이드 필름을 생성하는 본원에서 논의된 다른 속성을 갖는 제품 주석종(예컨대 주석(IV) 알콕사이드 RnSn(OR")(4-n))을 제공한다.
전구체 조성물
레지스트 코팅을 형성하기 위한 전구체 조성물은 일반적으로 적절한 방사선 민감성 하이드로카빌 안정화 리간드 및 처리를 위해 선택된 Sn에 대한 가수분해성 결합을 갖는 추가의 리간드를 갖는 주석 양이온을 포함한다. 패턴화가능한 코팅으로 처리하기 위해, 전구체 조성물은 일반적으로 용매, 일반적으로 용액 코팅 또는 기상 증착 공정을 통해 코팅층으로 형성될 수 있는 유기 용매를 포함하는 용액으로 형성된다. 최종 레지스트 코팅은 금속 산화물 화학에 기초하고, 알킬 리간드와의 주석 양이온의 전구체 용액은 양호한 레지스트 특성을 갖는 안정한 용액을 제공한다. 전구체 용액의 리간드는 일반적으로 용액 형성 및 관련 처리 기능을 용이하게 하도록 선택된다. 전술한 바와 같이, Sn과의 가수분해성 결합을 갖는 리간드를 갖는 전구체 조성물은 전구체 용액에 도입하여 후속 가수분해가 유기주석 옥사이드 하이드록사이드 물질을 이용한 패턴화가능한 코팅을 제공할 수 있다는 기대로 안정한 용액으로 형성될 수 있는 조성물의 범위를 개선시킨다. 일반적으로 하나 이상의 분지형 알킬 리간드를 갖는 알킬 리간드의 블렌드를 함유하는 조성물이 원하는 패터닝 특성을 제공하는 것으로 밝혀졌다.
상기 알킬 리간드는 방사선 민감도를 제공하고, 금속에 대한 리간드와 화학량론의 특별한 선택은 방사선 민감도에 영향을 미칠 수 있다. 또한, 금속 양이온 뿐만 아니라 관련된 리간드의 선택을 기초로 하여 선택된 방사선 에너지에 대한 원하는 수준의 방사선 흡수를 달성하기 위해 전구체 용액을 설계할 수 있다. 본원에 기재된 개선된 처리에 적합한 전구체 조성물 범위의 중요한 상세사항을 위에 논의하였지만, 현장 가수분해를 위한 아릴주석 아미도/알콕시 전구체 조성물의 사용에 대한 보다 상세한 내용을 개시한다. 위에서 언급한 바와 같이, 양호한 가공성을 갖는 바람직한 용매에서 방사선 민감성 코팅으로 개선된 용해도를 제공할 수 있는 다양한 화합물을 개시한다. 방사선 패턴화가능한 코팅을 형성하기 위해, 일부 증기 가수분해/산화 반응물과 함께 적어도 일부 현장 가수분해를 포함하는 새로운 부류의 전구체를 통해 광범위한 전구체 조작(engineering)이 가능하다.
일반적으로, 전구체 용액은 다음을 포함할 수 있다:
a1 R1z1SnO(3/2-z1/2-x1/2)(OH)x1 + a2 R2z2SnO(3/2-z2/2-x2/2)(OH)x2 + ... + b1 R1' y1SnX1 4-y1 + b2 R2' y2SnX2 4-y2 + ... + c1SnX1' 4 + c2SnX2' 4 + ... + d1M1X1" n1, d2M2X2" n2 + ... (1)
여기서, a1+a2+...+b1+b2+...c1+c2+...d1+d2+...은 1이고, 즉 이들 변수는 상기 용액 중 전구체 조성물의 금속의 몰 분율이고; (0 ≤ (a1, a2,...) ≤ 0.99), (0 ≤ (b1, b2,...) ≤ 1), (0 ≤ (c1, c2,...) ≤ 0.6), (0 ≤ (d1, d2,...) ≤ 0.5)이며, 0.01 < (b1+b2+...+c1+c2+...)이고; R(R1, R2,...) 및 R'(R1', R2',...)는 독립적으로 하이드로카빌 그룹 또는 이의 조합이고; X(X1, X2,...), X'(X1', X2',...) 및 X"(X1", X2",...)는 독립적으로 관련 금속에 대한 가수분해성 결합을 갖는 리간드 또는 이의 조합이고; M1, M2,...는 비-주석 금속 이온이고; (0 < (x1, x2,...) < 3), (0 < (z1, z2,...) ≤ 2), (1 ≤ (y1, y2,...) ≤ 3)이고; n1, n2,...는 M1, M2,... 이온의 원자가 및 X1", X2",...의 전하에 의해 결정된다. 일반적으로, M은 2족 내지 16족 금속이고, 다수의 금속에서 n은 2 내지 6이다. M으로 바람직한 금속은 Hf, Zr, W, Ta, Co, Ni, In, Sb, Bi, Te 등이다. 대표적인 적합한 ML"n 화합물은, 예를 들어, Zr(OtBu)4, Hf(NMe)4, In(OiPr)3 및 Sb(OEt)3을 포함하며, 이들은 시그마-알드리치(Sigma-Aldrich), 알파 애서(Alfa Aesar), 젤레스트(Gelest), 스트렘 케미컬(Strem Chemical) 및 기타 공급회사에서 시판중이다. 몇몇 양태에서, 모든 "a" 매개변수값은 0이어서 모든 리간드가 현장 가수분해된다. 추가의 양태에서, 0 ≤ (a1, a2,...) ≤ 0.90 또는 0.2 ≤ (a1, a2,...) ≤ 0.85 또는 0.25 ≤ (a1, a2,...) ≤ 0.75이다. 몇몇 양태에서, 0.25 ≤ (b1, b2,...) ≤ 1 또는 0.3 ≤ (b1, b2,...) ≤ 0.95 또는 0.35 ≤ (b1, b2,...) ≤ 0.9이다. 추가 양태에서, 0 ≤ (c1, c2,...) ≤ 0.4 또는 0.025 ≤ (c1, c2,...) ≤ 0.4 또는 0.05 ≤ (c1, c2,...) ≤ 0.35 또는 0.1 ≤ (c1, c2,...) ≤ 0.3이고, 0 ≤ (d1, d2,...) ≤ 0.5 또는 0.025 ≤ (d1, d2,...) ≤ 0.4 또는 0.05 ≤ (d1, d2,...) ≤ 0.3이다. 당업계의 기술자는 상기 명시된 범위 내의 매개변수 "a", "b", "c" 및 "d"의 추가 범위가 고려되고, 이는 본 발명의 범위 내에 있다는 것을 인식할 것이다. 본원에 사용된 부호 "<" 및 "≤"는 실험 오차 내의 "약" 특정 값인 상응하는 범위 제한의 개념을 내포한다.
요약하면, 전구체 조성물은 방사선 민감도를 제공하기 위해 금속에 대한 가수분해성 결합을 갖는 리간드를 적어도 하나 갖고 하이드로카빌 리간드를 적어도 하나 갖는 화합물을 하나 이상 포함할 수 있다. 상기 조성물은 일반적으로 아래 섹션에 기재된 바와 같이 전구체 용액의 형성에 적합한 유기 용매를 이용하여 가공할 수 있도록 조작된다. 상기 전구체는 일반적으로 바람직한 패터닝 특성 뿐만 아니라 양호한 가공성을 제공하도록 조작다.
몇몇 양태에서, 전구체 조성물은 상이한 하이드로카빌 리간드를 갖는 2개의 유기주석 화합물의 혼합물, 상이한 하이드로카빌 리간드를 갖는 3개의 유기주석 화합물의 혼합물, 또는 상이한 하이드로카빌 리간드를 갖는 3개가 넘는 유기주석 화합물의 혼합물을 포함할 수 있다. 또한, 전구체 조성물은 금속-탄소 결합을 갖지 않는 화합물과 금속-탄소 결합을 갖는 방사선 민감성 알킬 리간드를 갖는 하나 이상의 화합물과의 혼합물을 포함할 수 있다. 일반적으로, 2성분 또는 3성분 혼합물의 경우, 해당 혼합물은 별개의 하이드로카빌 리간드를 갖는 각각의 성분을 적어도 약 5mole% 포함하고, 몇몇 양태에서는 상기 성분을 적어도 약 10mole% 포함하며, 추가의 양태에서는 상기 성분을 적어도 약 20mole% 포함한다. 당업계의 기술자는 상기 명시된 범위 내에서 성분들의 mole%의 추가 범위가 고려되고, 이는 본 발명의 범위 내에 있다는 것을 인식할 것이다.
몇몇 양태에서, 전구체 조성물은 하이드로카빌 리간드를 갖는 R-Sn 잔기의 혼합물과, 금속에 직접 결합된 알킬 리간드를 갖지 않는 유기 금속 SnX4 또는 MXn 화합물을 포함한다. 일반적으로, 이들 혼합물은 각각의 금속 성분을 적어도 약 0.5mole% 포함하고, 몇몇 양태에서는 상기 성분을 적어도 약 5mole% 포함하며, 추가의 양태에서는 상기 성분을 적어도 약 10mole% 포함하다. 당업계의 기술자는 상기 명시된 범위 내에서 혼합물 성분들의 추가 범위가 고려되고, 이는 본 발명의 범위 내에 있다는 것을 인식할 것이다. 전구체 조성물의 성분들은 용액으로 배합될 수 있고, 예컨대 코팅 형성 전에 고체 혼합물로서 별도로 형성되지 않는다.
하나 또는 다수의 별개의 하이드로카빌 리간드가 존재하는지에 상관없이, R 그룹은 선형, 분지형(즉, 금속 결합된 탄소원자에서의 2차 또는 3차) 또는 사이클릭 하이드로카빌 그룹일 수 있다. 일반적으로 각각의 R 그룹은 개별적으로 1 내지 31개의 탄소원자를 갖는데, 2차 결합 탄소원자의 경우는 3 내지 31개의 탄소원자를 갖고 3차 결합 탄소원자의 경우는 4 내지 31개의 탄소원자를 가지며, 이의 예로는 메틸, 에틸, 프로필, 부틸 및 분지형 알킬이 있다. 특히, 분지형 알킬 리간드는 화합물이 R1R2R3CSnX3(여기서, R1 및 R2는 독립적으로 1 내지 10개의 탄소원자를 갖는 알킬 그룹이고, R3은 수소 또는 1 내지 10개의 탄소원자를 갖는 알킬 그룹이다)에 의해 다른 표현으로 표현될 수 있는 경우 바람직하다. 몇몇 양태에서, R1 및 R2는 사이클릭 알킬 잔기를 형성할 수 있고 R3은 사이클릭 잔기의 다른 그룹을 연결할 수 있다. 적합한 분지형 알킬 리간드는, 예를 들어, 이소프로필(R1 및 R2는 메틸이고, R3은 수소임), tert-부틸(R1, R2 및 R3은 메틸임), tert-아밀(R1 및 R2는 메틸이고, R3은 -CHCH3임), sec-부틸(R1은 메틸이고, R2는 -CHCH3이고, R3은 수소임), 사이클로헥실, 사이클로펜틸, 사이클로부틸 및 사이클로프로필일 수 있다. 적합한 사이클릭 그룹의 예는, 예를 들어, 1-아다만틸(3차 탄소에서 금속에 결합된 -C(CH2)3(CH)3(CH2)3 또는 트리사이클로(3.3.1.13,7)데칸) 및 2-아다만틸(2차 탄소에서 금속에 결합된 -CH(CH2)(CH2)4(CH)2(CH2) 또는 트리사이클로(3.3.1.13,7) 데칸)을 포함한다. 다른 양태에서, 하이드로카빌 그룹은 아릴 또는 알케닐 그룹, 예를 들어, 벤질, 알릴, 또는 알키닐 그룹을 포함할 수 있다. 다른 양태에서, 하이드로카빌 리간드 R은 C 및 H만으로 이루어지고 1 내지 31개의 탄소원자를 함유하는 임의의 그룹을 포함할 수 있다. 예로서 선형 또는 분지형 알킬(iPr, tBu, Me, nBu), 사이클로알킬(사이클로프로필, 사이클로부틸, 사이클로펜틸), 올레핀(알케닐, 아릴, 알릴) 또는 알키닐 그룹, 또는 이들의 조합을 들 수 있다. 추가의 양태에서, 적합한 R 그룹은 시아노, 티오, 실릴, 에테르, 케토, 에스테르 또는 할로겐화 그룹, 또는 이들의 조합을 포함하는 헤테로원자 작용기로 치환된 하이드로카빌 그룹을 포함할 수 있다.
바람직한 리간드 구조를 갖는 몇몇 적합한 금속 조성물을 알파 애서(Alfa Aesar, MA, USA) 및 TCI 아메리카(TCI America, OR, USA)와 같은 상업적 공급처에서 구입할 수 있고, 다른 금속-리간드 조성물은 아래에 기재한 바와 같이 합성할 수 있다. 낮은 금속 오염 전구체 조성물은 적절히 낮은 오염된 출발 물질 및 적절한 정제의 사용에 기초하여 본원에 기재된 방법을 사용하여 합성할 수 있다.
분지된 알킬 리간드를 갖는 전구체 화합물을 사용하여 바람직한 패터닝 결과가 얻어졌다. 이러한 리간드 선택의 이점은 혼합된 알킬 리간드의 사용을 통해 달성되었고, 서로 다른 리간드에 의해 부여되는 선량(dose) 및 선폭 거칠기와 같은 개별적인 유리한 패터닝 특성들이 본원의 교시를 통해, 제공된 실시예에 예시된 바와 같은 다수의 알킬 리간드의 혼합을 통해 수득될 수 있다. 현장 가수분해된 전구체들을 이용한 처리는 바람직한 용매들에 기초하여 전구체 용액에서 메틸 리간드를 갖는 주석 화합물의 효과적인 사용을 제공한다. tert-부틸 리간드와 메틸 리간드의 혼합물 뿐만 아니라 t-부틸 리간드를 갖는 가수분해성 화합물과 가수분해성 SnX4 화합물(X = NMe2- 또는 X = OtBu)의 혼합물을 포함하는 전구체를 이용한 효과적인 패터닝은 하기 실시예에 기재되어 있다.
상기 방사선 경화 선량은 각각의 개별 전구체 화합물에 대한 방사선 선량에 기초하여 상이한 알킬 리간드와 전구체 화합물의 혼합물에 대해 대략 선형으로 조정될 수 있다는 것이 밝혀졌다. 분지형 알킬 리간드와 함께 사용될 수 있는 보다 낮은 방사선 선량으로 인해, 일반적으로 상기 혼합물이 적어도 하나의 분지된 유기 리간드를 포함하는 것이 바람직하다. 이에 부응하여, 상이한 유기 리간드를 갖는 전구체 화합물의 혼합물로 선폭 거칠기가 개선될 수 있다는 것을 발견하였다. 이론으로 제한하고자 하는 것은 아니지만, 상기 혼합물 조성물에 대해 관찰되는 개선된 선폭 거칠기 값은 패턴의 콘트라스트를 크게 감소시키지 않으면서 상기 혼합물 조성물에 대한 에칭 촉진으로 인한 것일 수 있다. 이러한 상황에서, 분지형 또는 비분지형 알킬을 갖는 유기주석 화합물의 조합을 함유하는 혼합 조성물로 관측을 연장할 수 있다.
X, X' 및 X" 리간드는 일반적으로 루이스 염기로서, 이는 M-X, M-X' 및 M-X" 결합의 가수분해 또는 가용매분해를 통해 물 또는 다른 루이스산의 산성 양성자와 적합하게 반응하여 쉽게 휘발되는 생성물을 형성할 수 있다. 대안적으로, 이들 리간드는 산화 또는 환원 반응을 통해 적절한 시약과 반응하여 쉽게 휘발되는 생성물을 형성할 수 있다. 리간드는 일반적으로 그의 공액산의 산 해리 상수(pKa)로 분류될 수 있는데, 몇몇 양태에 바람직한 리간드는 약 4보다 큰 공액산 pKa를 갖는다. 따라서, X, X' 및 X"는 일반적으로 금속, 예를 들어, 주석에 결합하는 원자를 포함하고 H2O 및 -OH를 포함하는 친핵성 치환을 겪을 수 있다. 이후 생성된 M-OH 또는 M-OH2 리간드는 후속 축합 또는 탈수 단계를 통해 반응하여 옥사이드-하이드록사이드 네트워크를 형성할 수 있다.
적합한 리간드는 알킬아미도 또는 디알킬아미도(-NR1R2, 여기서 R1 및 R2는 독립적으로 1 내지 10개의 탄소원자를 갖는 탄화수소 그룹 또는 수소이다), 실옥소(-OSiR1R2R3, 여기서 R1 및 R2는 독립적으로 1 내지 10개의 탄소원자를 갖는 탄화수소 그룹이다), 실릴아미도(-N(SiR1 3)(R2), 여기서 R1 및 R2는 독립적으로 1 내지 10개의 탄소원자를 갖는 탄화수소 그룹이다), 디실릴아미도(-N(SiR1 3)(SiR2 3), 여기서 R1 및 R2는 독립적으로 1 내지 10개의 탄소원자를 갖는 탄화수소 그룹이다), 알콕소 및 아릴옥소(-OR, 여기서 R은 1 내지 10개의 탄소원자를 갖는 알킬 또는 아릴 그룹이다), 아지도(-N3), 알킨니도(alkynido)(-C≡CR, 여기서 R은 1 내지 9개의 탄소원자를 갖는 탄화수소 그룹이다), 아미데이토(amidato)(-NR1(COR2), 여기서 R1 및 R2는 독립적으로 1 내지 7개의 탄소원자를 갖는 탄화수소 그룹 또는 수소이다), 아미디네이토(amidinato)(-NR1C(NR2)R3, 여기서 R1 및 R2는 독립적으로 1 내지 8개의 탄소원자를 갖는 탄화수소 그룹 또는 수소이다), 이미도(-N(COR1)(COR2), 여기서 R1 및 R2는 독립적으로 1 내지 8개의 탄소원자를 갖는 탄화수소 그룹 또는 수소이다), 또는 이들의 불소화 유사체를 포함한다.
무기 또는 유기 금속 물질 중의 금속은 방사선 흡수에 크게 영향을 줄 수 있다. 주석은 13.5nm에서 극자외선광의 강한 흡수를 제공한다. 알킬 리간드와의 조합으로, 금속은 또한 193nm 파장에서 자외선광의 강한 흡수를 제공한다. 주석은 또한 전자 빔 방사선의 양호한 흡수를 제공한다. 흡수된 에너지는 금속-유기 상호작용에 의해 조절되는데, 이는 금속-리간드 결합의 파열 및 재료 특성에 대한 원하는 제어를 제공할 수 있다. 그럼에도 불구하고, 다른 금속 조성물이 흡수 특성 및 전체 레지스트 성능에 더 영향을 주기 위해 도입될 수 있다. 전술한 바와 같이, 다른 비-주석 금속이 일반적으로 MXn(여기서, X는 금속에 대한 가수분해성 결합을 갖는 리간드이다)으로서 도입된다.
금속에 대한 가수분해성 결합을 갖는 리간드를 갖는 전구체 화합물의 사용은 현장 가수분해가 한정된 가수분해 생성물을 생산하는데 필요한 많은 합성 및 분리 단계를 회피하기 때문에 전구체 용액의 제조를 단순화할 수 있다. 특히, 유기주석 옥사이드 하이드록사이드 가수분해물의 용액 상 가수분해와 후속 축합 및 분리는 반응 동안 상당한 용해도 변화를 수반할 수 있어서 이러한 용액 기반 단계를 피하는 것은 잠재적으로 어려운 처리 단계를 회피한다. 본 발명의 전구체 조성물의 성분이 개별적으로 가수분해된 성분을 포함할 정도까지, 이러한 특정 성분은 '839 출원에 기재된 바와 같이, 염기 촉매화된 수용액을 사용하는 것과 같은 용액 기반 가수분해를 이용하여 얻어질 수 있다. 금속에 대한 가수분해성 결합을 갖는 리간드를 갖는 성분은 일반적으로 구매할 수 있거나, 적합한 출발 물질, 예를 들어, 주석 할라이드 조성물 또는 테트라키스(디알킬아미도)주석 조성물로부터 합성할 수 있다.
전구체 용액 형성 및 코팅 특성
전구체 용액의 범위는 이전 섹션에서 설명한 조성물에 기초하여 만들 수 있다. 전구체 조성물은 일반적으로 어느 정도의 가수분해적으로 민감한 금속-리간드 결합을 포함하는 공통성을 갖는다. 충분한 증기압을 갖는 전구체 화합물의 경우, 가수분해는 코팅 시 또는 기상 증착 공정의 일부로서 대안으로 현장에서 수행할 수 있다. 용액 증착을 위한 전구체 용액은 일반적으로 유기 용매에 주석 양이온 및 선택적으로 하나 이상의 비-주석 금속 양이온을 포함한다.
용액 내의 리간드 안정화된 금속 양이온의 농도는 스핀 코팅(spin coating), 슬롯 코팅(slot coating), 딥 코팅(dip coating), 스프레이(spray) 또는 에어로졸 코팅(aerosol coating), 또는 프린팅(printing)과 같은 특정 용액 증착 방법에 적합한 용액 특성을 제공하도록 선택될 수 있고, 적어도 부분적인 용매 제거 시 코팅 조성물을 형성하고 궁극적으로 조사 및/또는 열처리, 플라즈마 노출 또는 유사한 처리 시 주석 옥사이드에 의해 지배되는 무기 고체를 형성하도록 설계된다.
알킬 안정화 리간드 및 유기 용매에 기초한 전구체 용액으로는, 코팅 및/또는 코팅 후 가수분해 및 축합 동안 주위 수증기를 이용한 가수분해 및 축합 반응을 통해 먼저 코팅 물질로, 이어서 유기 리간드를 갖는 최종 금속 옥사이드 조성물로 상기 용액을 처리하기 위한 절차의 일부로서 상기 옥사이드에 대한 진행을 제어할 수 있다. 본원에 기술된 바와 같이, 알킬 리간드, 특히 분지형 알킬 리간드 및/또는 금속에 대한 특정 화학량론 내의 알킬 리간드의 조합은 유효 방사선 레지스트 조성물에 대한 용액의 처리에 상당한 제어를 제공하는 데 사용될 수 있다. 알코올계 용매로 처리하는 것은 금속에 대한 가수분해성 결합을 갖는 초기 리간드를 알콜로부터의 알콕시 리간드로 부분적으로 또는 완전히 치환하는 것을 수반할 수 있는데, 이러한 치환은 임의의 중요한 방식으로 다운스트림 처리를 변경하지 않을 수 있다.
전구체 용액 농도는 주석 이온 몰 농도에 기초하여 편리하게 지정할 수 있고 임의의 다른 금속의 농도는 주석에 대한 상기 금속의 몰 분율 값을 통해 상응하게 지정할 수 있다. 상기 전구체 용액 중에 0.0025M 내지 1.5M의 주석이 존재할 수 있다. 일반적으로, 전구체 용액은 약 0.005M 내지 약 1.4M의 주석 양이온을 포함하고, 또 다른 양태에서는 약 0.02M 내지 약 1.2M의 주석 양이온을 포함하고, 추가 양태에서는 약 0.1M 내지 약 1.0M의 주석 양이온을 포함한다. 전구체 용액 중의 총 비-주석 금속은 일반적으로 총 금속 이온의 약 0.025mole% 내지 약 10mole%의 범위일 수 있고, 또 다른 양태에서는 총 금속 이온의 약 10mole% 내지 약 50mole%일 수 있다. 당업계의 기술자는 상기 명시된 범위 내에서 주석 양이온의 추가 범위가 고려되고, 이는 본 발명의 범위 내에 있다는 것을 인식할 것이다.
일반적으로, 바람직한 가수분해물 화합물은 유기 용매, 예를 들어, 알콜, 방향족 및 지방족 탄화수소, 에스테르 또는 이들의 조합에 용해될 수 있다. 특히, 적합한 용매는, 예를 들어, 방향족 화합물(예를 들어, 크실렌, 톨루엔), 에테르(아니솔, 테트라하이드로퓨란), 에스테르(프로필렌 글리콜 모노메틸 에테르 아세테이트, 에틸 아세테이트, 에틸 락테이트), 알콜(예를 들어, 4-메틸-2-프로판올, 1-부탄올, 메탄올, 이소프로필 알콜, 1-프로판올), 케톤(예를 들어, 메틸 에틸 케톤), 이들의 혼합물 등을 포함한다. 일반적으로, 유기 용매 선택은 용해도 파라미터,휘발성, 가연성, 독성, 점도 및 다른 가공 재료와의 잠재적인 화학적 상호작용에 의해 영향을 받을 수 있다. 상기 용액의 성분을 용해시키고 배합한 후, 종들의 특성은 부분적 현장 가수분해, 수화 및/또는 축합의 결과로서 변할 수 있다. 용액 조성물이 본원에 언급되는 경우, 상기 언급은 상기 용액에 추가된 성분에 관한 것인데, 이는 복합 제제가 가용매분해 및 리간드 치환(metathesis)을 겪을 수 있거나 잘 특성화되지 않을 수 있는 용액 중의 금속 다핵 종을 생산할 수 있기 때문이다. 특정 용도에 있어서, 유기 용매가 약 10℃ 이하의 인화점, 다른 양태에서는 약 20℃ 이하의 인화점, 또 다른 양태에서는 약 25℃ 이하의 인화점을 갖는 것이 바람직하며, 20℃에서 약 10kPa 이하의 증기압, 몇몇 양태에서는 약 8kPa 이하의 증기압, 또 다른 양태에서는 약 6kPa 이하의 증기압을 갖는 것이 바람직하다. 당업계의 기술자는 상기 명시된 범위 내에서 인화점 및 증기압의 추가 범위가 고려되고, 이는 본 발명의 범위 내에 있다는 것을 인식할 것이다.
전구체 용액 내의 종의 농도는 상기 용액의 원하는 물리적 특성을 달성하도록 선택될 수 있다. 특히, 전체적으로 보다 낮은 농도는 스핀 코팅과 같은 특정 코팅 방법에 대한 상기 용액의 바람직한 특성을 제공할 수 있는데, 이로써 적절한 코팅 파라미터를 이용하여 보다 얇은 코팅을 달성할 수 있다. 더 얇은 코팅을 사용하여 초미세 패터닝을 달성할 뿐만 아니라 재료 비용을 감소시키는 것이 바람직할 수 있다. 일반적으로, 상기 농도는 선택된 코팅 방법에 적합하도록 선택될 수 있다. 코팅 특성은 아래에 추가로 설명한다.
일반적으로, 전구체 용액은 형성되는 소재의 체적에 적합한 적절한 혼합장치를 이용하여 잘 혼합할 수 있다. 적합한 여과는 임의의 오염물 또는 적절하게 용해되지 않는 다른 성분을 제거하기 위해 사용할 수 있다. 몇몇 양태에서, 배합물로부터 전구체 용액을 형성하도록 배합될 수 있는 별도의 용액을 형성하는 것이 바람직할 수 있다. 구체적으로, 하나 이상의 상기 화학식(1)로 표시되는 화합물 중 하나 이상을 포함하는 별도의 용액을 형성할 수 있다. 일반적으로, 상기 별도의 용액 또는 상기 배합된 용액은 잘 혼합될 수 있다. 생성된 용액은 안정화된 금속 양이온 용액으로 지칭할 수 있다.
전구체 용액의 안정성은 초기 용액에 대한 변화에 대해 평가할 수 있다. 구체적으로, 상 분리가 큰 졸(sol) 입자의 생성으로 발생하는 경우 또는 상기 용액이 원하는 패턴 형성을 수행할 수 있는 능력을 상실하는 경우, 그 용액은 손실 안정성을 갖는다. 본원에 기재된 개선된 안정화 방법에 기초하여, 상기 용액은 추가적인 혼합 없이 적어도 약 1주 동안, 다른 양태에서는 적어도 약 2주 동안, 또 다른 양태에서는 적어도 약 4주 동안 안정할 수 있다. 당업계의 기술자는 안정화 시간들의 추가 범위가 고려되고, 이는 본 발명의 범위 내에 있다는 것을 인식할 것이다. 적합한 용액은 일반적으로 그 용액이 적절한 저장 수명으로 상업적으로 보급될 수 있는 충분한 안정화 시간을 갖도록 제형화될 수 있다.
본원에 기재된 바와 같이, 금속 오염의 감소를 제공하는 처리 방법이 개발되었다. 따라서, 매우 낮은 수준의 비-주석 금속을 갖는 전구체 용액을 만들 수 있다. 일반적으로, 의도하지 않은 금속 농도는 모두 개별적으로 약 1중량ppm(parts per million) 이하, 다른 양태에서는 약 200중량ppb(parts per billion) 이하, 추가의 양태에서는 약 50ppb 이하, 또 다른 양태에서는 약 10ppb 이하의 값으로 감소될 수 있다. 몇몇 양태에서, 처리에 영향을 미치는 다른 금속 원소들을 추가하는 것이 바람직할 수 있고, 일반적으로 이것은 적어도 약 1중량%, 몇몇 양태에서는 적어도 약 2중량%의 수준일 수 있고, 필요에 따라 오염 금속과 구별될 수 있다. 특히 감소되는 금속 오염물은 알칼리 금속 및 알칼리 토금속, Au, Ag, Cu, Fe, Pd, Pt, Co, Mn 및 Ni를 포함한다. 당업계의 기술자는 상기 명시된 수준 내에서 금속 수준의 추가 범위가 고려되고, 이는 본 발명의 범위 내에 있다는 것을 인식할 것이다.
금속 오염이 적은 전구체 용액 및 코팅을 생성하기 위한 이전의 노력은 '839 출원에 기술되어 있다. 가수분해를 위해 수증기를 사용하면, 오염물이 적은 주석 조성물에 기초한 오염이 적은 패턴화가능한 코팅을 효과적으로 더 형성할 수 있는 금속 오염물을 실질적으로 함유하지 않는 가수분해 반응물을 제공한다. 금속 오염이 적은 적합한 출발 물질은 상업적으로 또는 정제를 통해 얻을 수 있다.
현장에서의 코팅 처리 및 가수분해
코팅 물질은 선택된 기판 상에 전구체 용액의 증착 및 후속 처리를 통해 형성될 수 있다. 본원에 기재된 전구체 용액을 사용하여, 일부 가수분해 및 축합을 코팅 중에 수행하고, 종결하거나 코팅 후에 공기 중 가열과 같은 후속 처리 단계를 거쳐 더 진행할 수 있다. 기판은 일반적으로 코팅 물질이 증착될 수 있는 표면을 제공하고, 상기 기판은 상기 표면이 최상층(upper most layer)인 것인 복수의 층을 포함할 수 있다. 몇몇 양태에서, 기판 표면은 코팅 물질의 접착을 위한 표면을 준비하기 위해 처리될 수 있다. 또한, 상기 표면은 적절하게 세척 및/또는 평활화될 수 있다. 적합한 기판 표면은 임의의 적절한 재료를 포함할 수 있다. 특정 관심대상인 몇몇 기판은 기판의 표면에 걸쳐 및/또는 층 전체에, 예를 들어, 실리콘 웨이퍼, 실리카 기판, 세라믹 재료와 같은 다른 무기 재료, 유기 중합체와 같은 중합체 기판, 이들의 복합물 및 이들의 조합을 포함한다. 임의의 적정한 형상의 구조를 사용할 수 있지만, 비교적 얇은 원통형 구조와 같은 웨이퍼가 편리할 수 있다. 중합체 기판 또는 비중합체 구조 상에 중합체층을 갖는 기판이 저비용 및 유연성에 기초하여 특정 적용에 바람직할 수 있고, 본원에 기재된 패턴화가능한 물질의 처리를 위해 사용될 수 있는 비교적 낮은 처리 온도에 기초하여 적합한 중합체를 선택할 수 있다. 적합한 중합체는, 예를 들어, 폴리카보네이트, 폴리이미드, 폴리에스테르, 폴리알켄, 이들의 공중합체 및 이들의 혼합물을 포함할 수 있다. 일반적으로, 표면이 평평한 기판이 특히 고해상도 응용분야에 바람직하다. 그러나, 특정 양태에서 기판은 레지스트 코팅이 특별한 패터닝 적용을 위한 피처를 채우거나 평탄하게 하도록 의도되는 실질적인 토포그래피(topography)를 가질 수 있다. 대안적으로, 본원에 기술된 증기 증착 방법을 이용하여, 기존의 토포그래피 및 피처를 특별한 패터닝 적용을 위한 유기주석 옥사이드 하이드록사이드 포토레지스트로써 등각으로(conformally) 코팅할 수 있다.
일반적으로, 본원에 개시된 증기 증착 공정외에도, 임의의 적합한 용액 코팅 공정이 전구체 용액을 기판으로 전달하는데 사용될 수 있다. 적합한 코팅 방법은, 예를 들어, 스핀 코팅, 스프레이 코팅, 딥 코팅, 나이프 에지 코팅(knife edge coating), 인쇄 방법, 예컨대 잉크젯 인쇄 및 스크린 인쇄를 포함할 수 있다. 인쇄 등에서 현재 이용되는 해상도는 본원에 설명된 바와 같이 방사선 기반 패터닝에서 이용가능한 것보다 상당히 낮은 수준의 해상도이지만, 상기 코팅 방법 중 일부는 코팅 공정 동안 코팅 물질의 패턴을 형성한다.
방사선을 이용한 패터닝이 수행되는 경우, 에지 효과가 있을 수 있지만 스핀 코팅이 기판을 비교적 균일하게 덮기 위한 바람직한 방법일 수 있다. 몇몇 양태에서, 웨이퍼는 약 500rpm 내지 약 10,000rpm의 속도로, 또 다른 양태에서는 약 1000rpm 내지 약 7500rpm의 속도로, 추가의 양태에서는 약 2000rpm 내지 약 6000rpm의 속도로 방사될 수 있다. 방사속도는 원하는 코팅 두께를 얻기 위해 조절할 수 있다. 스핀 코팅은 약 5초 내지 약 5분 동안, 또 다른 양태에서는 약 15초 내지 약 2분 동안 수행할 수 있다. 초기 저속 스핀, 예를 들어 50rpm 내지 250rpm은 기판을 가로질러 조성물의 초기 벌크 확산을 수행하는 데 사용할 수 있다. 임의의 에지 비드를 제거하기 위해 물 또는 다른 적합한 용매를 이용하여 후면 세척, 에지 비드 제거 단계 등을 수행될 수 있다. 당업계의 기술자는 상기 명시된 범위 내에서 스핀 코팅 파라미터들의 추가 범위가 고려되고, 이는 본 발명의 범위 내에 있다는 것을 인식할 것이다.
코팅 두께는 일반적으로 전구체 용액 농도, 점도 및 스핀 코팅을 위한 스핀 속도의 함수일 수 있다. 다른 코팅 공정에 있어서, 코팅 두께는 일반적으로 코팅 파라미터의 선택을 통해 조절할 수 있다. 몇몇 양태에서, 얇은 코팅을 사용하는 것이 후속 패터닝 공정에서 소형의 고해상도 피처의 형성을 용이하게 하기 위해 바람직할 수 있다. 예를 들어, 건조 후 코팅 물질은 약 10㎛ 이하, 다른 양태에서는 약 1㎛ 이하, 또 다른 양태에서는 약 250nm 이하, 추가 양태에서는 약 1nm 내지 약 50nm, 다른 양태에서는 약 2nm 내지 약 40nm, 일부 양태에서는 약 3nm 내지 약 25nm의 평균 두께를 가질 수 있다. 당업계의 기술자는 상기 명시된 범위 내에서 두께의 추가 범위가 고려되고, 이는 본 발명의 범위 내에 있다는 것을 인식할 것이다. 상기 두께는 필름의 광학 특성에 기초하여 x선 반사율 및/또는 타원계의 비접촉 방법을 이용하여 평가할 수 있다. 일반적으로, 코팅은 처리를 용이하게 하기 위해 비교적 균일하다. 몇몇 양태에서, 코팅의 두께 변화는 평균 코팅 두께±50%, 또 다른 양태에서는 평균 코팅 두께±40%, 추가 양태에서는 평균 코팅 두께±약 25%로 변한다. 몇몇 양태에서, 보다 큰 기판 상의 높은 균일성 코팅(high uniformity coating)과 같이, 1cm 에지 배제(edge exclusion)를 이용하여 코팅 균일성을 평가할 수 있는데, 즉 가장자리 1cm 이내의 코팅 부분에 대해서는 코팅 균일도를 평가하지 않는 것이다. 당업계의 기술자는 상기 명시된 범위 내에서 추가 범위가 고려되고, 이는 본 발명의 범위 내에 있다는 것을 인식할 것이다.
코팅 공정 자체는 용매의 일부를 증발시킬 수 있는데, 많은 코팅 공정이 보다 큰 표면적을 갖는 코팅 물질의 점적이나 다른 형태를 형성하고/하거나 증발을 자극하는 용액을 이동시키기 때문이다. 용매의 손실은 코팅 물질 내의 종의 농도가 증가함에 따라 코팅 물질의 점도를 증가시키는 경향이 있다. 코팅 공정 동안의 목적은 추가 처리를 위한 코팅 물질을 안정화시키기 위해 충분한 용매를 제거하는 것일 수 있다. 반응성 종은 코팅 또는 후속 가열 동안 축합되어 가수분해물 코팅 물질을 형성할 수 있다.
일반적으로, 코팅 물질은 전구체 조성물 내의 금속에 대한 가수분해성 결합을 가수분해하기 위해 및/또는 용매를 더 제거하고 코팅 물질의 고밀도화를 촉진하기 위해 방사선 노출 전에 대기 수분의 존재에 노출시키고 선택적으로 대기 수분 중에서 가열할 수 있다. 현장 가수분해 후 코팅 물질은 일반적으로 일부 알킬 리간드도 갖는 금속에 대한 옥소-하이드록소 리간드 결합에 기초하여 중합체성 금속 옥소-하이드록소 네트워크, 또는 다핵 금속 옥소/하이드록소 종과 알킬 리간드를 포함하는 분자 고체(molecular solid)를 형성할 수 있다.
가수분해/용매 제거 공정은 가열된 코팅 물질의 정확한 화학량론 및/또는 상기 코팅 물질에 남아 있는 용매의 특정 양에 대해 정량적으로 제어될 수 있거나 제어되지 않을 수 있다. 또한, 본원에 나타낸 식 및 조성물은 Sn에 직접 결합된 것이든 네트워크의 수소결합된 성분으로서든 추가의 물을 일부 함유할 수 있다. 생성된 코팅 물질의 특성의 경험적 평가는 일반적으로 패터닝 공정에 효과적인 처리 조건을 선택하도록 수행할 수 있다. 상기 공정의 성공적인 적용을 위해서는 가열이 필요하지 않을 수 있지만, 가공 속도를 높이기 위해 및/또는 공정의 재현성을 높이기 위해 및/또는 아민 및/또는 알콜과 같은 생성물에 의한 가수분해 증발을 용이하게 하기 위해 코팅된 기판을 가열하는 것이 바람직할 수 있다. 용매를 제거하기 위해 열이 적용되는 양태에서, 코팅 물질을 약 45℃ 내지 약 250℃, 추가의 양태에서는 약 55℃ 내지 약 225℃의 온도로 가열할 수 있다. 용매 제거를 위한 가열은 일반적으로 적어도 약 0.1분 동안, 또 다른 양태에서는 약 0.5분 내지 약 30분 동안, 추가 양태에서는 약 0.75분 내지 약 10분 동안 수행할 수 있다. 당업계의 기술자는 상기 명시된 범위 내에서 가열 온도 및 시간의 추가 범위가 고려되며, 이는 본 발명의 범위 내에 있다는 것을 인식할 것이다. 코팅 물질의 열처리, 가수분해 및 고밀도화의 결과로, 코팅 물질은 콘트라스트의 상당한 손실 없이 굴절률의 증가 및 방사선 흡수의 증가를 나타낼 수 있다.
증기 기반 코팅 형성
Sn에 대한 실질적인 비가수분해성 결합을 갖는 R 그룹 및 Sn에 대한 가수분해성 결합을 갖는 X 리간드를 모두 포함하는 전구체 화합물의 개발이 방사선 패턴화가능한 유기주석 옥사이드 하이드록사이드 코팅의 기상 증착의 개발을 위해 이용되어 왔다. 특히, 표 1에 나열된 것과 같은 많은 RnSnX(4-n) 화합물의 비교적 높은 증기압 및 반응도는 유기주석 옥사이드 하이드록사이드 박막 포토레지스트의 증착을 위한 증기 증착 방법의 사용을 가능하게 한다. 주위 대기로부터 폐쇄된 반응기 내의 기상 가수분해성 전구체의 도입을 통해, 가수분해는 증착 공정의 일부로서, 즉 화학적 증기 증착(CVD)으로서 수행될 수 있다. 잠재적인 증기 증착 방법은 화학적 증기 증착(CVD), 원자층 증착(ALD) 및 그 변형방법을 포함하고, 이들 중 다수가 금속 알킬아미드, 알콕사이드 및 할라이드 전구체로, Sn(NMe2)4[참고문헌 5]로부터의 SnO2를 포함하는[참고문헌 1 내지 4] 무기 금속 옥사이드 및 나이트라이드 필름을 증착하기 위해 이미 사용되어 왔다. 증기 증착을 수행하기 위해, 일반적으로 하나 이상의 금속 함유 전구체를, 옥사이드 및 옥사이드 하이드록사이드의 생성을 위한 O 및 H 소스로서 작용하는 H20, H202, 03, 02 또는 CH3OH와 같은 저분자 기상 시약 중 하나 이상과 반응시킨다. 필요에 따라, Sn에 대한 가수분해성 결합을 갖는 리간드를 갖는 전구체 조성물이 기상으로부터 증착되고 상기 결합은 코팅 형성 후 가수분해되는 물리적 증기 증착 방법을 수행할 수도 있지만, 기상 공정을 위해 증착 동안 가수분해/산화가 일반적으로 더 효율적일 수 있다.
Figure 112021061219017-pat00019
CVD 방법에서, 2개 이상의 반응물 가스는 일반적으로 기판 표면 부근에 있는 챔버 내에서 혼합된다. 따라서, 바람직하지 않은 기상 반응 및 핵 형성을 제어하기 위한 반응 조건으로 충분한 안정성을 설계할 수 있다. 반응 챔버에 별도로 및 순차적으로 도입된 ALD 전구체는 일반적으로 화학흡착된 공-전구체 또는 분해 생성물과 반응하여 기판 표면을 포화시킨다. RnSnX(4-n) 전구체의 바람직한 특징은, 예를 들어, 시스템 내의 기상 전달을 위한 충분한 휘발성, 조기 분해를 방지하기 위한 열 안정성 및 소정의 공정 조건 하에서 표적 생성물을 제조하기 위한 공-전구체와의 적절한 반응성을 포함한다. 반응 챔버의 압력 및 온도는 반응 공정을 제어하도록 선택할 수 있다.
일반적으로, 증기압이 비교적 낮은 전구체가 증기 흐름, 에어로졸 및/또는 기화 챔버로의 직접적인 액체 주입을 이용하여 도입될 수 있다. 플래시 증발기(flash evaporator)는 반응 챔버 내의 반응 공정을 상응하게 제어하기 위해 반응 챔버 내로 제어된 양의 전구체 증기를 도입하는데 사용될 수 있다. 가수분해/산화를 초래하는 2차 반응물은 상기 챔버 내부로 별도의 입구를 통해 유입될 수 있다. 상업용 CVD 장치가 사용될 수 있고, 또는 특정 장비가 사용될 수 있다. 증착을 용이하게 하기 위해, 전구체 특성에 따라 기판을 가열하거나 냉각시킬 수 있다. N2, Ar 등과 같은 불활성 가스를 캐리어 가스(carrier gas), 퍼지 가스 또는 압력 조절 가스로서 적절한 용량으로 순차적 및 연속적 흐름 방식 둘 다로 사용할 수 있다.
본원에 개시된 바와 같이 용액 기반 가수분해 또는 현장 가수분해에 의해 유기주석 옥사이드 하이드록사이드 포토레지스트를 생성하는 것으로 입증된 RnSnX(4-n) 화합물(여기서, n은 0, 1 또는 2, 또는 이들의 조합이다) 범위가 바람직한 특성을 갖는 유기주석 옥사이드 하이드록사이드 포토레지스트의 증기 침착에 적합할 수 있다. 유용한 X 리간드는 알킬아미도 및 디알킬아미도, 클로로, 알콕소, 또는 알키니도, 실록소, 실릴아미도, 디실릴아미도, 아릴옥소, 아지도, 아미데이토, 아미디네이토, 또는 직쇄 및 분지쇄 알킬, 사이클로알킬, 아릴, 알케닐, 알키닐, 벤질 및 이들의 플루오르화 유도체를 포함하는 하이드로카빌 R 그룹과 조합된 이들의 플루오르화 유사체를 포함한다. 적합한 전구체는, 예를 들어, (CH3)3CSn(NMe2)3, (CH3)2CHSn(NMe2)3, (CH3)2(CH3CH2)CSn(NMe2)3, (CH2)2CHSn(NMe2)3, CH3Sn(NMe2)3, (CH2)3CHSn(NMe2)3, (CH2)4CHSn(NMe2)3, (C6H5)CH2Sn(NMe2)3, (C6H5)(CH3)CHSn(NMe2)3, (C6H5)(CH3)CHSn(NMe2)3, (CH3)2(CN)CSn(NMe2)3, (CH3)(CN)CHSn(NMe2)3, 또는 (CH3)3CSn(OtBu)3, (CH3)2CHSn(OtBu)3, (CH3)2(CH3CH2)CSn(OtBu)3, (CH2)2CHSn(OtBu)3, CH3Sn(OtBu)3, (CH2)3CHSn(OtBu)3, (CH2)4CHSn(OtBu)3, (C6H5)CH2Sn(OtBu)3, (C6H5)(CH3)CHSn(OtBu)3, (C6H5)(CH3)CHSn(OtBu)3, (CH3)2(CN)CSn(OtBu)3, (CH3)(CN)CHSn(OtBu)3 또는 당업계의 기술자에게 알려진 다른 것들을 포함할 수 있다. 추가로, Sn(NMe2)4 또는 Sn(OtBu)4와 같이 =O를 갖는 하나 이상의 기상 전구체 화합물은 필름의 R:Sn 비율을 변경시켜 바람직한 패터닝 특성을 달성하기 위해 유기주석 함유 전구체와 순차적으로 또는 동시에 반응시킬 수 있다.
따라서, 가수분해성 화합물은 상응하는 알킬 주석 옥사이드 하이드록사이드 코팅으로서 기상 가수분해를 거쳐 직접 증착될 수 있고, 그 후 적절하게 패터닝될 수 있다. 증기 증착의 이점은, 예를 들어, 감소된 레지스트 필름 결함 밀도, 개선된 두께 및 조성 균일성, 뿐만 아니라 기판 토포그래피의 등각(conformal) 및 측벽(side-wall)코팅을 포함할 수 있다.
식 RSnO(3/2-x/2)(OH)x(0 < x < 3)의 유기주석 옥사이드 하이드록사이드의 직접 증착을 위한 증기 증착 방법은 몇몇 양태에서 별도의 가열된 버블러 용기들에 연결된 불활성 가스 소스를 포함할 수 있다. 제1 용기는 불활성 캐리어 가스에서 이송을 위한 적절한 부분압을 생성하도록 충분한 증기압의 액체 알킬 트리스(디알킬아미도)주석 화합물을 함유한다. 제2 용기는 액체 물 또는 물/알콜 혼합물을 함유한다. 용기 온도, 불활성 가스 유속 및 총 시스템 압력을 제어함으로써, 기상 RSn(NR'2)3 및 H2O가 약 0.1 Torr 미만, 보다 일반적으로 약 0.01 Torr 내지 약 25 Torr로 배기되고 몇몇 양태에서는 대기압 CVD 압력이 > 25 Torr인 챔버에 독립적으로 이송된다. 그 안에서 전구체는 혼합되고 반응하여 기판 상에 산화주석 옥사이드 하이드록사이드를 증착시킨다. 상기 기판 및/또는 챔버 및/또는 증기는 기판 표면 상의 반응 및 증착을 촉진하도록 가열될 수 있다. 몇몇 양태에서 약 200℃ 이하의 반응 온도가 주석 화합물의 탈알킬화를 제한하고/하거나 상기 옥사이드 하이드록사이드의 과도한 탈수 및 축합을 방지하기 위해 바람직할 수 있다. 이러한 옥사이드 하이드록사이드 형성은 노출 영역과 비노출 영역 사이의 포토레지스트 용해-속도 콘트라스트를 감소시킬 수 있다. 가스, 챔버 벽 및/또는 기판은 다양한 양태에서 가열될 수 있는데, 일반적으로 약 40℃ 내지 약 175℃의 온도로, 추가의 양태에서 약 50℃ 내지 약 160℃의 온도로 가열될 수 있다. 당업계의 기술자는 상기 명시된 범위 내에서 압력 및 온도의 추가 범위가 고려되고, 이는 본 발명의 범위 내에 있다는 것을 인식할 것이다. 유사한 관련 공정에서, 적절한 지속기간 및 빈도의 수증기, 불활성 가스 및 RSn(NR'2)3 펄스를 교차하여 ALD 방법론에 공통인 표면 제한된 흡착 및 반응 체제를 가능하게 할 수 있다.
패터닝 및 패턴화된 구조물 특성
가수분해, 축합 및 건조 후, 방사선을 이용하여 코팅 물질을 미세하게 패터닝할 수 있다. 위에 언급한 바와 같이, 원하는 형태의 방사선의 충분한 흡수를 위해 전구체 용액의 조성 및 그에 따른 상응하는 코팅 물질을 설계할 수 있다. 방사선 흡수는 금속과 알킬 리간드 사이의 결합을 파괴시킬 수 있는 에너지를 발생시켜 알킬 리간드 중 적어도 일부가 더 이상 이용가능하지 않도록 하여 코팅 물질을 안정화시킨다. 알킬 리간드 또는 단편을 포함하는 방사선분해(radiolysis) 생성물은 필름으로부터 확산될 수 있거나, 공정 변수 및 이러한 생성물의 아이덴티티에 따라 확산되지 않을 수도 있다. 충분한 양의 방사선을 흡수하면, 노출된 코팅 물질이 응축되고, 즉 향상된 금속 옥소-하이드록소 네트워크를 형성하고, 이는 주변 분위기로부터 흡수된 추가의 물을 포함할 수 있다. 방사선은 일반적으로 선택된 패턴에 따라 전달될 수 있다. 방사선 패턴은 조사된 영역 및 비조사된 영역을 갖는 코팅 물질 내의 대응하는 패턴 또는 잠상으로 전달된다. 상기 조사된 영역은 화학적으로 변경된 코팅 물질을 포함하고, 상기 비조사된 영역은 일반적으로 형성된 대로의 코팅 물질을 포함한다. 아래에 언급하는 바와 같이, 코팅 물질의 현상 시 비조사된 코팅 물질의 제거 또는 조사된 코팅 물질의 선택적 제거와 함께 매우 매끈한 에지(edge)가 형성될 수 있다.
방사선은 일반적으로 마스크를 통해 코팅된 기판으로 향할 수 있거나 방사선 빔이 기판을 가로질러 제어가능하게 스캐닝될 수 있다. 일반적으로, 방사선은 전자기 방사선, 전자 빔(β 방사선) 또는 다른 적합한 방사선을 포함할 수 있다. 일반적으로, 가시광선 방사선, 자외선 방사선 또는 x선 방사선과 같은 전자기 방사선은 원하는 파장 또는 파장 범위를 가질 수 있다. 방사선 패턴에 대해 달성가능한 해상도는 일반적으로 방사선 파장에 의존하고, 일반적으로 보다 짧은 파장의 방사선에 의해 보다 높은 해상도 패턴이 달성될 수 있다. 따라서, 자외선, x선 방사선 또는 전자 빔을 이용하여 특히 높은 해상도를 달성하는 것이 바람직할 수 있다.
본원에 참조로 도입된 국제 표준 ISO 21348(2007)에 따르면, 자외선광은 100nm 이상 내지 400nm 미만의 파장 사이에서 연장된다. 크립톤 플루오라이드 레이저(krypton fluoride laser)를 248nm 자외선광에 대한 광원으로 사용할 수 있다. 자외선 범위는 10nm 이상 내지 121nm 미만의 극자외선(EUV) 및 122nm 이상 내지 200nm 미만의 원자외선(FUV)과 같이 수용된 표준 하에서 여러 방식으로 세분될 수 있다. 아르곤 플루오라이드 레이저로부터의 193nm 라인을 FUV의 방사원으로 사용할 수 있다. EUV광은 13.5nm에서 리소그래피에 사용되어 왔고, 이 광은 고에너지 레이저 또는 방전 펄스를 이용하여 여기된 크세논(Xe) 또는 Sn 플라즈마 소스로부터 발생된다. 소프트 x선은 0.1nm 이상 내지 10nm 미만으로 정의될 수 있다.
전자기 방사선의 양은 노출 시간 동안 통합된 복사 플럭스(radiative flux)에 의해 정의되는 플루언스(fluence) 또는 선량(dose)으로 특징지을 수 있다. 적합한 방사선 플루언스는 약 1mJ/㎠ 내지 약 150mJ/㎠일 수 있고, 추가의 양태에서는약 2mJ/㎠ 내지 약 100mJ/㎠, 추가 양태에서는 약 3mJ/㎠ 내지 약 50mJ/㎠일 수 있다. 당업계의 기술자는 상기 명시된 범위 내에서 방사선 플루언스의 추가 범위가 고려되고, 이는 본 발명의 범위 내에 있다는 것을 인식할 것이다.
전자 빔 리소그래피에 대해, 일반적으로 전자 빔은 조사된 물질을 일반적으로 변경시키는 2차 전자를 유도한다. 해상도는 상기 물질 내의 2차 전자 범위의 적어도 일부에서 함수일 수 있는데 보다 높은 해상도가 일반적으로 보다 짧은 범위의 2차 전자를 초래하는 것으로 생각된다. 본원에 기재된 무기 코팅 물질을 이용하여 전자 빔 리소그래피로 달성가능한 고해상도에 기초하여, 상기 무기 물질에서 2차 전자의 범위가 제한된다. 전자 빔은 빔의 에너지가 특징일 수 있고, 적합한 에너지는 약 5V 내지 약 200kV(킬로볼트), 추가의 양태에서는 약 7.5V 내지 약 100kV의 범위일 수 있다. 30kV에서 근접-보정 빔 선량은 약 0.1마이크로쿨롱(μC)/㎠ 내지 약 5밀리쿨롱(mC)/㎠, 추가의 양태에서는 약 0.5μC/㎠ 내지 약 1mC/㎠, 다른 양태에서는 약 1μC/㎠ 내지 약 100μC/㎠의 범위일 수 있다. 당업계의 기술자는 본원의 교시에 기초하여 다른 빔 에너지에서 대응 선량을 계산할 수 있고, 상기 명시된 범위 내에서 전자 빔 특성들의 추가 범위가 고려되고, 이는 본 발명의 범위 내에 있다는 것을 인식할 것이다.
코팅 물질의 설계를 기초로, 응축된 코팅 물질을 갖는 조사된 영역과 비조사된 영역간에 큰 콘트라스트의 물질 특성이 있을 수 있고, 코팅 물질은 실질적으로 손상되지 않은 유기 리간드를 갖는다. 몇몇 양태에서는 주어진 선량에서의 콘트라스트에 대한 만족스러운 결과가 조사 후 열처리 없이 성취될 수 있지만, 상기 콘트라스트는 조사 후 열처리에 의해 개선될 수 있는 것으로 밝혀졌다. 노출 후 열처리는 유기 리간드-금속 결합의 열 파괴(thermal break)에 기초한 코팅 물질의 비조사 영역의 현저한 응축 없이 조사된 코팅 물질의 응축을 증가시키기 위해 조사된 코팅 물질을 어닐링하는 것으로 보인다. 조사 후 열처리가 사용되는 양태의 경우, 조사 후 열처리는 약 45℃ 내지 약 250℃, 추가 양태에서는 약 50℃ 내지 약 190℃, 추가의 양태에서는 약 60℃ 내지 약 175℃의 온도에서 수행할 수 있다. 노출 후 가열은 일반적으로 적어도 약 0.1분 동안, 추가의 양태에서는 약 0.5분 내지 약 30분 동안, 추가 양태에서는 약 0.75분 내지 약 10분 동안 수행할 수 있다. 당업계의 기술자는 상기 명시된 범위 내에서 조사 후 가열 온도 및 시간의 추가 범위가 고려되고, 이는 본 발명의 범위 내에 있다는 것을 인식할 것이다. 물질 특성에서의 이러한 높은 콘트라스트는 이하의 섹션에서 설명하는 바와 같이 현상 후 패턴 내의 매끄러운 에지를 갖는 고해상도 라인의 형성을 더욱 용이하게 한다.
방사선 노출 후, 상기 코팅 물질은 조사된 영역과 비조사된 영역으로 패터닝된다. 도 1 및 도 2를 참조하면, 기판(102), 박막(103) 및 패턴화된 코팅 물질(104)을 포함하는 패턴화된 구조물(100)이 도시되어 있다. 패턴화된 코팅 물질(l04)은 조사된 코팅 물질의 영역 (110), (112), (114) 및 (116)과 비조사된 코팅물질의 비응축된 영역 (118), (120) 및 (122)를 포함한다. 응축된 영역 (110), (112), (114) 및 (116)과 비응축된 영역 (118), (120) 및 (122)에 의해 형성된 패턴은 상기 코팅 물질에 잠상을 나타내고, 상기 잠상의 현상을 하기 섹션에서 설명한다.
현상 및 패턴화된 구조물
상(image)의 현상은 잠상을 포함하는 패턴화된 코팅 물질을 현상제 조성물에 접촉시켜 비조사된 코팅 물질을 제거하여 네가티브 상(negative image)을 형성하거나 조사된 코팅 물질을 제거하여 포지티브 상(positive image)을 형성하는 것을 포함한다. 본원에 기재된 레지스트 물질을 이용하여, 적합한 현상액을 이용하여 바람직한 해상도로, 일반적으로 동일한 코팅에 기초하여 일반적으로 효과적인 네가티브 패터닝 또는 포지티브 패터닝을 수행할 수 있다. 특히, 조사된 영역은 금속 옥사이드 특성을 높이기 위해 적어도 부분적으로 응축되어 조사된 물질이 유기 용매에 용해되지 않는 반면, 비조사된 조성물은 유기 용매에 용해된다. 응축된 코팅 물질에 대한 언급은 초기 물질에 대한 상기 물질의 옥사이드 특성을 증가시키는 의미에서 적어도 부분적인 응축을 지칭한다. 한편, 비조사된 물질은 이의 소수성 특성에 기인하여 수성 약염기 또는 약산에 덜 가용성이어서 수성 염기가 포지티브 패터닝을 위해 비조사된 물질은 유지시키면서 조사된 물질은 제거하기 위해 사용된다.
유기-안정화 리간드를 갖는 코팅 조성물은 고유하게 소수성인 물질을 생성한다. 유기 금속 결합의 적어도 일부를 파괴하는 조사는 상기 물질을 덜 소수성인, 즉 더 친수성인 물질로 변환시킨다. 이러한 특성 변화는 조사된 코팅과 비조사된 코팅 사이의 상당한 콘트라스트를 제공하는데, 이는 포지티브 톤 패터닝(positive tone patterning)과 네가티브 톤 패터닝(negative tone patterning)이 동일한 레지스트 조성물로 이루어지는 능력을 제공한다. 구체적으로, 조사된 코팅 물질은 더 많은 금속 옥사이드 조성물로 어느 정도 응축되나, 조사된 물질이 편리한 현상제로 현상되기에 상대적으로 간단해지도록 응축 정도는 일반적으로 충분한 가열 없이 적정한 수준이다.
네가티브 톤 이미징에 대하여 도 3 및 도 4를 참조하면, 도 1 및 도 2에 도시된 구조물의 잠상은 현상제와의 접촉을 통해 현상되어 패턴화된 구조물(130)을 형성한다. 상의 현상 후, 기판(102)은 개구 (132), (134) 및 (135)를 통해 상부면을 따라 노출된다. 개구 (132), (134) 및 (135)는 응축되지 않은 영역 (118), (120) 및 (122)의 위치에 각각 위치한다. 포지티브 톤 이미징에 대하여 도 5 및 도 6을 참조하면, 도 1 및 도 2에 도시된 구조물의 잠상은 현상되어 패턴화된 구조물(140)을 형성한다. 패턴화된 구조물(140)은 패턴화된 구조물(130)의 접합(conjugate) 이미지를 갖는다. 패턴화된 구조물(140)은 조사된 영역 (110), (112), (114) 및 (116)의 위치에 노출된 기판(102)을 갖고 상기 조사된 영역은 현상되어 개구 (142), (144), (146) 및 (148)을 형성한다.
네가티브 톤 이미징에 있어서, 현상제는 전구체 용액을 형성하는데 사용되는 용매와 같은 유기 용매일 수 있다. 일반적으로, 현상제 선택은 조사된 코팅 물질 및 비조사된 코팅 물질 둘 다에 대한 용해도 파라미터, 뿐만 아니라 현상제 휘발성, 가연성, 독성, 점도 및 다른 공정 물질과의 잠재적인 화학적 상호작용에 의해 영향을 받을 수 있다. 특히, 적합한 현상제는, 예를 들어, 방향족 화합물(예를 들어, 벤젠, 크실렌, 톨루엔), 에스테르(예를 들어, 프로필렌 글리콜 모노메틸 에스테르 아세테이트), 에틸 아세테이트, 에틸 락테이트, n-부틸 아세테이트, 부티로락톤), 알콜(예를 들어, 4-메틸-2-펜탄올, 1-부탄올, 이소프로판올, 1-프로판올, 메탄올), 케톤(예를 들어, 메틸 에틸 케톤, 아세톤, 사이클로헥사논, 2-헵탄온, 2-옥탄온), 에테르(예를 들어, 테트라하이드로퓨란, 디옥산, 아니솔) 등을 포함한다. 현상은 약 5초 내지 약 30분 동안, 추가의 양태에서는 약 8초 내지 약 15분 동안, 추가 양태에서는 약 10초 내지 약 10분 동안 수행할 수 있다. 당업계의 기술자는 상기 명시된 범위 내에서 추가 범위들이 고려되고, 이는 본 발명의 범위 내에 있다는 것을 인식할 것이다.
포지티브 톤 이미징에 있어서, 현상제는 일반적으로 수성 산 또는 염기일 수 있다. 몇몇 양태에서, 더 선명한 이미지를 획득하기 위해 수성 염기를 사용할 수 있다. 현상제로부터의 오염을 줄이기 위해, 금속 원자를 갖지 않는 현상제를 사용하는 것이 바람직할 수 있다. 따라서, 테트라에틸암모늄 하이드록사이드, 테트라프로필암모늄 하이드록사이드, 테트라부틸암모늄 하이드록사이드 또는 이들의 조합과 같은 4차 암모늄 하이드록사이드 조성물이 현상제로서 바람직하다. 일반적으로, 특히 관심있는 4차 암모늄 하이드록사이드는 식 R4NOH로 나타낼 수 있으며, 여기서 R은 메틸 그룹, 에틸 그룹, 프로필 그룹, 부틸 그룹 또는 이들의 조합이다. 본원에 기재된 코팅 물질은 일반적으로 현재 중합체 레지스트에 통상 사용되는 것과 동일한 현상제, 구체적으로는 테트라메틸암모늄 하이드록사이드(TMAH)로 현상할 수 있다. TMAH는 2.38중량%로 상업적으로 구입가능하고, 이 농도는 본원에 기재된 처리에 사용될 수 있다. 또한, 혼합된 4차 테트라알킬암모늄 하이드록사이드를 사용할 수 있다. 일반적으로, 현상제는 약 0.5 내지 약 30중량%, 추가의 양태에서는 약 1 내지 약 25중량%, 다른 양태에서는 약 1.25 내지 약 20중량%의 테트라알킬암모늄 하이드록사이드 또는 유사한 4차 암모늄 하이드록사이드를 포함할 수 있다. 당업계의 기술자는 상기 명시된 범위 내에서 현상제 농도의 추가 범위가 고려되고, 이는 본 발명의 범위 내에 있다는 것을 인식할 것이다.
기본 현상제 조성물에 더하여, 상기 현상제는 현상 공정을 용이하게 하기 위한 추가의 조성물을 포함할 수 있다. 적합한 첨가제는, 예를 들어, 암모늄, d-블록 금속 양이온(하프늄, 지르코늄, 란타늄 등), f-블록 금속 양이온(세륨, 루테튬 등), p-블록 금속 양이온(알루미늄, 주석 등), 알칼리 금속(리튬, 나트륨, 칼륨 등) 및 이들의 조합으로 이루어진 그룹에서 선택되는 양이온과 플루오라이드, 클로라이드, 브로마이드, 요오다이드, 니트레이트, 설페이트, 포스페이트, 실리케이트, 보레이트, 퍼옥사이드, 부톡사이드, 포르메이트, 옥살레이트, 에틸렌디아민-테트라아세트산(EDTA), 텅스테이트, 몰리브데이트 등 및 이들의 조합으로 이루어진 그룹에서 선택되는 음이온을 갖는 용해된 염을 포함한다. 다른 잠재적으로 유용한 첨가제는, 예를 들어, 분자 킬레이트화제, 예를 들어, 폴리아민, 알콜 아민, 아미노산, 카복실산 또는 이들의 조합을 포함한다. 선택적인 첨가제가 존재한다면, 현상제는 약 10중량% 이하, 추가의 양태에서는 약 5중량% 이하로 첨가제를 포함할 수 있다. 당업계의 기술자는 상기 명시된 범위 내에서 첨가제 농도의 추가 범위가 고려되고, 이는 본 발명의 범위 내에 있다는 것을 인식할 것이다. 상기 첨가제는 콘트라스트, 민감도 및 선폭 거칠기를 개선시키기 위해 선택할 수 있다. 현상제 중의 첨가제는 또한 금속 옥사이드 입자의 형성 및 침전을 억제할 수 있다.
보다 약한 현상제, 예를 들어, 코팅 현상률이 보다 낮은 저농도의 수성 현상제, 희석된 유기 현상제 또는 조성물로는 보다 높은 온도의 현상 공정을 이용하여 공정 속도를 높일 수 있다. 더 강한 현상제로는 현상 공정의 온도를 더 낮게 하여 현상 속도를 감소시킬 수 있고/있거나 현상의 동역학을 제어할 수 있다. 일반적으로, 현상 온도를 상기 용매의 휘발성과 일치하는 적절한 값 사이에서 조절할 수 있다. 추가적으로, 현상제-코팅 계면에 가까운 용해된 코팅 물질과 함께 현상제를 현상 동안 초음파로 분산시킬 수 있다.
현상제는 임의의 합리적인 방법을 이용하여 패턴화된 코팅 물질에 적용할 수 있다. 예를 들어, 현상제를 패턴화된 코팅 물질에 분무할 수 있다. 또한, 스핀 코팅을 사용할 수 있다. 자동화된 처리를 위해, 현상제를 고정 방식으로 코팅 물질에 붓는 것을 포함하는 퍼들(puddle) 방법을 사용할 수 있다. 원하는 스핀 세척 및/또는 건조를 사용하여 현상 공정을 완료할 수 있다. 적합한 세척 용액은, 예를 들어, 네가티브 패터닝의 경우 초순수, 메틸 알콜, 에틸 알콜, 프로필 알콜 및 이들의 조합을, 포지티브 패터닝의 경우 초순수를 포함한다. 상 현상 후, 코팅 물질이 기판 상에 패턴으로 배치된다.
현상 단계의 완료 후, 코팅 물질을 열처리하여 상기 물질을 추가로 응축하고 추가로 탈수, 치밀화(densify)하거나 상기 물질로부터 잔류 현상제를 제거할 수 있다. 코팅 물질의 안정화가 추가의 패터닝을 위해 바람직하다면 코팅 물질이 레지스트로서 사용되고 궁극적으로 제거되는 몇몇 양태에서 열처리를 수행하는 것이 바람직할 수 있지만, 이러한 열처리는 옥사이드 코팅 물질이 최종 장치에 혼입되는 양태에서 특히 바람직할 수 있다. 특히, 패턴화된 코팅 물질의 베이킹은 상기 패턴화된 코팅 물질이 원하는 수준의 에칭 선택도를 나타내는 조건 하에서 수행할 수 있다. 몇몇 양태에서, 패턴화된 코팅 물질은 약 100℃ 내지 약 600℃, 추가의 양태에서 약 175℃ 내지 약 500℃, 추가 양태에서 약 200℃ 내지 약 400℃의 온도로 가열할 수 있다. 가열은 적어도 약 1분 동안, 다른 양태에서는 약 2분 내지 약 1시간 동안, 추가의 양태에서는 약 2.5분 내지 약 25분 동안 수행할 수 있다. 가열은 에어(air), 진공(vacuum), 또는 Ar이나 N2와 같은 불활성 가스 분위기에서 수행할 수 있다. 당업계의 기술자는 상기 명시된 범위 내에서 열처리 온도 및 시간의 추가 범위가 고려되며, 이는 본 발명의 범위 내에 있다는 것을 인식할 것이다. 마찬가지로, 블랭킷 UV 노출 또는 02와 같은 산화 플라즈마에 대한 노출을 포함하는 비열처리(non-thermal treatment)를 유사한 목적을 위해 사용할 수 있다.
종래의 유기 레지스트를 가진 구조물의 종횡비(aspect ratio)(높이를 폭으로 나눈 값)가 너무 큰 경우, 패턴이 붕괴되기 쉽다. 패턴 붕괴는 높은 종횡비 구조물의 기계적 불안정성과 관련될 수 있어서 처리 단계와 연관된 힘, 예를 들어, 표면 장력(surface tension)이 상기 구조물 부재를 왜곡시킨다. 낮은 종횡비 구조물은 잠재적인 왜곡력에 대해 보다 안정하다. 본원에 기재된 패턴화가능한 코팅 물질은 이의 높은 내에칭성, 및 보다 얇은 코팅 물질 층을 갖는 구조물을 효과적으로 처리할 수 있는 능력으로 인해, 높은 종횡비의 패턴화된 코팅 물질에 대한 필요성 없이 개선된 패터닝을 달성할 수 있다. 따라서, 패턴화된 코팅 물질에서 높은 종횡비에 의존하지 않고서 매우 높은 해상도 피처(feature)가 생성되었다.
생성된 구조물은 선폭 거칠기가 매우 낮은 예리한 에지를 가질 수 있다. 특히, 선폭 거칠기를 감소시킬 수 있는 능력 외에도, 높은 콘트라스트가 또한 피처(feature) 및 피처 사이의 공간의 형성을 가능케 할 뿐만 아니라 매우 잘 분해된 2차원 패턴(예를 들어, 예리한 코너)을 형성할 수 있게 한다. 따라서, 몇몇 양태에서, 이웃하는 구조물의 인접한 선형 세그먼트들은 평균 피치(하프-피치(half-pitch))가 약 60nm(하프-피치 30nm) 이하, 몇몇 양태에서는 약 50nm(하프-피치 25nm) 이하, 추가의 양태에서는 약 34nm(하프-피치 17nm) 이하일 수 있다. 피치는 설계(design)에 의해 평가되고 주사 전자 현미경(scanning electron microscopy, SEM)으로, 예를 들어, 톱-다운 이미지(top-down image)로 확인할 수 있다. 본원에서 사용된 바와 같이, 피치는 공간 주기(spatial period)를 지칭하거나, 반복 구조 부재(element)의 중심 대 중심 거리(center-to-center distance)를 지칭할 수 있고, 일반적으로 당업계에서 사용되는 바와 같이, 하프-피치는 피치의 절반이다. 패턴의 피처 치수는 또한 피처의 평균 폭과 관련하여 설명될 수 있는데, 이는 일반적으로 코너 등에 의해 평가된다. 또한, 피처는 재료 부재들을 지칭하고/하거나 재료 부재들 사이의 갭을 지칭할 수 있다. 몇몇 양태에서, 평균 폭은 약 25nm 이하, 추가의 양태에서는 약 20nm 이하, 추가 양태에서는 약 15nm 이하일 수 있다. 평균 선폭 거칠기는 약 5nm 이하, 몇몇 양태에서는 약 4.5nm 이하, 추가의 양태에서는 약 2.5nm 내지 약 4nm일 수 있다. 선폭 거칠기 평가는 평균 선폭(mean line-width)으로부터 3σ 편차를 도출하도록 톱-다운(top-down) SEM 이미지 분석으로 수행한다. 상기 평균은 고주파 및 저주파 거칠기를 모두 포함하는데, 즉 짧은 상관 길이(correlation length) 및 긴 상관 길이를 각각 함유한다. 유기 레지스트의 선폭 거칠기는 주로 긴 상관 길이를 특징으로 하는 반면, 본 발명의 유기 금속 코팅 물질은 상당히 짧은 상관 길이를 나타낸다. 패턴 전사 방법에 있어서, 에칭 공정 동안 짧은 상관 거칠기가 평활화(smoothing)될 수 있어, 보다 높은 정확도의 패턴을 생성한다. 당업계의 기술자는 상기 명시적 범위 내에서 피치, 평균 폭 및 선폭 거칠기의 추가 범위가 고려되고, 이는 본 발명의 범위 내에 있다는 것을 인식할 것이다. 이러한 방법에 기초하여, 패터닝은 일반적으로 반복 패터닝 공정을 통해 전자 집적 회로와 같은 다양한 장치의 형성에 적용되어 트랜지스터 또는 다른 구성요소와 같은 적절히 적층된 구조물을 형성할 수 있다.
웨이퍼 스루풋(wafer throughput)은 고체적(high volume) 반도체 제조에서의 EUV 리소그래피의 구현을 위한 실질적으로 제한적인 인자이고, 소정의 피처를 형성하는데 필요한 선량과 직접적으로 관련되어 있다. 그러나, 이미징 선량(imaging dose)을 감소시키기 위해 화학 전략이 존재하는 반면, 목표 피처(target feature)를 인쇄하는 데 필요한 이미징 선량(imaging dose)과 (LWR과 같은) 피처 크기 균일성 사이의 부정적인 상관관계(negative correlation)가 50nm 미만의 피처 크기(feature size) 및 피치에서 EUV 포토레지스트에 대해 공통적으로 관찰되며, 이에 의해 최종 장치 조작성 및 웨이퍼 수율을 제한할 수 있다. 그러나, 본원에 기재된 전구체, 전구체 용액 및 포토레지스트 필름은 이러한 제한을 피하고 있으며, 상당한 선량 범위에 걸쳐 LWR의 부수적 증가 없이 EUV 이미징 선량을 감소시킬 수 있다. 하기 실시예에 상세히 나타낸 바와 같이 코팅 및 베이킹 단계 동안 RnSnX(4-n)과 현장 가수분해된 SnX4의 블렌드를 포함하는 전구체 용액을 이용함으로써, 유사한 조건하에 처리될 때 예비가수분해된 유기주석 옥사이드 하이드록사이드 화합물들('839 출원에 기재된 바와 같이 제조)의 혼합물로부터 유도된 포토레지스트 필름에 비해 등가의 또는 더 낮은 LWR과 30% 이상 감소된 선량이 관찰된다.
하기 실시예에 기재된 개선된 방법에 기초하여, 상기 코팅 물질의 개선된 특성을 상응하게 특징화할 수 있다. 예를 들어, 표면을 따라 무기 반도체 층 및 방사선 민감성 코팅 물질을 포함하는 기판을 13.5nm 파장의 EUV광을 이용하여 32nm 피치의 16nm 라인 패턴으로 패터닝할 수 있다. 코팅 물질을 평가하기 위해, 16nm의 임계 치수(critical dimension)를 달성하기 위한 선량(dose)을 달성가능한 선폭 거칠기(LWR)와 함께 평가할 수 있다. 개선된 코팅은 약 8mJ/㎠ 내지 약 25mJ/㎠의 선량(dose)을 이용하여 약 4nm 이하의 선폭 거칠기를 갖는 16nm의 임계 치수를 달성할 수 있다. SuMMIT 분석 소프트웨어(EUV Technology Corporation)를 사용하여 SEM 이미지로부터 레지스트 임계 치수(CD) 및 선폭 거칠기(LWR)를 추출하였다.
추가 양태에서, 개선된 패터닝 능력은 단위겔당 선량 값(dose-to-gel value)으로 표현될 수 있다. 기판과, 알킬 금속 옥사이드 하이드록사이드를 포함하는 방사선 민감성 코팅을 포함하는 구조물은 단위겔당 선량 값이 약 6.125mJ/㎠ 이하, 추가의 양태에서는 약 5.5mJ/㎠ 내지 약 6mJ/㎠ 일 수 있다. 단위겔당 선량 값의 평가는 하기 실시예에서 설명한다.
참고문헌(본원에 참조로 도입됨):
1) Maeng, W. J.; Pak, S. J.; Kim, H. J. Vac. Sci. Tech B. 2006, 24, 2276.
2) Rodriguez-Reyes, J. C. F.; Teplyakov, A. V. J. Appl. Phys. 2008, 104, 084907.
3) Leskela, M.; Ritala, M. Thin Solid Films 2002, 409, 138.
4) Leskela, M.; Ritala, M. J. Phys. IV 1999, 9, Pr8-852.
5) Atagi, L. M.; Hoffman, D. M.; Liu, J. R.; Zheng, Z.; Chu, W. K.; Rubiano, R. R.; Springer, R. W.; Smith, D. C. Chem. Mater. 1994, 6, 360
실시예
실시예 1 - 현장 가수분해를 위한 전구체의 제조
본 실시예는 유기주석 옥사이드 하이드록사이드의 코팅을 형성하기 위한 현장 가수분해에 적합한 유기주석 아미도 화합물의 형성에 관한 것이다.
본원에 참조로 도입된 문헌(Hanssgen, D.; Puff, H.; Beckerman, N. J. Organomet. Chem. 1985, 293, 191)에 보고된 방법 다음에 전구체인 tert-부틸 트리스(디에틸아미도))주석(tBuSn(NEt2)3, 이하 P-1)을 합성하였다. 테트라키스(디에틸아미도))주석 및 tBuLi 시약을 시그마-알드리치(Sigma-Aldrich)로부터 구입하여 추가 정제 없이 사용하였다. 시약들을 무수 헥산(시그마-알드리치) 중 -78℃에서 화학량론적 양으로 반응시켰다. 침전된 리튬 아미드 염을 여과하여 제거하고, 생성물을 헥산으로 세척한 다음, 용매를 진공하에 제거하였다. 조 생성물을 진공하(95℃에서 약 0.3 Torr)에 증류시켰다.
30mL 호박색 유리 바이알에 1.177g(3.0mmol)의 P-1을 칭량한 다음 무수 4-메틸2-펜탄올(3Å 분자체에서 24시간 건조) 15mL를 첨가하여 Ar 충전된 글로브 박스에서 용액을 제조하였다. 상기 바이알을 캡핑하고 진탕하였다. 이 스톡 용액을 코팅 전에 무수 4-메틸-2-펜탄올 2.85용적부 중 1용적부로 희석하였다.
전구체인 이소프로필 트리스(디메틸아미도)주석(iPrSn(NMe2)3, 이하 P-2)을 불활성 대기하에 합성하고, 이어서 톨루엔에 용해시켜 레지스트 전구체 용액을 생성하였다. 아르곤 하에, 1L 쉴렝크(Schlenk-adapted) 환저 플라스크에 LiNMe2(81.75g, 1.6mol, 시그마-알드리치) 및 무수 헥산(700mL, 시그마-알드리치)을 충전하여 슬러리를 생성하였다. 큰 교반 바를 첨가하고, 용기를 밀봉하였다. 양의 아르곤 압력 하에서 첨가 깔때기에 주사기를 통해 iPrSnCl3(134.3g, 0.5mol, 젤레스트(Gelest))를 충전한 다음, 상기 반응 플라스크에 부착하였다. 상기 반응 플라스크를 -78℃로 냉각시키고, iPrSnCl3를 2시간 동안 적가하였다. 반응물을 교반하면서 밤새 실온으로 가온하였다. 상기 반응은 부산물 고체를 생성하였다. 고체가 침강한 후, 양의 아르곤 압력 하에 인라인 캐뉼러 필터를 통해 상기 고체를 여과하였다. 그 후, 용매를 진공하에 제거하고, 잔사를 감압(50 내지 52℃, 1.4mmHg) 하에 증류하여 담황색 액체(110g, 수율 75%)를 수득하였다. C6D6 용매 중의 증류물의 1H 및 119Sn NMR 스펙트럼을 Bruker DPX-400(400MHz, BBO 프로브) 분광계에 수집하였다. 관찰된 1H 공명(s, 2.82ppm, -N(CH3)2; d 1.26ppm, -CH3; m, 1.60ppm, -CH)은 iPrSn(NMe2)3에 대해 예측된 스펙트럼에 일치한다. -65.4ppm에서의 1차 119Sn 공명은 단일 주석 환경을 갖는 주생성물과 일치하고; 화학적 이동은 보고된 모노알킬 트리스(디알킬아미도)주석 화합물에 필적한다.
30mL 호박색 유리 바이알에 0.662g(2.25mmol)의 P-2를 칭량하여 Ar 충전된 글로브 박스에서 용액을 제조하였다. 이어서, 15mL 용적의 무수 톨루엔(3Å 분자체에서 24시간 건조)을 첨가하여 스톡 용액(SOL-2)을 만들었다. 이어서, 상기 바이알을 캡핑하고 진탕하였다. 이 스톡 용액을 코팅 전에 무수 톨루엔 3용적부 중 1용적부로 희석하였다.
실시예 2 - 현장 가수분해된 포토레지스트 코팅의 패터닝
본 실시예는 실시예 1의 조성물 및 후속 EUV 패터닝에 의해 형성된 코팅의 성공적인 현장 가수분해를 입증한다.
자연 옥사이드 표면을 갖는 실리콘 웨이퍼(직경 100mm) 상에 박막을 증착하였다. 상기 Si 웨이퍼를 상기 아미도 전구체의 증착 전에 헥사메틸디실라잔(HMDS) 증기 프라임으로 처리하였다. 4-메틸-2-펜탄올 중의 P-1 용액을 공기 중 1500rpm으로 기판 상에 스핀 코팅하고 100℃에서 2분 동안 공기 중 열판(hot plate) 상에서 베이킹시켜 잔류 용매 및 휘발성 가수분해 생성물을 증발시켰다. 코팅 및 베이킹 후의 필름 두께를 타원계(ellipsometry)를 통해 측정한 바 약 31nm였다.
코팅된 기판을 극자외선 방사선(Lawrence Berkeley National Laboratory Micro Exposure Tool)에 노출시켰다. 13.5nm 파장의 방사선, 다이폴 조명 및 0.3 의 개구수(numerical aperture)를 이용하여 상기 웨이퍼 상에 34nm 피치로 17nm 라인의 패턴을 투사하였다. 이어서, 패턴화된 레지스트 및 기판을 170℃에서 2분 동안 공기 중 열판 상에서 노출 후 베이킹(PEB)시켰다. 이어서, 노출된 필름을 15초 동안 2-헵탄온에 침지시킨 후, 동일한 현상제로 추가 15초 동안 세정하여 네가티브 톤 이미지를 형성하였는데, 즉 상기 코팅의 비노출 부분을 제거하였다. 현상 후에 공기 중 150℃에서 최종 5분의 열판 베이킹을 수행하였다. 도 7은 2.6nm의 계산된 LWR을 갖는 56mJ/㎠의 EUV 선량에서 4-메틸-2-펜탄올로부터의 P-1 캐스트(cast)로부터 제조된 34nm 피치의 16.7nm 레지스트 라인의 SEM 이미지를 나타낸다.
위와 같이 동일한 코팅 및 베이킹 조건을 이용하여 톨루엔 중 P-2 용액으로부터 제2 필름을 캐스팅하였다. EUV광을 이용하여 웨이퍼 상에 직경이 약 500㎛인 50개의 원형 패드의 선형 어레이를 투사하였다. 패드 노출 시간은 지수(exponential) 7% 단계를 이용하여 각각의 패드에 대한 전달된 EUV 선량이 1.38 내지 37.99mJ/cm2이도록 조절하였다. 전술한 바와 같은 PEB, 현상 및 최종 베이킹 공정 후에, 상기 노출된 패드의 잔류 두께를 측정하기 위해 J. A. Woollam M-2000 분광 타원편광기를 사용하였다. 각 패드의 두께는 전달된 EUV 선량의 함수로서 도 8에 도시하였다. 상기 잔류 필름 두께가 전달된 선량 약 0mJ/㎝2에서 시작하여 최대(단위겔당 선량, Dg) 약 15.8mJ/㎝2에 도달하는 바와 같이, 생성된 커브는 노출 시에 발생되는 네가티브 톤 콘트라스트를 명확하게 예시한다.
실시예 3 - 현장 가수분해된 코팅의 평가
본 실시예는 Sn에 대한 가수분해성 결합을 갖는 전구체들에 대한 현장 가수분해 방법을 통한 실질적으로 완전한 가수분해의 증거를 제공한다.
비교용으로, 방사선 민감성 코팅의 형성을 위해 이소프로필 주석 옥사이드 하이드록사이드를 준비하였다. 이소프로필주석 트리클로라이드(iPrSnCl3, 젤레스트) 6.5g(24mmol)을 0.5M NaOH(수성) 150mL에 격렬히 교반하면서 신속하게 첨가하여 침전물을 즉시 생성시킴으로써 이소프로필주석 트리클로라이드의 고체 가수분해물을 제조하였다. 생성된 혼합물을 실온에서 1시간 동안 교반한 다음, No. 1 여과지(Whatman)를 통해 흡입 여과하였다. 보유된 고체를 탈이온수 약 25mL씩으로 3회 세척한 다음 진공(약 5 Torr) 하에 실온에서 12시간 동안 건조시켰다. 건조된 분말의 원소 분석(18.04% C, 3.76% H, 1.38% Cl; Microanalysis, Inc.; Wilmington, DE)은 이소프로필주석 트리클로라이드의 가수분해 시 클로라이드 이온이 상당량 제거됨을 나타내고 대략적인 가수분해물 실험식 iPrSnO(3/2-(x/2))(OH)x(여기서, x는 약 1)(이하 P-3)를 나타냈다(C3H8O2Sn에 대한 계산치: 18.50% C, 4.14% H, 0.00% Cl).
상기 건조된 분말을 2-부탄온에 약 0.25M의 총 Sn 농도로 용해시켜 고체 가수분해물 iPrSnO(3/2-(x/2))(OH)x의 용액을 제조하였다. 앞서 기재한 바와 같이 P-2 1.47g(5.0mmol)을 4-메틸-2-펜탄올 10mL에 첨가하여 무수 4-메틸-2-펜탄올 중 P-2 용액을 제조하였다. 각각의 용액을 25mm × 25mm Si 웨이퍼 상에 스핀 코팅함으로써 박막을 캐스팅하였다. 상기 P-2 용액을 2000rpm으로 코팅하는 반면, P-3 용액을 1250rpm으로 코팅하였다. 코팅 후, 각 필름을 공기 중 150℃에서 2분 동안 베이킹시켰다. 알콜은 P-2와 반응하여 알콕사이드 리간드를 형성할 수 있고, 임의의 경우알콕사이드 리간드가 형성되면, 이것은 추가로 가수분해되어 상기 옥사이드 하이드록사이드 조성물을 형성하는 것으로 보인다.
상기 두 개의 필름의 푸리에 변환 적외선(Fourier Transform Infrard, FTIR) 투과 스펙트럼을, 배경(background)으로 베어(bare) 기판을 이용하여 Nicolet 6700 분광계로 수집하였다. 상기 두 개의 필름에 대한 대표적인 스펙트럼(도 8)은 질적으로 매우 유사하여 두 경우 모두에서 P-2 용액으로부터의 아미도/알킬옥소 리간드의 실질적인 가수분해 및 제거, 및 상기 옥사이드 하이드록사이드의 증착을 시사한다. 특히, 2800 내지 3000cm-1 및 1100 내지 1500㎝-1의 영역은 거의 동일하여 두 필름 사이의 유사한 CHX 조성물 뿐만 아니라 실질적인 C-N 종의 부재를 나타낸다.
실시예 4 - 테트라키스-디알킬아미도 또는 -tert-부톡소 주석 화합물을 이용한 가수분해성 전구체의 제조
본 실시예는 방사선 민감성 코팅에서 금속에 대한 방사선 민감성 리간드의 화학량론 제어를 제공하기 위한 혼합된 가수분해성 전구체 화합물의 형성을 설명한다.
실시예 1에 기재된 바와 같이 tert-부틸 트리스(디에틸아미도)주석을 합성하였다. 테트라키스(디메틸아미도))주석(Sn(NMe2)4, FW = 295.01)을 시그마-알드리치로부터 구입하여 추가 정제없이 사용하였다.
주석(V) tert-부톡사이드(Sn(0)tBu)4, FW = 411.16, 이하 P-5)를 본원에 참조로 도입된 문헌(Hampden-Smith et al., Canadian Journal of Chemistry, 1991, 69, 121)의 방법 다음에 제조하였고, 염화제1주석(152g/0.8mol) 및 펜탄(1L)을 자기 교반바가 장착된 오븐 건조된 3L 환저 플라스크에 첨가하여 질소로 퍼징시켰다. 질소 압력 입구를 갖는 1L 압력-등화 첨가 깔때기에 디에틸아민(402mL/3.9mol) 및 펜탄(600mL)을 충전한 다음 상기 플라스크에 부착시키고 이 플라스크를 빙욕에 잠기게 하였다. 이어서, 아민 용액을 적가하여 부드러운 환류가 유지되도록 하였다. 아민 첨가를 완료하면, 펜탄(50mL) 중의 2-메틸-2-프로판올(290g/3.9mol)을 상기 첨가 깔때기에 첨가하여 상기 플라스크에 적가하였다. 18시간 동안 교반한 후, 상기 슬러리를 공기-비함유 프릿(fritted) 필터 플라스크에 옮기고, 침전된 염을 제거하였다. 감압하에서 용매를 제거하고, 목표 화합물을 증류하였다(비점 = 60 내지 62℃, 1 Torr). 1H NMR(C6D6): 1.45 ppm(s); 119Sn NMR(C6D6): -371.4ppm(s).
P-1(tBuSn(NEt2)3, 이하 S-1), P-4(Sn(NMe2)4, 이하 S-2) P-5(Sn(OtBu)4, 이하 S-3)의 스톡 용액을, 각각의 상응하는 화합물을 캐뉼라를 통해 무수 4-메틸-2-펜탄올(4Å 분자체에서 24시간 건조)을 함유하는 개별 플라스크에 옮겨 제조하였다. 이어서, 추가의 무수 4-메틸-2-펜탄올을 첨가하여 상기 용액을 0.25M(Sn)의 최종 농도로 희석하였다.
이소프로판올/드라이아이스 빙욕에 잠겨 있고 250mL의 메탄올을 함유하는 환저 플라스크를 자기 교반 플레이트 상에서 교반하면서 41g의 P-1을 캐뉼라를 통해 상기 플라스크에 주입하여 추가의 스톡 용액 S-4를 제조하였다. tBuSn(NEt2)3 분취량을 옮긴 후, 상기 혼합물을 함유하는 플라스크를 상기 빙욕에서 제거하고 실온에 도달하도록 하였다. 이어서, 상기 혼합물을 함유하는 플라스크를 회전 증발기에 부착된 수욕에서 50℃가 되게 하고, 용매 증발이 실질적으로 완료되고 점성의 황색 오일이 수득될 때까지 감압(10 mTorr)에서 용매를 제거하였다. 최종적으로, 상기 황색 오일을 4-메틸-2-펜탄올 1.0L에 용해시켰다. 생성된 용액은, 용매 증발 및 SnO2로의 잔류 고체의 후속 열분해 후의 상기 용액의 잔류 질량을 기준으로, 0.097M(Sn)의 몰 농도를 갖는 것으로 측정되었다.
스톡 용액 S-1과 S-2를 0.5:1 및 9:1의 용적비로 혼합함으로써 전구체 코팅 용액 CS-a, CS-b 및 CS-c를 제조하여, 상기 혼합물에서 0mole%(a), 10mole%(b) 및 20mole%(c)의 Sn 총 농도가 Sn(NM2)4로부터 유도된 코팅 용액을 생성하였다. 이어서, 상기 용액을 스핀 코팅 전에 4-메틸-2-펜탄올을 이용하여 0.070M(총 Sn)로 희석하였다. 예를 들어, 200mL의 CS-b를 제조하기 위해, Sn(NM2)4(S-2)로부터 제조된 스톡 용액 5.6mL를 tBuSn(NEt2)3(S-1)로부터 제조된 용액 50.4mL에 첨가하고, 격렬히 혼합하고, 무수 4-메틸-2-펜탄올을 이용하여 총 용적 200mL로 희석하였다. 전구체 코팅 용액, 농도 및 조성물의 요약을 표 2에 제시하였다.
스톡 용액 S-4와 스톡 용액 S-2 및 S-3을 적절한 용적비로 혼합함으로써 전구체 코팅 용액 CS-e 내지 CS-h를 제조하여, 10mole% 및 20mole%의 Sn 총 농도가 Sn(NM2)4로부터(각각 CS-e 및 CS-f에 해당) 및 Sn(OtBu)4로부터(각각 CS-g 및 CS-h에 해당) 유도되도록 하고, 무수 4-메틸-2-펜탄올을 이용하여 희석하였다. 스톡 용액 S-4를 무수 4-메틸-2-펜탄올을 이용하여 Sn 최종 농도 0.042M로 직접 희석하여 전구체 코팅 용액 CS-d를 제조하였다. 일례로서, 72.6mL의 S-4와 7.04mL의 S-3을 혼합하고 무수 4-메틸-2-펜탄올을 이용하여 총 용적 200mL로 희석하여 200mL의 전구체 코팅 용액 CS-e를 제조하였다.
Figure 112021061219017-pat00020
예비가수분해된 t-부틸 주석 옥사이드 하이드록사이드 가수분해물을 함유하는 메탄올 용액을 예비가수분해된 i-프로필 주석 옥사이드 하이드록사이드 가수분해물의 4-메틸-2-펜탄올 용액과 혼합하고, 생성된 혼합물을 '839 출원에 기재된 바와 같이 순수 용매를 이용하여 0.03M(Sn)로 희석하여 전구체 코팅 용액 CS-i를 제조하였다. 생성된 용액은 iPrSnO(3/2-(x/2))(OH)x 가수분해물과 tBuSnO(3/2-(x/2))(OH)x 가수분해물의 블렌드로서 특징화되고, 여기서 tBuSnO(3/2-(x/2))(OH)x 부분은 Sn의 총 몰을 기준으로 40%이다.
실시예 5 - 선택된 정도의 방사선 민감성 리간드를 갖는 조작된 코팅을 이용한 패터닝
본 실시예는 실시예 4에 기재된 바와 같이 제조된 코팅 용액에 의해 형성된 코팅의 패터닝에 의한 결과로서 보다 낮은 방사선 선량에 의한 개선된 패터닝을 증명한다.
tBuSn(NEt2)3으로부터 제조된, 일부 코팅 용액의 경우에는 Sn(NMe2)4 또는 Sn(OtBu)4로부터 제조된 실시예 4로부터의 전구체 코팅 용액으로부터 tert-부틸 주석 옥사이드 하이드록사이드 포토레지스트 필름을 증착하였다. EUV 콘트라스트 커브에 대한 박막을 자연 옥사이드 표면을 갖는 실리콘 웨이퍼(직경 100mm) 상에 증착시켰다. 증착 전에 상기 Si 웨이퍼를 헥사메틸디실라잔(HMDS) 증기 프라임으로 처리하였다. tBuSn(NEt2)3으로부터 표 1의 사양에 따라 제조된 전구체 코팅 용액 CS-a, CS-b 및 CS-c(0.070M Sn)와 0mole%, 10mole% 및 20mole%의 Sn(NMe2)4를 공기 중에 1500rpm으로 상기 Si 기판에 스핀 코팅하고, 100℃에서 2분 동안 공기 중 열판 상에서 베이킹시켜 잔류 용매 및 휘발성 가수분해 생성물을 제거하였다. 코팅 및 베이킹 후의 필름 두께를 타원계(ellipsometry)를 통해 측정한 바 약 25 내지 28nm였다.
직경이 약 500㎛인 50개의 원형 패드의 선형 어레이를 Lawrence Berkeley National Laboratory Micro Exposure Tool을 이용하여 EUV광으로 각각의 웨이퍼 상에 노출시켰다. 패드 노출 시간은 지수(exponential) 7% 단계를 이용하여 각각의 패드에 대해 전달된 EUV 선량이 1.38 내지 37.99mJ/cm2이도록 조절하였다. 노출 후, 웨이퍼를 170℃에서 2분 동안 공기 중 열판 상에서 노출 후 베이킹(PEB)시켰다. 이어서, 노출된 필름을 15초 동안 2-헵탄온에 침지시킨 후, 동일한 현상제로 추가 15초 동안 세정하여 네가티브 톤 이미지를 형성하였는데, 즉 상기 코팅의 비노출 부분을 제거하였다. 현상 후 공기 중 150℃에서 최종 5분의 열판 베이킹을 수행하였다. 상기 노출된 패드의 잔류 두께를 측정하기 위해 J. A. Woollam M-2000 분광 타원편광기를 사용하였다. 측정한 두께를 측정된 최대 레지스트 두께에 대해 정규화하고 노출 선량의 로그(log)에 대해 플롯팅하여 일련의 노출 후 베이킹 온도에서 각 레지스트에 대한 특성 커브를 생성하였다. 도 10을 참조한다. 정규화된 두께 대 로그 선량 커브의 최대 기울기는 포토레지스트 콘트라스트(γ)로서 정의되고, 이 점을 통해 그려진 탄젠트 라인이 1과 동일한 선량값은 단위겔당 선량(Dg)으로 정의된다. 이러한 방식으로, 포토레지스트 특성화에 사용되는 일반적인 파라미터는 문헌(Mack, C. Fundamental Principles of Optical Lithography, John Wiley & Sons, Chichester, U.K; pp 271-272, 2007)에 따라 근사화될 수 있다.
각각의 레지스트 필름에 대한 잔류 패드 두께가 약 0에서 시작하여 최대 Dg에 근접하여 도달하는 바와 같이, 생성된 커브들은 노출 시 발생되는 네가티브 톤 콘트라스트를 명확하게 예시한다. Sn(NMe2)4에 상응하는 전구체 코팅 용액에서 Sn의 몰 분율이 0(Dg = 13.8mJ/cm2)에서 10%(Dg = 10.6mJ/cm2)로, 최종적으로 20%(Dg = 5.8mJ/cm2)로 증가하므로, 현상 속도 변화를 개시하는데 필요한 선량은 감소하는 것으로 명확히 관찰된다.
EUV 스캐너, 및 전구체 코팅 용액 CS-d, CS-e 및 CS-f로부터 캐스팅된 tert-부틸 주석 옥사이드 하이드록사이드 포토레지스트 필름을 사용하여 고해상도 라인-간격 패턴을 유사하게 인쇄하였다. 자연 옥사이드 표면을 갖는 실리콘 웨이퍼(직경 300mm)를 추가의 표면 처리 없이 기판으로 사용하였다. 위에 기재한 바와 같이 tBuSn(NEt2)3으로부터 제조되고 0mole%, 10mole% 또는 20mole%의 Sn 총 농도가 Sn(NM2)4 또는 Sn(OtBu)4로부터 유도된 전구체 코팅 용액 CS-d 내지 CS-h 뿐만 아니라 CS-i를 공기 중에서 1000rpm 또는 1500rpm으로 Si 기판 상에 스핀-코팅하고, 100℃에서 2분 동안 공기 중 열판 상에서 베이킹시켰다.
상기 코팅된 기판은 다이폴 60x 조명 및 0.33의 개구수(numerical aperture)를 갖는 NXE:3300B EUV 스캐너를 사용하여 극자외선 방사선에 노출시켰다. 2분 후 상기 코팅된 웨이퍼 상에 34nm 피치로 17nm 라인 패턴을 투사하고 100℃에서 적용 후 베이킹(post-apply bake, PAB)시켰다. 이어서, 노출된 레지스트 필름 및 기판을 170℃에서 2분 동안 공기 중 열판 상에서 PEB시켰다. 이어서, 노출된 필름을 2-헵탄온에서 15초 동안 현상한 다음, 동일한 현상제로 추가 15초 동안 세정하여 네가티브 톤 이미지를 형성하였는데, 즉 상기 코팅의 비노출 부분을 제거하였다. 현상 후에 공기 중 150℃에서 최종 5분의 열판 베이킹을 수행하였다. 도 11은 tert-부틸 주석 옥사이드 하이드록사이드 레지스트 필름으로부터 현상된 생성된 레지스트 라인의 SEM 이미지를 나타낸다. tBuSn(NEt2)3(CS-d), 및 10mole% 또는 20mole%의 Sn 총 농도가 Sn(NMe2)4로부터(각각 CS-e 및 CS-f에 해당) 또는 Sn(0tBu)4로부터(각각 CS-g 및 CS-h에 해당) 제조된 전구체 코팅 용액으로부터의 각 필름 캐스트에 대한 이미지 선량, 임계적 치수 및 선폭 거칠기를 보여준다. 다시, 상기 전구체 코팅 용액에 첨가된 SnX4 부분이 증가함에 따라 이미징 선량이 감소되는 것으로 관찰된다. 16nm의 임계 치수를 달성하기에 필요한 이미징 선량을 전구체 코팅 용액 d 내지 i로부터 캐스팅된 각 필름의 계산된 LWR에 대해 플롯팅하고 도 12에 나타냈다. 의미있게도, 선폭 거칠기(LWR)의 부수적인 증가없이 CS-i에 비해 CS-e 및 CS-f로부터 캐스팅된 필름의 경우 필요한 이미징 선량이 30% 이상 감소되었고, 이것은 예비가수분해된 혼합된 알킬 리간드 제제에 걸친 중요한 개선 및 패터닝 선량과 LWR 사이의 공통으로 관찰된 역관계(inverse relationship)의 (선량 범위에 걸친) 중요한 우회임을 가리킨다.
실시예 6
tert-부틸 및 메틸 방사선 민감성 리간드 혼합물로 제조된 코팅에 대한 패터닝 성능을 평가한다.
구체적으로, tBuSnX3 및 MeSnX3 화합물을 포함하는 전구체 용액의 현장 가수분해를 통해 제조된 tBuSnO(3/2-(x/2))(OH)x와 MeSnO(3/2-(x/2))(OH)x의 혼합물을 포함하는 유기 주석 옥사이드 하이드록사이드 포토레지스트 필름의 맥락에서 전구체 용액 제조, 필름 코팅 및 리소그래피 성능을 조사하였다.
MeSn(OtBu)3(FW = 353.1, 이하 P-6)를 MeSnCl3(젤레스트)으로부터 다음과 같이 합성하였다. 첨가 깔대기 및 자기 교반바를 장착한 오븐 건조된 RBF에 펜탄 중 0.8M MeSnCl3를 충전하였다. 빙욕으로 냉각시키는 동안, 펜탄 중의 디에틸아민 4몰 당량(5.5M)을 상기 첨가 깔때기를 통해 적가하였다. 첨가가 완료되면, 펜탄에 3.25:1(용적)로 혼합된 4몰 당량의 tert-부틸 알콜을 첨가하고, 이 용액을 실온에서 30분 동안 교반하였다. 이어서, 상기 반응 혼합물을 여과하고 휘발물질을 진공하에 제거하여 생성물을 경유(light oil)로서 분리하였다. 이어서, 생성물을 55 내지 60℃, 약 0.1 Torr에서 증류하였다.
무수 4-메틸-2-펜탄올에 P-6을 용해시켜 스톡 용액을 제조하였다. 위의 용액S-4와 동일한 방법으로 MeSn(0tBu)3 용액을 4-메틸-2-펜탄올 중의 tBuSn(NEt2)3으로부터 제조된 제2 스톡 용액과 다양한 용적비로 혼합하고 동일 용매로 희석하여 0.05M의 총 Sn 농도를 달성하였다. 이 방법으로 일련의 전구체 용액을 MeSn(0tBu)3으로서 첨가된 총 알킬-Sn 농도가 0 내지 60mole%의 범위이도록 제조하였다. 이들 전구체 용액을 100mm Si 기판 상에 코팅하고, 100℃에서 베이킹시킨 다음, 전술한 바와 같이 콘트라스트 어레이를 생성하는 다양한 선량의 EUV 방사선에 노출시켰다.
노출 후 코팅된 웨이퍼를 공기 중에 170℃에서 베이킹시키고, 2-헵탄온에서 15초 동안 현상하고, 동일한 용매를 함유하는 세척 병으로 15초 동안 세정한 다음, N2 하에 건조시키고 공기 중에 150℃에서 5분 동안 베이킹시켰다. 각 노출 패드의 잔류 두께를 측정하고 선량의 함수로서 플롯팅하여 도 13에 나타냈다. 추출된 레지스트 매트릭스(실시예 5 참조)를 표 3으로 작성하였다. 도 13으로부터 전구체 용액 중의 MeSn(OtBu)3의 mole%가 증가함에 따라 Dg가 현저히 감소되는 반면, 콘트라스트는 비교적 낮은 값의 Dg에서조차 높게 유지되는 것으로 관찰된다. 중요하게도, 잔류 두께 <<Dg는 0 근처에서 일관되는데, 이것은 레지스트가 비노출 영역에서 최소 잔류물(scum)로 클리어(clear)되는 것을 가리킨다.
Figure 112021061219017-pat00021
13.5nm 파장, 다이폴 조명 및 0.3의 개구수(numerical aperture)를 이용한 Lawrence Berkeley National Laboratory Micro Exposure Tool을 이용하여 유사하게 처리된 웨이퍼 상에 36nm 피치로 18nm 라인 패턴을 노출시켰다. SEM으로 측정하고 이미징 선량(imaging dose)에 대해 플롯팅한 선폭(CD)을 도 14에 나타냈다. 다시, 주어진 선폭을 달성하기 위해 필요한 이미징 선량이 MeSn(0tBu)3의 몰 분율이 증가함에 따라 크게 감소되는 것으로 밝혀졌다. 동일한 웨이퍼로부터의 대표적인 SEM 이미지를 a) 20%, b) 40% 및 c) 60%의 P-6을 함유하는 전구체 용액에 대해 도 15에 도시하였다.
상기 양태들은 예시적인 것이며 제한적인 것이 아니다. 추가 양태들이 특허청구범위 내에 있다. 또한, 본 발명을 특정 양태를 참조하여 설명하였지만 당업계의 기술자는 본 발명의 취지 및 범위를 벗어나지 않고 본 발명을 변경시킬 수 있음을 이해할 수 있을 것이다. 상기 참고문헌들의 임의의 통합이 제한되어, 본원의 명시적 개시와 대조되는 주제는 통합되지 않는다. 구체적인 구조, 조성물 및/또는 방법이 본원에서 구성요소, 요소, 성분 또는 다른 파티션(partition)과 함께 설명되는 정도로, 본원 개시 내용은, 달리 구체적으로 나타내지 않는 한, 본원 기재에서 제안된 바와 같이 본 발명의 기본 특성을 변경시키지 않는 추가의 특징을 포함할 수 있는 특정 양태; 특정 구성요소, 요소, 성분, 다른 파티션 또는 이들의 조합을 포함하는 양태들 뿐만 아니라 이러한 특정 구성요소, 성분 또는 다른 파티션 또는 이들의 조합으로 필수적으로 이루어진 양태들을 보호하는 것으로 이해한다.

Claims (18)

  1. 금속 탄소 결합 및 금속 산소 결합을 갖는 유기 리간드를 갖는 금속 양이온과의 옥소-하이드록소 네트워크를 포함하는 방사선 패턴화가능한 코팅의 형성 방법으로서, 상기 방법은
    주위 대기로부터 차단된 증착 챔버(deposition chamber)에, 식 RnSnX4-n(여기서, n은 1 내지 3이고, R은 금속-탄소 결합을 이용해 Sn에 결합된 1 내지 31개의 탄소원자를 갖는 유기 리간드이고, X는 Sn과 가수분해성 결합을 갖는 리간드이다)으로 표시되는 조성물을 포함하는 제1 전구체 증기를 투입하고,
    그 후에 또는 동시에, 상기 증착 챔버내 조건하에 상기 제1 전구체 증기내 상기 조성물과 반응할 수 있는 산소 함유 화합물을 포함하는 제2 전구체 증기를 투입하여 X 리간드(들)를 갖는 반응 생성물을 포함하는 비휘발성 성분들과 1개의 휘발성 성분을 갖는 조성물을 형성하는 것을 포함하고,
    여기서, 기판은 상기 조성물의 비휘발성 성분을 수용하는 표면을 갖는 것으로 구성되는 것인 방법.
  2. 제1항에 있어서, 상기 제2 전구체 증기가 수증기를 포함하는 것인 방법.
  3. 제1항 또는 제2항에 있어서, 상기 증착 챔버가 0.01 Torr 내지 25 Torr의 압력을 갖는 것인 방법.
  4. 제1항 또는 제2항에 있어서, 상기 증착 챔버가 40℃ 내지 175℃의 온도를 갖는 것인 방법.
  5. 제1항 또는 제2항에 있어서, 전구체 증기를 투입하는 각 사이클 사이에 불활성 퍼지 가스를 투입하는 단계를 추가로 포함하는 것인 방법.
  6. 제1항 또는 제2항에 있어서, 상기 증착 챔버에, MLv(여기서, 2 ≤ v ≤ 6이고, L은 산화성 리간드 또는 가수분해성 M-L 결합을 갖는 리간드 또는 이의 조합이고, M은 원소주기율표의 2족 내지 16족의 금속이다)를 포함하는 제3 전구체를 별도로 투입하는 것인 방법.
  7. 제1항 또는 제2항에 있어서, n은 1 또는 2이고, R은 메틸, 에틸, i-프로필, n-부틸, s-부틸 또는 t-부틸이며, X는 알킬아미도 또는 디알킬아미도(-NR1R2, 여기서 R1 및 R2는 독립적으로 1 내지 10개의 탄소원자를 갖는 탄화수소 그룹 또는 수소이다)인 방법.
  8. 유기 용매;
    용액 중 총 금속 함량의 10mole% 이상의, 식 RzSnO(2-(z/2)-(x/2))(OH)x 또는 식 RnSnX4-n(여기서, 0 < (z+x) ≤ 4이고, 0 < z 이며, n은 1 내지 3이고, R은 1 내지 31개의 탄소원자를 갖는 하이드로카빌 그룹이고, X는 가수분해성 Sn-X 결합을 갖는 리간드 또는 이의 조합이다) 또는 이들의 혼합물로 표시되는 제1 유기 금속 조성물; 및
    용액 총 금속 함량의 10mole% 이상의, 식 R'ySnX'4-y(여기서, y는 1 내지 3이고, R'는 R과는 다른 하이드로카빌 그룹이고, X'는 가수분해성 Sn-X' 결합을 갖는 리간드 또는 이의 조합으로서 X와 동일하거나 상이하다)로 표시되는 제2 유기 금속 조성물을 포함하는 코팅 용액.
  9. 제8항에 있어서, R이 t-부틸이고 R'가 메틸인 것인 코팅 용액.
  10. 제8항 또는 제9항에 있어서, X 및 X'가 알킬아미도 또는 디알킬아미도(-NR1R2, 여기서 R1 및 R2는 독립적으로 1 내지 10개의 탄소원자를 갖는 탄화수소 그룹 또는 수소이다), 실옥소(-OSiR1R2R3, 여기서 R1 및 R2는 독립적으로 1 내지 10개의 탄소원자를 갖는 탄화수소 그룹이다), 실릴아미도(-N(SiR1 3)(R2), 여기서 R1 및 R2는 독립적으로 1 내지 10개의 탄소원자를 갖는 탄화수소 그룹이다), 디실릴아미도(-N(SiR1 3)(SiR2 3), 여기서 R1 및 R2는 독립적으로 1 내지 10개의 탄소원자를 갖는 탄화수소 그룹이다), 알콕소 및 아릴옥소(-OR, 여기서 R은 1 내지 10개의 탄소원자를 갖는 알킬 또는 아릴 그룹이다), 아지도(-N3), 알키니도(-C≡CR, 여기서 R은 1 내지 9개의 탄소원자를 갖는 탄화수소 그룹이다), 아미데이토(-NR1(COR2), 여기서 R1 및 R2는 독립적으로 1 내지 7개의 탄소원자를 갖는 탄화수소 그룹 또는 수소이다), 아미디네이토(-NR1C(NR2)R3, 여기서 R1 및 R2는 독립적으로 1 내지 8개의 탄소원자를 갖는 탄화수소 그룹 또는 수소이다), 이미도(-N(COR1)(COR2), 여기서 R1 및 R2는 독립적으로 1 내지 8개의 탄소원자를 갖는 탄화수소 그룹 또는 수소이다), 또는 이들의 불소화 유사체, 또는 이들의 조합으로 이루어진 그룹으로부터 독립적으로 선택되는 것인 코팅 용액.
  11. 제8항 또는 제9항에 있어서, 상기 용액 중에 0.0025M 내지 1.5M의 주석이 존재하고, 상기 용매가 알콜, 에스테르 또는 이들의 혼합물인 것인 코팅 용액.
  12. 제8항 또는 제9항에 있어서, 용액 중 총 금속 함량의 10mole% 이상의, 식 R"ySnX"4-y(여기서, y는 1 또는 2이고, R"는 R 및 R'와는 다른 하이드로카빌 그룹이고, X"는 가수분해성 Sn-X" 결합을 갖는 리간드 또는 이의 조합으로서, X, X', 또는 X와 X' 둘 다와 동일하거나 상이하다)로 표시되는 제3 유기 금속 조성물을 추가로 포함하는 코팅 용액.
  13. 제8항 또는 제9항에 있어서, R 또는 R'가 메틸, 에틸, i-프로필, n-부틸, s-부틸 또는 t-부틸 그룹인 것인 코팅 용액.
  14. 표면 및 상기 표면 상의 코팅을 갖는 기판을 포함하는 코팅된 기판으로서, 상기 코팅이 식 y (RzSnO(2-(z/2)-(w/2))(OH)w·zMO((m/2)-l/2)(OH)l(여기서, R은 1 내지 31개의 탄소원자를 갖는 하이드로카빌 그룹 또는 이의 조합이고, 0 < (z + w) ≤ 4이며, 0 < z이고, m은 Mm+의 공식 원자가이고, 0 ≤ l ≤ m이고, y/z는 (0.05 내지 0.6)이고, M은 M' 또는 Sn이고, M'는 원소주기율표의 2족 내지 16족의 비-주석 금속이다)로 표시되는 유기 금속 조성물을 포함하는 것인 코팅된 기판.
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
KR1020217000995A 2015-10-13 2016-10-12 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝 KR102346372B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020217036130A KR102508142B1 (ko) 2015-10-13 2016-10-12 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201562240812P 2015-10-13 2015-10-13
US62/240,812 2015-10-13
US201662297540P 2016-02-19 2016-02-19
US62/297,540 2016-02-19
PCT/US2016/056637 WO2017066319A2 (en) 2015-10-13 2016-10-12 Organotin oxide hydroxide patterning compositions, precursors, and patterning
KR1020187013486A KR102204773B1 (ko) 2015-10-13 2016-10-12 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020187013486A Division KR102204773B1 (ko) 2015-10-13 2016-10-12 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020217036130A Division KR102508142B1 (ko) 2015-10-13 2016-10-12 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝

Publications (2)

Publication Number Publication Date
KR20210008151A KR20210008151A (ko) 2021-01-20
KR102346372B1 true KR102346372B1 (ko) 2021-12-31

Family

ID=58500023

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020237007653A KR20230035713A (ko) 2015-10-13 2016-10-12 유기주석 옥사이드 하이드록사이드 패터닝 조성물,전구체 및 패터닝
KR1020187013486A KR102204773B1 (ko) 2015-10-13 2016-10-12 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝
KR1020217036130A KR102508142B1 (ko) 2015-10-13 2016-10-12 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝
KR1020217000995A KR102346372B1 (ko) 2015-10-13 2016-10-12 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝

Family Applications Before (3)

Application Number Title Priority Date Filing Date
KR1020237007653A KR20230035713A (ko) 2015-10-13 2016-10-12 유기주석 옥사이드 하이드록사이드 패터닝 조성물,전구체 및 패터닝
KR1020187013486A KR102204773B1 (ko) 2015-10-13 2016-10-12 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝
KR1020217036130A KR102508142B1 (ko) 2015-10-13 2016-10-12 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝

Country Status (7)

Country Link
US (8) US10228618B2 (ko)
EP (4) EP4089482A1 (ko)
JP (3) JP6805244B2 (ko)
KR (4) KR20230035713A (ko)
CN (2) CN108351594B (ko)
TW (6) TWI761135B (ko)
WO (1) WO2017066319A2 (ko)

Families Citing this family (263)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9778561B2 (en) 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
EP3230294B1 (en) * 2014-10-23 2021-06-30 Inpria Corporation Organometallic solution based high resolution patterning compositions
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
GB201517273D0 (en) 2015-09-30 2015-11-11 Univ Manchester Resist composition
EP4089482A1 (en) * 2015-10-13 2022-11-16 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017156388A1 (en) 2016-03-11 2017-09-14 Inpria Corporation Pre-patterned lithography templates, processes based on radiation patterning using the templates and processes to form the templates
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP7065076B2 (ja) 2016-08-12 2022-05-11 インプリア・コーポレイション 金属含有レジストからのエッジビード領域における金属残留物を低減する方法
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US20180347039A1 (en) * 2017-06-05 2018-12-06 Applied Materials, Inc. Aerosol Assisted CVD For Industrial Coatings
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CA2975104A1 (en) * 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019099981A2 (en) * 2017-11-20 2019-05-23 Inpria Corporation Organotin clusters, solutions of organotin clusters, and application to high resolution patterning
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
TWI778248B (zh) 2018-04-05 2022-09-21 美商英培雅股份有限公司 錫十二聚物及具有強euv吸收的輻射可圖案化塗層
CA3219374A1 (en) * 2018-04-11 2019-10-17 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US11673903B2 (en) 2018-04-11 2023-06-13 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US10787466B2 (en) 2018-04-11 2020-09-29 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
SG11202009703QA (en) * 2018-05-11 2020-10-29 Lam Res Corp Methods for making euv patternable hard masks
KR102207893B1 (ko) * 2018-05-25 2021-01-25 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102211158B1 (ko) * 2018-06-08 2021-02-01 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11054742B2 (en) * 2018-06-15 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. EUV metallic resist performance enhancement via additives
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102536479B1 (ko) * 2018-06-21 2023-05-24 인프리아 코포레이션 모노알킬 주석 알콕사이드 및 이들의 가수분해 및 축합 생성물의 안정적인 용액
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
KR102296793B1 (ko) * 2018-07-06 2021-08-31 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11092890B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US11092889B2 (en) * 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
KR102307977B1 (ko) * 2018-07-31 2021-09-30 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
CN110780536B (zh) * 2018-07-31 2023-05-16 三星Sdi株式会社 半导体抗蚀剂组合物及使用组合物形成图案的方法及系统
KR102306444B1 (ko) * 2018-07-31 2021-09-28 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102229623B1 (ko) * 2018-08-10 2021-03-17 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102307981B1 (ko) * 2018-08-10 2021-09-30 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11031244B2 (en) * 2018-08-14 2021-06-08 Lam Research Corporation Modification of SNO2 surface for EUV lithography
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
TW202016279A (zh) * 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP6950662B2 (ja) 2018-10-30 2021-10-13 信越化学工業株式会社 基板保護膜形成用材料及びパターン形成方法
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) * 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
WO2020132281A1 (en) * 2018-12-20 2020-06-25 Lam Research Corporation Dry development of resists
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11966158B2 (en) 2019-01-30 2024-04-23 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with low metal contamination and/or particulate contamination, and corresponding methods
US11498934B2 (en) * 2019-01-30 2022-11-15 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with particulate contamination and corresponding methods
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
TW202344939A (zh) * 2019-04-12 2023-11-16 美商英培雅股份有限公司 對經顯影圖案層進行處理的方法
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR102606844B1 (ko) * 2019-04-30 2023-11-27 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11327398B2 (en) 2019-04-30 2022-05-10 Samsung Electronics Co., Ltd. Photoresist compositions and methods for fabricating semiconductor devices using the same
US11609494B2 (en) * 2019-04-30 2023-03-21 Samsung Sdi Co., Ltd. Semiconductor photoresist composition and method of forming patterns using the composition
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US20220244645A1 (en) * 2019-06-26 2022-08-04 Lam Research Corporation Photoresist development with halide chemistries
US20220308462A1 (en) * 2019-06-27 2022-09-29 Lam Research Corporation Apparatus for photoresist dry deposition
US20220342301A1 (en) * 2019-06-28 2022-10-27 Lam Research Corporation Photoresist with multiple patterning radiation-absorbing elements and/or vertical composition gradient
KR20220031649A (ko) * 2019-06-28 2022-03-11 램 리써치 코포레이션 금속-함유 레지스트의 리소그래피 성능을 향상시키기 위한 소성 (bake) 전략들
JPWO2021002351A1 (ko) 2019-07-02 2021-01-07
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
WO2021016229A1 (en) * 2019-07-22 2021-01-28 Inpria Corporation Organometallic metal chalcogenide clusters and application to lithography
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11651961B2 (en) 2019-08-02 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning process of a semiconductor structure with enhanced adhesion
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
JP7149241B2 (ja) 2019-08-26 2022-10-06 信越化学工業株式会社 レジスト材料及びパターン形成方法
JP7264771B2 (ja) 2019-08-30 2023-04-25 信越化学工業株式会社 レジスト材料及びパターン形成方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR102446362B1 (ko) * 2019-10-15 2022-09-21 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102446459B1 (ko) * 2019-10-15 2022-09-21 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR102480432B1 (ko) * 2019-11-18 2022-12-21 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11934101B2 (en) * 2019-11-27 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist composition and method of forming photoresist pattern
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR102539806B1 (ko) * 2020-01-15 2023-06-05 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
KR102555497B1 (ko) * 2020-01-21 2023-07-12 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
EP4115242A4 (en) * 2020-03-02 2024-03-13 Inpria Corp PROCESSING ENVIRONMENT FOR THE FORMATION OF INORGANIC RESERVE PATTERNS
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202147454A (zh) 2020-03-24 2021-12-16 日商東京威力科創股份有限公司 熱處理裝置及熱處理方法
US20210302839A1 (en) * 2020-03-30 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
KR102573327B1 (ko) * 2020-04-02 2023-08-30 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR102577300B1 (ko) * 2020-04-17 2023-09-08 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102538092B1 (ko) * 2020-04-17 2023-05-26 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
EP4147269A1 (en) 2020-05-06 2023-03-15 Inpria Corporation Multiple patterning with organometallic photopatternable layers with intermediate freeze steps
KR102619719B1 (ko) * 2020-05-12 2023-12-28 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP3919979A1 (en) 2020-06-02 2021-12-08 Imec VZW Resistless patterning mask
TW202205433A (zh) * 2020-06-19 2022-02-01 日商東京威力科創股份有限公司 蝕刻方法、基板處理裝置及基板處理系統
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20220002869A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Vapor phase photoresists deposition
US20220005687A1 (en) * 2020-07-02 2022-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method
KR20230031923A (ko) * 2020-07-03 2023-03-07 엔테그리스, 아이엔씨. 유기주석 화합물의 제조 방법
CN115004110A (zh) 2020-07-07 2022-09-02 朗姆研究公司 用于图案化辐射光致抗蚀剂图案化的集成干燥工艺
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
CN116194838A (zh) * 2020-07-17 2023-05-30 朗姆研究公司 利用有机共反应物的干式沉积光致抗蚀剂
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CA3190105A1 (en) * 2020-08-25 2022-03-03 Inpria Corporation Methods to produce organotin compositions with convenient ligand providing reactants
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
KR102586099B1 (ko) * 2020-09-14 2023-10-05 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102586112B1 (ko) 2020-09-14 2023-10-05 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
KR102598259B1 (ko) * 2020-12-18 2023-11-02 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US20220197146A1 (en) * 2020-12-22 2022-06-23 Applied Materials, Inc. Photoresists by physical vapor deposition
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN116888133A (zh) * 2021-01-28 2023-10-13 恩特格里斯公司 制备有机锡化合物的方法
US11697660B2 (en) * 2021-01-29 2023-07-11 Entegris, Inc. Process for preparing organotin compounds
JP2024506160A (ja) * 2021-02-12 2024-02-09 ラム リサーチ コーポレーション 量子効率の良いフォトレジストおよびその方法
US20220269169A1 (en) 2021-02-19 2022-08-25 Inpria Corporation Organometallic radiation patternable coatings with low defectivity and corresponding methods
KR20230148424A (ko) * 2021-02-23 2023-10-24 램 리써치 코포레이션 할로겐-함유 유기주석 포토레지스트 및 지방족-함유 유기주석 포토레지스트 및 이의 방법들
KR20230162611A (ko) * 2021-03-26 2023-11-28 제이에스알 가부시끼가이샤 반도체 기판의 제조 방법 및 레지스트 하층막 형성용 조성물
TWI773231B (zh) * 2021-04-07 2022-08-01 國立成功大學 製備金屬奈米粒子的方法
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
WO2022251029A1 (en) * 2021-05-25 2022-12-01 Tokyo Electron Limited Metalorganic films for extreme ultraviolet patterning
US20220397826A1 (en) * 2021-06-15 2022-12-15 Inpria Corporation Organotin patterning materials with ligands having silicon/germanium; precursor compositions; and synthesis methods
CN117651708A (zh) * 2021-06-18 2024-03-05 恩特格里斯公司 制备有机锡化合物的方法
CN117693513A (zh) 2021-07-30 2024-03-12 默克专利股份有限公司 二有机锡二卤化物的制备
KR102382858B1 (ko) * 2021-08-06 2022-04-08 주식회사 레이크머티리얼즈 트리할로 주석 화합물의 제조방법 및 이를 포함하는 트리아미드 주석 화합물의 제조방법
US11894228B2 (en) * 2021-08-26 2024-02-06 Applied Materials, Inc. Treatments for controlling deposition defects
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11459656B1 (en) 2021-09-13 2022-10-04 Gelest, Inc Method and precursors for producing oxostannate rich films
US20230098280A1 (en) * 2021-09-14 2023-03-30 Entegris, Inc. Synthesis of fluoroalkyl tin precursors
US20230143629A1 (en) * 2021-11-09 2023-05-11 Tokyo Electron Limited EUV Active Films for EUV Lithography
US20230160058A1 (en) * 2021-11-24 2023-05-25 Entegris, Inc. Organotin precursor compounds
US11827659B2 (en) * 2022-03-31 2023-11-28 Feng Lu Organometallic tin compounds as EUV photoresist
WO2023227564A1 (en) 2022-05-26 2023-11-30 Merck Patent Gmbh Developable resist overlayer composition as well as method for manufacturing resist overlayer pattern and resist pattern
WO2023235534A1 (en) 2022-06-02 2023-12-07 Gelest, Inc. High purity alkyl tin compounds and manufacturing methods thereof
US20230391803A1 (en) * 2022-06-03 2023-12-07 Entegris, Inc. Compositions and related methods of alkyltintrihalides
WO2023239628A1 (en) * 2022-06-06 2023-12-14 Inpria Corporation Gas-based development of organometallic resist in an oxidizing halogen-donating environment
WO2023245047A1 (en) * 2022-06-17 2023-12-21 Lam Research Corporation Tin precursors for deposition of euv dry resist
WO2024017921A1 (en) 2022-07-22 2024-01-25 Merck Patent Gmbh Developer tolerance resist underlayer composition and method for manufacturing resist pattern
US20240045332A1 (en) * 2022-08-02 2024-02-08 Tokyo Electron Limited Method of forming photosensitive organometallic oxides by chemical vapor polymerization
US20240085785A1 (en) * 2022-08-17 2024-03-14 Inpria Corporation Additives for metal oxide photoresists, positive tone development with additives, and double bake double develop processing
IL305619A (en) 2022-09-14 2024-04-01 Shinetsu Chemical Co Compound for forming a metal-containing layer, composition for forming a metal-containing layer, printing method, and semiconductor masking sensitizer
WO2024064071A1 (en) * 2022-09-20 2024-03-28 Lam Research Corporation Bake-sensitive underlayers to reduce dose to size of euv photoresist

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2466486A (en) 2008-12-23 2010-06-30 Dow Corning Moisture curable composition
WO2014150411A1 (en) * 2013-03-15 2014-09-25 The Sherwin-Williams Company Curable compositions
WO2015026482A2 (en) * 2013-08-22 2015-02-26 Inpria Corporation Organometallic solution based high resolution patterning compositions

Family Cites Families (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3385915A (en) 1966-09-02 1968-05-28 Union Carbide Corp Process for producing metal oxide fibers, textiles and shapes
US3635883A (en) * 1970-05-07 1972-01-18 Stauffer Chemical Co Stabilized styrene-acrylonitrile polymer compositions
US3949146A (en) * 1973-08-24 1976-04-06 Rca Corporation Process for depositing transparent electrically conductive tin oxide coatings on a substrate
US4104292A (en) 1976-11-02 1978-08-01 M&T Chemicals Inc. Method for preparing organotin compounds
US4102683A (en) 1977-02-10 1978-07-25 Rca Corp. Nonreflecting photoresist process
US4380559A (en) 1980-09-25 1983-04-19 Murata Manufacturing Co., Ltd. Method for producing boundary layer semiconductor ceramic capacitors
JPS57123126A (en) 1981-01-23 1982-07-31 Adeka Argus Chem Co Ltd Stabilized allyl chloride composition
US4380599A (en) * 1981-02-06 1983-04-19 Berger, Jenson And Nicholson Ltd. Organotin polymers method of making them and paints containing them
US4370405A (en) 1981-03-30 1983-01-25 Hewlett-Packard Company Multilayer photoresist process utilizing an absorbant dye
US4910122A (en) 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4639208A (en) 1984-04-03 1987-01-27 Matsushita Electric Industrial Co., Ltd. Pulse combustion apparatus with a plurality of pulse burners
US4601917A (en) 1985-02-26 1986-07-22 M&T Chemicals Inc. Liquid coating composition for producing high quality, high performance fluorine-doped tin oxide coatings
US4710122A (en) 1986-03-07 1987-12-01 Villanueva Eliseo H Machine for manufacturing flat bodies in a continuous line
US5025094A (en) 1985-07-10 1991-06-18 Union Carbide Chemicals And Plastics Technology Corporation Heterogeneous alkoxylation using anion-bound metal oxides
US4732841A (en) 1986-03-24 1988-03-22 Fairchild Semiconductor Corporation Tri-level resist process for fine resolution photolithography
DE3738634C2 (de) 1986-11-13 1996-11-14 Sunstar Engineering Inc Epoxyharzmasse mit darin dispergierten Siliconharzteilchen
JPH07733B2 (ja) 1986-11-13 1995-01-11 サンスタ−技研株式会社 エポキシ樹脂組成物
JPH01175118A (ja) * 1987-12-28 1989-07-11 Central Glass Co Ltd 透明導電膜の形成法
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US5090985A (en) * 1989-10-17 1992-02-25 Libbey-Owens-Ford Co. Method for preparing vaporized reactants for chemical vapor deposition
JPH03148659A (ja) 1989-11-06 1991-06-25 Fujitsu Ltd 電離放射線感応性ネガ型レジスト材料組成物
US7323581B1 (en) * 1990-07-06 2008-01-29 Advanced Technology Materials, Inc. Source reagent compositions and method for forming metal films on a substrate by chemical vapor deposition
US5672243A (en) 1995-11-28 1997-09-30 Mosel Vitelic, Inc. Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide
US5698262A (en) * 1996-05-06 1997-12-16 Libbey-Owens-Ford Co. Method for forming tin oxide coating on glass
US5891985A (en) 1996-10-09 1999-04-06 E. I. Du Pont De Nemours And Company Soluble mono-alkyl stannoic acid catalyst and its use in preparing high molecular weight polyesters
US6183716B1 (en) 1997-07-30 2001-02-06 State Of Oregon Acting By And Through The State Board Of Higher Education Of Behalf Of Oregon State University Solution method for making molybdate and tungstate negative thermal expansion materials and compounds made by the method
EP0959496B1 (en) * 1998-05-22 2006-07-19 Applied Materials, Inc. Methods for forming self-planarized dielectric layer for shallow trench isolation
US6179922B1 (en) 1998-07-10 2001-01-30 Ball Semiconductor, Inc. CVD photo resist deposition
US6060380A (en) 1998-11-06 2000-05-09 Advanced Micro Devices, Inc. Antireflective siliconoxynitride hardmask layer used during etching processes in integrated circuit fabrication
US6020269A (en) 1998-12-02 2000-02-01 Advanced Micro Devices, Inc. Ultra-thin resist and nitride/oxide hard mask for metal etch
US6287951B1 (en) 1998-12-07 2001-09-11 Motorola Inc. Process for forming a combination hardmask and antireflective layer
US6194323B1 (en) 1998-12-16 2001-02-27 Lucent Technologies Inc. Deep sub-micron metal etch with in-situ hard mask etch
US6268457B1 (en) 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6238734B1 (en) * 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6197896B1 (en) 1999-07-12 2001-03-06 International Business Machines Corporation Graft polymers and use thereof
EP1094506A3 (en) 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
AU2001265390A1 (en) 2000-06-06 2001-12-17 Ekc Technology, Inc. Method of making electronic materials
US6420088B1 (en) 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
EP1347468A4 (en) 2000-12-28 2005-04-20 Nissan Chemical Ind Ltd METHOD FOR MODELING THE CONTOURS OF AN ELECTRO-CONDUCTIVE TIN OXIDE LAYER
AU2002243617A1 (en) * 2001-01-17 2002-07-30 Neophotonics Corporation Optical materials with selected index-of-refraction
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
CN1524104A (zh) * 2001-04-09 2004-08-25 积水化学工业株式会社 光反应性组合物
US6521295B1 (en) * 2001-04-17 2003-02-18 Pilkington North America, Inc. Chemical vapor deposition of antimony-doped metal oxide and the coated article made thereby
KR20030057133A (ko) 2001-12-28 2003-07-04 삼성전자주식회사 금속 패턴 형성용 유기금속 전구체 및 이를 이용한 금속패턴 형성방법
JP4110952B2 (ja) * 2002-01-16 2008-07-02 株式会社村田製作所 誘電体薄膜の形成方法
WO2003078678A1 (fr) * 2002-03-19 2003-09-25 Kabushiki Kaisha Ekisho Sentan Gijutsu Kaihatsu Center D'interconnexion, procede de formation selective de metal, appareil de formation selective de metal et appareil de substrat
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6946677B2 (en) 2002-06-14 2005-09-20 Nokia Corporation Pre-patterned substrate for organic thin film transistor structures and circuits and related method for making same
KR100520961B1 (ko) 2003-05-30 2005-10-17 엘지전자 주식회사 인쇄회로기판의 제조방법
US6927108B2 (en) 2003-07-09 2005-08-09 Hewlett-Packard Development Company, L.P. Solution-processed thin film transistor formation method
DE10345455A1 (de) 2003-09-30 2005-05-04 Infineon Technologies Ag Verfahren zum Erzeugen einer Hartmaske und Hartmasken-Anordnung
US7071121B2 (en) 2003-10-28 2006-07-04 Hewlett-Packard Development Company, L.P. Patterned ceramic films and method for producing the same
US7001821B2 (en) 2003-11-10 2006-02-21 Texas Instruments Incorporated Method of forming and using a hardmask for forming ferroelectric capacitors in a semiconductor device
JP4602971B2 (ja) * 2004-02-20 2010-12-22 日本曹達株式会社 光感応性基体及びパターニング方法
US7773365B2 (en) 2004-04-30 2010-08-10 Hewlett-Packard Development Company, L.P. Dielectric material
US7312165B2 (en) * 2004-05-05 2007-12-25 Jursich Gregory M Codeposition of hafnium-germanium oxides on substrates used in or for semiconductor devices
JP2008502805A (ja) * 2004-06-15 2008-01-31 アヴィザ テクノロジー インコーポレイテッド 多成分誘電体膜を形成するためのシステム及び方法
US20060088962A1 (en) 2004-10-22 2006-04-27 Herman Gregory S Method of forming a solution processed transistor having a multilayer dielectric
DE102005002960A1 (de) * 2005-01-21 2006-08-03 Leibniz-Institut Für Neue Materialien Gemeinnützige Gmbh Kompositzusammensetzung für mikrogemusterte Schichten mit hohem Relaxationsvermögen, hoher chemischer Beständigkeit und mechanischer Stabilität
JP2006284947A (ja) 2005-03-31 2006-10-19 Fuji Photo Film Co Ltd 遮光膜用感光性樹脂組成物、遮光膜の作製方法、転写材料及びその製造方法
KR100643570B1 (ko) 2005-06-28 2006-11-10 주식회사 하이닉스반도체 반도체 소자 제조 방법
JP4699140B2 (ja) * 2005-08-29 2011-06-08 東京応化工業株式会社 パターン形成方法
US8969865B2 (en) 2005-10-12 2015-03-03 Hewlett-Packard Development Company, L.P. Semiconductor film composition
JP5362176B2 (ja) 2006-06-12 2013-12-11 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
DE102006033280A1 (de) * 2006-07-18 2008-01-24 Leibniz-Institut Für Neue Materialien Gemeinnützige Gmbh Kompositzusammensetzung für mikrostrukturierte Schichten
US20080055597A1 (en) 2006-08-29 2008-03-06 Jie-Wei Sun Method for characterizing line width roughness (lwr) of printed features
JP4844299B2 (ja) * 2006-09-01 2011-12-28 Tdk株式会社 ホログラム記録材料、その製造方法及びホログラム記録媒体
JP2008091215A (ja) 2006-10-02 2008-04-17 Nitto Kasei Co Ltd 酸化錫膜形成剤、該酸化錫膜形成剤を用いる酸化錫膜形成方法、及び該形成方法により形成される酸化錫膜
KR20110137400A (ko) 2006-11-01 2011-12-22 더 스테이트 오브 오레곤 액팅 바이 앤드 쓰루 더 스테이트 보드 오브 하이어 에쥬케이션 온 비해프 오브 오레곤 스테이트 유니버시티 용액 처리된 박막들 및 적층체들, 상기 박막들 및 적층체들을 포함하는 장치들, 및 그들의 사용 방법 및 제조 방법
TWI334177B (en) 2007-03-29 2010-12-01 Nanya Technology Corp Method for forming a semiconductor device
US7709056B2 (en) 2007-05-16 2010-05-04 Uchicago Argonne, Llc Synthesis of transparent conducting oxide coatings
US7799503B2 (en) 2007-05-17 2010-09-21 International Business Machines Corporation Composite structures to prevent pattern collapse
US7718546B2 (en) 2007-06-27 2010-05-18 Sandisk 3D Llc Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon
US20090087561A1 (en) * 2007-09-28 2009-04-02 Advanced Technology Materials, Inc. Metal and metalloid silylamides, ketimates, tetraalkylguanidinates and dianionic guanidinates useful for cvd/ald of thin films
CN101441415A (zh) * 2007-10-12 2009-05-27 气体产品与化学公司 抗反射涂层
US20090174036A1 (en) 2008-01-04 2009-07-09 International Business Machines Corporation Plasma curing of patterning materials for aggressively scaled features
KR100954541B1 (ko) 2008-03-20 2010-04-23 한국화학연구원 신규의 주석 아미노알콕사이드 화합물 및 그 제조 방법
WO2009120169A1 (en) 2008-03-27 2009-10-01 State Of Oregon Acting By And Through The State Board Of Higher Education On Behalf Of Oregon State University Solution processed thin films and laminates, devices comprising such thin films and laminates, and method for the use and manufacture
EP2123659A1 (en) * 2008-05-15 2009-11-25 Arkema France High purity monoalkyltin compounds and uses thereof
JP2010094583A (ja) * 2008-10-14 2010-04-30 Nippon Soda Co Ltd 有機薄膜の製造方法
KR20110064153A (ko) 2009-12-07 2011-06-15 삼성전자주식회사 금속 유기 전구체, 이의 제조방법, 및 이를 이용한 전도성 금속막 또는 패턴 형성방법
US8366967B2 (en) 2010-02-22 2013-02-05 Inpria Corporation Metal chalcogenide aqueous precursors and processes to form metal chalcogenide films
US8435728B2 (en) 2010-03-31 2013-05-07 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications
US8796483B2 (en) 2010-04-01 2014-08-05 President And Fellows Of Harvard College Cyclic metal amides and vapor deposition using them
US9176377B2 (en) * 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
TW201224190A (en) * 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP2014502590A (ja) 2010-12-08 2014-02-03 東レ・ダウコーニング株式会社 金属酸化物ナノ粒子の変性方法
WO2012118847A2 (en) * 2011-02-28 2012-09-07 Inpria Corportion Solution processible hardmarks for high resolusion lithography
JP2012203061A (ja) * 2011-03-24 2012-10-22 Jnc Corp 金属アルコキシドを含有する感光性組成物及びそれを用いたパターン状透明膜の製造方法
US8703386B2 (en) 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
US9477087B2 (en) 2013-03-12 2016-10-25 3DIcon Corporation Holoform 3D projection display
US9632411B2 (en) * 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9005875B2 (en) 2013-03-15 2015-04-14 Intel Corporation Pre-patterned hard mask for ultrafast lithographic imaging
US9372402B2 (en) 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
JP6167016B2 (ja) * 2013-10-31 2017-07-19 富士フイルム株式会社 積層体、有機半導体製造用キットおよび有機半導体製造用レジスト組成物
US9778561B2 (en) * 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
JP6572898B2 (ja) 2014-09-17 2019-09-11 Jsr株式会社 パターン形成方法
JP6572899B2 (ja) 2014-09-17 2019-09-11 Jsr株式会社 パターン形成方法
EP3230294B1 (en) 2014-10-23 2021-06-30 Inpria Corporation Organometallic solution based high resolution patterning compositions
US10695794B2 (en) * 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
EP4089482A1 (en) * 2015-10-13 2022-11-16 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
JP2018017780A (ja) 2016-07-25 2018-02-01 Jsr株式会社 感放射線性組成物及びパターン形成方法
KR20180063754A (ko) * 2016-12-02 2018-06-12 삼성전자주식회사 주석 화합물, 그의 합성 방법, ald용 주석 전구체 화합물 및 함주석 물질막의 형성 방법
KR20190099428A (ko) 2016-12-28 2019-08-27 제이에스알 가부시끼가이샤 감방사선성 조성물, 패턴 형성 방법 그리고 금속 함유 수지 및 그의 제조 방법
EP3564752A4 (en) 2016-12-28 2020-08-26 JSR Corporation RADIATION-SENSITIVE COMPOSITION, STRUCTURE FORMATION PROCESS AND METAL OXIDE
JPWO2018139109A1 (ja) 2017-01-26 2019-11-14 Jsr株式会社 感放射線性組成物及びパターン形成方法
JPWO2018168221A1 (ja) 2017-03-13 2020-01-16 Jsr株式会社 感放射線性組成物及びパターン形成方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2466486A (en) 2008-12-23 2010-06-30 Dow Corning Moisture curable composition
WO2014150411A1 (en) * 2013-03-15 2014-09-25 The Sherwin-Williams Company Curable compositions
WO2015026482A2 (en) * 2013-08-22 2015-02-26 Inpria Corporation Organometallic solution based high resolution patterning compositions

Also Published As

Publication number Publication date
EP4089482A1 (en) 2022-11-16
US10228618B2 (en) 2019-03-12
US20170102612A1 (en) 2017-04-13
TW202126668A (zh) 2021-07-16
CN113534609A (zh) 2021-10-22
KR20210135647A (ko) 2021-11-15
US10732505B1 (en) 2020-08-04
JP6805244B2 (ja) 2020-12-23
KR102204773B1 (ko) 2021-01-18
US11754924B2 (en) 2023-09-12
EP3391148A2 (en) 2018-10-24
CN108351594B (zh) 2021-07-09
WO2017066319A2 (en) 2017-04-20
WO2017066319A8 (en) 2017-05-18
EP3391148A4 (en) 2019-10-02
US10775696B2 (en) 2020-09-15
US20220334488A1 (en) 2022-10-20
TW202126669A (zh) 2021-07-16
US20220299878A1 (en) 2022-09-22
TW202126670A (zh) 2021-07-16
KR102508142B1 (ko) 2023-03-08
TW202212344A (zh) 2022-04-01
KR20210008151A (ko) 2021-01-20
US11809081B2 (en) 2023-11-07
TW201734025A (zh) 2017-10-01
US20220334487A1 (en) 2022-10-20
JP2019500490A (ja) 2019-01-10
TWI777408B (zh) 2022-09-11
JP7179816B2 (ja) 2022-11-29
US11537048B2 (en) 2022-12-27
EP4273625A2 (en) 2023-11-08
EP3896520B1 (en) 2022-10-05
TWI783376B (zh) 2022-11-11
JP2023027078A (ja) 2023-03-01
EP4273625A3 (en) 2024-02-28
KR20230035713A (ko) 2023-03-14
TW202230049A (zh) 2022-08-01
US20200257196A1 (en) 2020-08-13
US20190137870A1 (en) 2019-05-09
EP3391148B1 (en) 2021-09-15
WO2017066319A3 (en) 2017-08-24
EP3896520A1 (en) 2021-10-20
KR20180054917A (ko) 2018-05-24
CN108351594A (zh) 2018-07-31
TWI761135B (zh) 2022-04-11
JP2021073367A (ja) 2021-05-13
US20230004090A1 (en) 2023-01-05
TWI744252B (zh) 2021-11-01
US20200371439A1 (en) 2020-11-26

Similar Documents

Publication Publication Date Title
KR102346372B1 (ko) 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝
JP7227205B2 (ja) 有機金属溶液に基づいた高解像度パターニング組成物および対応する方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
A107 Divisional application of patent
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant