TW202126670A - 有機錫氧化物氫氧化物圖案化組合物、前驅物及圖案化 - Google Patents

有機錫氧化物氫氧化物圖案化組合物、前驅物及圖案化 Download PDF

Info

Publication number
TW202126670A
TW202126670A TW110109337A TW110109337A TW202126670A TW 202126670 A TW202126670 A TW 202126670A TW 110109337 A TW110109337 A TW 110109337A TW 110109337 A TW110109337 A TW 110109337A TW 202126670 A TW202126670 A TW 202126670A
Authority
TW
Taiwan
Prior art keywords
precursor
coating
composition
radiation
precursor vapor
Prior art date
Application number
TW110109337A
Other languages
English (en)
Other versions
TWI761135B (zh
Inventor
史堤芬 T 邁爾斯
傑瑞米 T 安德森
布萊恩 J 卡迪妮歐
約瑟夫 B 艾迪森
蔣凱
道格拉斯 A 克斯爾
亞倫 J 托爾斯基
Original Assignee
美商因普利亞公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=58500023&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=TW202126670(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 美商因普利亞公司 filed Critical 美商因普利亞公司
Publication of TW202126670A publication Critical patent/TW202126670A/zh
Application granted granted Critical
Publication of TWI761135B publication Critical patent/TWI761135B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/086Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/028Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with photosensitivity-increasing substances, e.g. photoinitiators
    • G03F7/031Organic compounds not covered by group G03F7/029
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Abstract

本發明描述基於金屬氧化物氫氧化物化學形成高解析度微影圖案化塗層之有機金屬前驅物。該等前驅物組合物通常包含在適當條件下可易於由水蒸氣或其他OH源組合物水解之配位體。該等有機金屬前驅物通常包含針對錫的輻射敏感有機配位體,其可產生在相對較低輻射劑量下對高解析度圖案化有效,且特別適用於EUV圖案化之塗層。該等前驅物組合物在商業適合條件下可易於處理。利用原位水解或基於蒸氣之沈積的溶液相處理可用以形成該等塗層。

Description

有機錫氧化物氫氧化物圖案化組合物、前驅物及圖案化
本發明係關於可經塗佈及經原位水解以形成包含有機錫氧化物氫氧化物之塗層的前驅物組合物。本發明進一步係關於輻射敏感有機錫氧化物氫氧化物塗層,其可用UV光、EUV光或電子束輻射來有效地圖案化以形成具有低線寬粗糙度之高解析度圖案。
對於基於半導體之器件以及其他電子器件或其他複雜精細結構之形成,材料通常經圖案化以整合結構。因此,通常經由依序沈積之迭代製程及蝕刻步驟(圖案化經由該等步驟由各種材料形成)來形成該等結構。以此方式,可將大量器件形成至較小區域中。本領域中之一些發展可涉及減小器件之佔據面積,其對於提高效能可為合乎需要的。 可將有機組合物用作輻射圖案化抗蝕劑以使得使用輻射圖案來更改與圖案對應的有機物組合物之化學結構。舉例而言,用於圖案化半導體晶圓之製程可需要自有機輻射敏感材料之薄膜微影轉印所需影像。抗蝕劑之圖案化通常涉及若干步驟,該等步驟包括將抗蝕劑暴露於所選能量源(諸如經由光罩)以記錄潛影,且接著顯影並移除所選抗蝕劑區域。對於正抗蝕劑,經暴露區域經轉換以使此類區域可選擇性地移除,而對於負抗蝕劑,未暴露區域可更易於移除。 一般而言,可藉由輻射、反應氣體或液體溶液來顯影圖案以移除抗蝕劑之選擇性敏感部分,而抗蝕劑之其他部分充當保護性耐蝕刻層。液體顯影劑對顯影該潛影可尤其有效。可經由保護性抗蝕劑層之其餘區域中之窗或空隙選擇性地蝕刻基板。替代性地,可經由保護性抗蝕劑層之剩餘區域中之經顯影窗口或空隙將材料沈積至底層基板之經暴露區域中。最後,移除保護性抗蝕劑層。可重複該製程以形成經圖案化材料之額外層。可使用化學氣相沈積、物理氣相沈積或其他所需方法來沈積該等材料。可使用額外處理步驟,諸如沈積導電材料或注入摻雜劑。在微米及奈米製造之領域中,積體電路之特徵大小已變得極小以達成高整合密度並改良電路功能。
在一第一態樣中,本發明係關於一種塗層溶液,其包含有機溶劑、第一有機金屬組合物及具有可水解配位體金屬鍵之金屬化合物。在一些實施例中,第一有機金屬組合物可由下式表示:式Rz SnO( 2 -( z / 2 )-( x / 2 )) (OH)x ,其中0<z≤2且0<(z+x)≤4;式Rn SnX4 - n ,其中n=1或2,或其混合物,其中R為具有1至31個碳原子之烴基,且X為具有可水解M-X鍵之配位體。可水解金屬化合物可由式MX'n 表示,其中M為選自元素週期表之第2族至第16族之金屬,X'為具有可水解M-X'鍵之配位體或其組合,且n係藉由金屬價態及配位體電荷判定。 在另一態樣中,本發明係關於一種塗層溶液,其包含相對於第一有機金屬組合物之金屬總含量至少約10莫耳百分比,且相對於第二有機金屬組合物之金屬總含量至少10莫耳百分比之有機溶劑。在一些實施例中,第一有機金屬組合物可由下式表示:式Rz SnO( 2 -( z / 2 )-( x / 2 )) (OH) x ,其中0<z≤2且0<(z+x)≤4;式Rn SnX4 - n ,其中n=1或2,或其混合物,其中R為烴基且Sn-X為可水解化學鍵。第二有機金屬組合物可由式R'y SnX'4 - y 表示,其中y=1或2,其中R'為與R不同之烴基,且X'為與X相同或不同之具有可水解Sn-X'鍵之配位體。 在另一態樣中,本發明係關於一種用於形成可輻射圖案化塗層之方法,該方法包含將基板上之前驅物塗層暴露於水蒸氣,其中該前驅物塗層包含第一有機金屬組合物及第二可水解組合物。第一有機金屬組合物可由下式表示:式Rz SnO( 2 -( z / 2 )-( x / 2 ) (OH) x ,其中0<z≤2且0<(z+x)≤4;或R'n SnX4 - n ,其中n=1或2且R及R'獨立地為具有1至31個碳原子之烴基。第二可水解組合物可為:由式R''y SnX'4 - y 表示之第二有機金屬組合物,其中y=1或2且R''與R'不同且X'為與X相同或不同之具有可水解Sn-X'鍵之配位體;或無機組合物MLv ,其中v為2 ≤ v ≤ 6且L為具有與X及X'相同或不同的可水解M-L鍵之配位體。在一些實施例中,暴露步驟導致前驅物塗層化合物之水解以形成塗層,該塗層包含((R或R')a R''b )SnO( 2 -(( a + b )/ 2 )-( w / 2 )) (OH)w ,其中0<(a+b)≤2且0<(a+b+w)<4;或包含y ((R或R')a R''b )SnO( 2 -(( a + b )/ 2 )-( w / 2 )) (OH)w ∙ z MO(( m / 2 )- l / 2 ) (OH)l ,其中0<(a+b )≤2,0<(a+b+w)<4,m=Mm + 之形式價態,0≤l≤m,y/z=(0.05至0.6),且M=M'或Sn,其中M'為週期表之第2族至第16族之非錫金屬。 在額外態樣中,本發明係關於一種用於形成包含具有金屬陽離子之金屬側氧基-羥基網狀結構(其具有伴隨金屬碳鍵及金屬氧鍵之有機配位體)之可輻射圖案化塗層的方法,該方法包含單獨將以下各者輸入至經封閉與環境氛圍隔離之沈積腔室中:第一前驅物蒸氣,其包含化合物Rn SnX4 - n ,其中n=1或2,其中R為具有1至31個碳原子之烴基,且X為可水解或可氧化配位體;及第二前驅物蒸氣,其包含含有能夠在沈積腔室中之條件下水解或氧化第一前驅物蒸氣的氧氣以形成經水解或經氧化組合物之化合物。一般而言,基板可經組態具有一表面以接納經水解或經氧化組合物。 在其他態樣中,本發明係關於包含具有表面及表面上之塗層之基板的經塗佈基板,該表面包含由y (Rz SnO( 2 -( z / 2 )-( w / 2 )) (OH)w ∙ z MO(( m / 2 )- l / 2 ) (OH)l 表示之有機金屬組合物,其中0<z≤2,0<(z+w)≤4,m=Mm + 之形式價態,0≤l≤m,y/z=(0.05至0.6),且M=M'或Sn,其中M'為週期表之第2族至第16族之非錫金屬,且R為具有1至31個碳原子之烴基。 另外,本發明係關於基板及輻射敏感塗層,該塗層包含具有不超過約6.125 mJ/cm2 之成膠凝劑量(dose-to-gel)(Dg )的烷基金屬氧化物氫氧化物。 此外,本發明係關於一種沿表面包含無機半導體層及輻射敏感塗層材料之基板。在一些實施例中,可用波長為13.5 nm之EUV光以32 nm間距上16 nm線之圖案來圖案化輻射塗層材料以藉由約8 mJ/cm2 至約25 mJ/cm2 之劑量達成16 nm之臨界尺寸及不超過約4 nm之線寬粗糙度。該輻射敏感塗層材料可包含諸如Sn之金屬且可包含至少5重量百分比之金屬,且在其他實施例中至少20重量百分比之金屬。
相關申請案的交叉參考 本申請案主張Meyers等人於2015年10月13日申請之標題為「Organotin Oxide Hydroxide Patterning Compositions With Precursor Vapor Deposition」之同在申請中之美國臨時專利申請案62/240,812及同在申請中之由Cardineau等人於2016年2月19日申請之標題為「Precursor Compositions for Organotin Oxide Hydroxide Photoresist Films」之美國臨時專利申請案62/297,540之優先權,上述申請案中之兩者以引用的方式併入本文中。 可使用具有所選比率之輻射敏感烷基-Sn鍵及/或不含輻射敏感配位體之所選量之錫前驅物之有機錫圖案化組合物獲得較低輻射劑量之經改良之圖案化效能,且可使用前驅物組合物之原位溶劑分解(例如,水解)來達成可輻射圖案化塗層之經改良處理。可輻射圖案化塗層通常包含Rz SnO( 2 -( z / 2 )-( x / 2 )) (OH)x 組合物,其中0<z≤2且0<(z+x)<4,且R為輻射敏感烷基配位體,在一些實施例中,當藉由所選量之SnX4 前驅物化合物形成以修改整個組合物之z值時其可展現經改良之低劑量輻射圖案化。使用原位水解允許經由基於溶液之處理有效使用前驅物組合物,其將難以或不可能經由直接溶解及沈積烷基錫側氧基-羥基組合物來達成。如本文中所描述,經由原位溶劑分解來改良處理以形成可圖案化Rz SnO( 2 -( z / 2 )-( x / 2 )) (OH)x 組合物。作為基於溶液之處理的替代方案,氣相沈積可適用於沈積某些前驅物塗層以形成有機錫氧化物氫氧化物前驅物。圖案化組合物特別適用於呈經減少劑量之EUV圖案化,且可獲得用於較小特徵之低線寬粗糙度。 當沈積為較薄塗層且暴露於紫外線(UV)、極遠紫外線(EUV)或電子束輻射且用合適溶劑顯影時,已發現作為圖案化材料(通常稱為光阻)之具有通式Rz SnO( 2 -( z / 2 )-( x / 2 )) (OH)x (其中0<(x+z)<4且z>0)之有機錫氧化物氫氧化物提供極佳效能。前述操作已展示有機錫氧化物氫氧化物可為穩定前驅物溶液之形成提供基礎,該穩定前驅物溶液可形成提供良好輻射吸收及顯影速率對比之抗蝕劑層。有效地將有機錫組合物用作負抗蝕劑或正抗蝕劑。用於EUV及電子束抗蝕劑之此等化合物之效果描述於Meyers等人之標題為「Organometallic Solution Based High Resolution Patterning Compositions」之美國專利9,310,684 B2中,該專利以引用的方式併入本文中。基於當前的合成方法,將此等化合物延伸以延伸至(x+z)=4之值以使得0<(x+z)≤4似乎為合適的。經發現具有烷基氧化錫氫氧化物組合物之分支鏈烷基配位體及摻合物之經改良圖案化效能描述於Meyers等人的標題為「Organometallic Solution Based High Resolution Patterning Compositions and Corresponding Methods」的經公佈美國專利申請案2016/0116839 A1 (以下稱作'839申請案)中,該申請案以引用的方式併入本文中。 前文參考文獻描述藉由塗佈前驅物溶液沈積之有機錫氧化物氫氧化物光阻膜,該前驅物溶液含有藉由預水解一或多種Rn SnX( 4 - n ) 組合物(其中n=1或2)、分離及純化一或多種有機錫水解產物,且將一或多種氧化物氫氧化物溶解於適合的溶劑或其混合物中製備之Rz SnO( 2 -( z / 2 )-( x / 2 )) (OH)x 組合物。然而,經預水解之有機錫氧化物氫氧化物之溶解及塗佈可對藉由避免一或多種水解產物之不佳可溶性所指定之可獲取之配位體識別及化學計量,以及用於具有引入不適宜之污染物之可能性的一些實施例的複雜水解製程具有實質性限制。此外,即使可溶性抗蝕劑前驅物溶液可由有機錫氧化物氫氧化物前驅物組合物來製備,亦可需要非所需溶劑,或可使薄膜形態受損。 已發現可藉由製備由溶解於合適溶劑或溶劑之混合物中之一或多種適合的Rn SnX( 4 - n ) 化合物組成的抗蝕劑前驅物溶液來克服此等限制中之諸多者,其中X為具有可水解Sn-X鍵之配位體。若該前驅物Rn SnX( 4 - n ) 與水蒸氣充分反應,則其可進行原位-M-X水解且在水存在下縮合以產生如以下通用反應中所說明之相應的氧化物氫氧化物: Rn SnXx + x H2 O → Rn Sn(OH)x + x HX Rn Sn(OH)x → Rn SnO(2-(n/2)-(x/2)) OHx + (x/2) H2 O 其中(0<(x+z)≤4)。因此,藉由使用包含Rn SnX( 4 - n ) 化合物之塗層溶液,可以切實可行的步驟將較大範圍之Rz SnO( 2 -( z / 2 )-( x / 2 )) (OH)x 組合物形成為光阻塗層。在此等方法中,R-Sn部分經由水解及縮合製程至少部分得以保留,且所得薄膜具有M-C及M-O鍵。 在原位水解製程之一個實施例中,將前驅物Rn SnX( 4 - n ) 溶解於溶劑中,視情況在水蒸氣(諸如潮濕空氣)存在下直接塗佈於基板上以產生塗層,且接著在水蒸氣存在下另外地或替代地進一步烘烤以形成有機錫氧化物氫氧化物塗層。因此,在塗層沈積期間及/或在預圖案化烘烤步驟期間可存在用於水解之水蒸氣以進行原位水解。另外,藉由將多種Rn SnX( 4 - n ) 化合物(其中n=0、1或2) (諸如SnX4 、RSnX'3 、R'SnX''3 及R''2 SnX2 ,其中R、R'及R''相同或不同且X、X'及X''相同或不同)摻合於適合的溶劑中,所選化學計量範圍在0<z≤2且0<(x+z)≤4中之一或多種Rz SnO( 2 -( z / 2 )-( x / 2 )) (OH)x 薄膜可易於沈積。類似地,可藉由此方法以類似方式製備包含具有一或多種不同有機錫氧化物R'b SnO( 2 -( b / 2 )-( a / 2 )) (OH)a (其中0<(a+b)<4且b>0)之有機錫氧化物氫氧化物Rz SnO( 2 -( z / 2 )-( x / 2 )) (OH)x (其中0<(x+z)<4且z>0)且其中R'≠R之混合物之混合配位體水解產物。可將可水解Rn SnX( 4 - n ) 及R'b SnX( 4 - b ) 化合物溶解於常用溶劑或溶劑之混合物中且旋塗於用於原位水解之基板上。在兩種情況下,適合分子有機錫前驅物化合物之高可溶性及快速水解有利地避讓目標有機錫氧化物氫氧化物水解產物之可能的可溶性限制且消除對複雜及敏感合成步驟之需求以異地分離經水解且部分經縮合之抗蝕劑前驅物。以此方式,可顯著地簡化抗蝕劑前驅物製備且允用具有改良之效能之所需組合物。 在另一實施例中,許多分子Rn SnX( 4 - n ) 化合物之相對較高之蒸氣壓及反應性使得能夠使用用於沈積有機錫氧化物氫氧化物薄膜光阻之氣相沈積法。可能的沈積法包括(例如)物理氣相沈積(PVD)、化學氣相沈積(CVD)、原子層沈積(ALD)或其變體。舉例而言,可將一或多種氣態Rn SnX( 4 - n ) 化合物引入至反應腔室並使其在氣相中或在基板表面上與諸如H2 O之共前驅物或其相關之分解產物反應,由此產生輻射敏感有機錫氧化物氫氧化物塗層。若藉由後續水解反應將該可水解化合物沈積於表面上,則可將此製程視為具有原位水解之PVD沈積,但若該水解發生在持續沈積製程期間,則可將其視為CVD製程。同樣,若在基板表面上依序吸附、化學吸附或分解該可水解前驅物,且殘餘薄膜經由多個沈積/反應循環與第二反應性前驅物反應以沈積相應有機錫氧化物氫氧化物,則其可視為ALD製程。氣相沈積法之優點可包括經減小之抗蝕劑膜缺陷密度、經改良之厚度及組合均勻度以及基板表面構形之保形及側壁塗層。 已發現包括(例如)成影劑量、最終解析度及線寬粗糙度(LWR)之有機錫氧化物氫氧化物光阻效能將取決於光阻塗層之組合物。對於具有組合物Rz SnO( 2 -( z / 2 )-( x / 2 )) (OH)x (其中0<(x+z)≤4且z>0)之此等光阻薄膜而言,輻射敏感配位體R之標識以及由z表示之R:Sn化學計量均為顯著變量。一般而言,光阻薄膜可包含足量輻射敏感配位體R,以使得該薄膜具有約0.1至約2之輻射敏感配位體與金屬陽離子(z)的莫耳濃度比。具有在此範圍中之配位體比率的有機錫氧化物氫氧化物抗蝕劑薄膜可藉由以合適化學計量預水解多種Rn SnX( 4 - n ) 前驅物(其中z=1或2),並將所得水解產物溶解於經受可溶性及穩定性限制之塗層溶劑中來製備。某些化學計量,尤其0.1<z<1之彼等,已發現光阻組合物展現有利光阻特性。然而,對於z<1之光阻組合物而言,前述處理限制可為繁瑣的,此係因為無機SnO( 2 -( x / 2 )) (OH)x 水解產物(z=0)之可溶性在有機溶劑中通常極低,超出有助於共同水解並集群縮合特異性有機錫RSnX3 或R2 SnX2 部分之極其受限之條件的範圍。此外,即使當已識別此類條件且將水解產物分離並溶解時,相對於用於如EUV光阻之操作之所需數值,前驅物溶液穩定性、化學計量、配位體標識及溶劑可不利地受限。 可藉由迅速地將可水解SnX4 化合物直接添加至前驅物塗層溶液(其含有一或多種經預水解之有機錫氧化物氫氧化物,或經選擇以進行實質上完全水解且隨後縮合以及塗層上之HX副產物揮發之一或多種RSnX3 及/或R2 SnX2 化合物),且在水或氧及氫之另一適合來源存在下焙烤來克服此等處理及組合限制。以此方式,前驅物塗層溶液及光阻膜中之多個輻射敏感配位體之標識及相對化學計量均可跨越廣泛範圍的配體與金屬陽離子之總比率獨立地受放寬的溶液穩定性及可溶性限制以及經簡化之前驅物合成的控制。因此,可將適當選擇之SnX4 組合物併入至前驅物混合物或製程中以使得有機錫氧化物氫氧化物能夠與相當的組合物進行氣相沈積。 藉由放寬具有M-C及M-O鍵之有機金屬化合物的固有穩定性及可溶性限制,亦可將替代金屬物質添加至呈MX'n 形式之前驅物塗層溶液或反應氣體混合物中,其中M為選自第2族至第16族金屬之金屬陽離子,且n藉由金屬陽離子之價數及配位體電荷來確定,但通常為3至6。當M≠Sn時,X'配位體可與用於相同調配物中之Rn SnX( 4 - n ) 化合物中之X相同或不同。在兩種情況下,此等配位體及MX'n 取決於相似條件;在H2 O及來自氧化物氫氧化物薄膜之X (X')配位體水解產物之擴散及揮發存在下快速且基本上完全水解。以此方式併入至有機錫氧化物氫氧化物塗層中之替代金屬陽離子可有利於調諧輻射吸收、薄膜密度、金屬配位體熱分解、較佳顯影劑之顯影速率或其他所需光阻特性。 此前已發現存在於有機錫氧化物氫氧化物抗蝕劑膜中之多個R-Sn部分之標識及相對化學計量以提供如'839申請案中所描述之經改良圖案化效能。儘管至少部分使用溶解於溶劑中之經預水解之有機錫氧化物氫氧化物化合物可獲得本文中所描述之分支鏈烷基配位體及相關摻合組合物,但已在適合於商業用途之切實可行處理之情形下發現關於配位體標識及化學計量之明顯的限制。許多此等限制與水解產物可溶性相關聯。儘管諸如正丁基錫氧化物氫氧化物之一些單有機錫水解產物在大範圍的有機溶劑中具有極佳可溶性,但難以再生及/或控制通常不能充分溶於有用之溶劑及/或所需溶液濃度的單第三丁基錫部分之水解產物(例如,t BuSnO( 3 / 2 -( x / 2 )) (OH)x ,其中(0<x<3))。如'839申請案中所展現,儘管有可能用甲醇及自其衍生之溶劑摻合物來製備t BuSnO( 3 / 2 -( x / 2 )) (OH)x 之溶液,但甲醇之揮發性、閃燃點及毒性使其無法成為供半導體製造使用之所需溶劑。此外,較低最大濃度限制可獲得之薄膜厚度範圍及可能的摻合前驅物調配物及塗層之組合物。在下文之實例中避免此等限制,其中在水蒸氣存在下經由旋塗t BuSn(NEt2 )3 於4-甲基-2-戊醇中之溶液來展現高效能之t BuSnO( 3 / 2 -( x / 2 )) (OH)x 光阻薄膜。 類似地,單甲基錫部分之水解產物(例如,MeSnO( 2 -( z / 2 )-( x / 2 )) (OH)x )之較低可溶性限制調配物及塗層之薄膜厚度及組合物範圍。然而,藉由製備包含可易於水解且高度可溶之MeSnX3 化合物之抗蝕劑前驅物溶液,已沈積包含具有t BuSnO( 3 / 2 -( x / 2 )) (OH)x 之摻合調配物中之所得甲基-錫氧化物氫氧化物之抗蝕劑薄膜並發現其提供優越的微影效能。顯著地,使用本文中所揭示之方法及前驅物溶液,抗蝕劑前驅物溶液溶劑限制實質上得以放寬,且可更加容易地調整抗蝕劑膜化學計量以達成適用之微影特性。因此可藉由混合多種可水解有機錫化合物Rn SnX( 4 - n ) + R'z SnX'( 4 - z ) + R''a SnX''( 4 - a ) + ... (其中0≤(n、z、a)≤2且n、z、a等中之至少一者>0)來獲取所需光阻前驅物溶液及包含有機錫部分(其具有呈關於彼此之大範圍莫耳比之有機配位體(R、R'、R''等))及金屬陽離子之混合物之後續薄膜組合物。 替代地,可將所選Rn SnX( 4 - n ) 化合物(其中n=0、1或2)添加至前驅物塗層溶液,該溶液含有溶解於合適溶劑中之一或多種獨立地經合成之有機錫氧化物氫氧化物水解產物。因此,所添加之Rn SnX( 4 - n ) 化合物可在暴露於水蒸氣或氫氧化物部分時水解,從而在塗佈及烘烤步驟期間與起始有機錫氧化物氫氧化物縮合以形成具有烷基配位體與金屬比率的塗層,該比率最初藉由前驅物塗層溶液中之前驅物化合物的化學計量來判定。 前述化合物中具有可水解Sn-X鍵之配位體(X)之選擇對於溶合、塗佈及成功的原位水解之效果而言係重要的。合適之配位體應在無路易士(Lewis)酸存在下與Sn形成穩定的鍵且通常為快速與酸性質子反應以產生易於自縮合氧化物氫氧化物薄膜解吸附或揮發之物質的堅固親核體,由此減少空隙、區域分隔或其他不均勻性。對於Rn SnX( 4 - n ) 化合物而言,X可為單個獨特的配位體,然而在某些實施例中,其可係指多種不同配位體之組合,例如Rn SnX1 a X2 b X3 c X4 d ,其中a+b+c+d-n=4,且0≤n≤2。此類型之化合物之實例為:t BuSn(NEt2 )2 (Ot Bu)t BuSn(NEt2 )(NH2 )(Ot Bu)、t BuSn(NEt2 )(Ot Bu)2 、MeSn(NEt2 )(Ot Bu)2 、MeSn(NEt2 )2 (Ot Bu)、(t Bu)2 Sn(NEt2 )(Ot Bu)、Me2 Sn(NEt2 )(Ot Bu)、(Me)(t Bu)Sn(NEt2 )2 、(Me)(t Bu)Sn(NEt2 )(Ot Bu)、(i Pr)(t Bu)Sn(NMe2 )(Ot Bu)及其混合物。 可部分藉由烴基配位體R之標識、其他可水解配位體及化學計量比率(R:Sn)來判定一或多個-X配位體之選擇,此係因為就空間(動力學)及靜電(熱力學)效果二者而言,關於水解或溶劑分解之給定Sn-X部分之反應性將藉由金屬周圍之總配位體環境來修改。 已發現包含一或多種Rn SnX( 4 - n ) 化合物(其中-X為短鏈脂族二烷基醯胺-NR'2 或烷氧化物-OR'配位體且其中R'含有<10個碳原子)之調配物尤其適用於此等應用。當在塗佈及烘烤製程期間暴露於大氣濕度時,此等材料快速水解並與如上文所描述之其他有機錫前驅物組分縮合,從而釋放揮發性二烷基胺及醇類並形成具有極佳光阻效能之有機錫氧化物氫氧化物。此類型之其他適用配位體包括:醯胺基、烷基醯胺基、二烷基醯胺基、烷基側氧基、芳基側氧基、疊氮基、醯亞胺基及熟習此項技術者已知之其他配位體。 在一些實施例中,諸如將有機錫二烷基醯胺溶解於諸如醇類之質子溶劑中,錫前驅物化合物可與溶劑反應。當溶劑為醇類或類似反應物時,經由諸如醇解之溶劑分解可出現如下反應中所說明之完全或部分配位體複分解。 Rn Sn(NR'2 )(4-n) + (4-n)R''OH → Rn Sn(OR'')(4-n) + (4-n)HNR'2 。  (2) 假如產物錫物質(諸如錫(IV)烷氧化物、Rn Sn(OR'')( 4 - n ) )具有關於水反應性、水解副產物揮發性、擴散性及本文中所論述之其他特質的必需特質以在適當潮濕之環境中塗佈及烘烤後產生合適的氧化物氫氧化物薄膜,則反應式2中之此類溶劑分解及複分解反應為預期及可接受的以及潛在地甚至有益的。 本文中所描述之經改良前驅物為基於合理的塗層溶液及原位水解之可圖案化塗層之組合物開啟更多的可能性。原位水解提供作為基於處理之溶液之合適替代物的一系列氣相沈積方法的能力。經由該能力調整具有輻射敏感配位體之可圖案化塗層之組合物,已達成具有更低輻射劑量及良好圖案品質之經改良圖案化。前驅物組合物 用於形成抗蝕劑塗層之前驅物組合物通常包含具有合適輻射敏感烴基穩定配位體及具有經選擇用於處理之可水解Sn鍵的額外配位體之錫陽離子。為處理成可圖案化塗層,通常將前驅物組合物形成為具有溶劑之溶液,通常可經由溶液塗佈或基於蒸氣之沈積製程將有機溶劑形成為塗層。最終抗蝕劑塗層係基於金屬氧化物化學反應,且具有烷基配位體之錫陽離子之前驅物溶液提供具有良好抗蝕劑特性之穩定溶液。通常選擇前驅物溶液之配位體以促進溶液形成及相關處理功能。如上文所提及,可將具有具可水解Sn鍵之配位體的前驅物組合物引入至前驅物溶液中以改良可形成為穩定溶液之組合物的範圍,該等穩定溶液預期後續水解可為可圖案化塗層提供有機錫氧化物氫氧化物材料。已發現具有烷基配位體之摻合物(通常具有至少一個分支鏈烷基配位體)的組合物以提供所需圖案化特性。 烷基配位體提供輻射敏感性,且相對於金屬之配位體及化學計量的特定選擇可影響輻射敏感性。又,前驅物溶液可經設計以基於金屬陽離子以及相關聯配位體之選擇針對所選輻射能量達成所需輻射吸收位準。儘管以上論述概述適合於本文中所描述之經改良處理之前驅物組合物範圍的重要細節,但更多細節呈現於用於原位水解之烷錫醯胺基/烷氧基前驅物組合物之用途上。如上文所提及,描述可在所需溶劑中提供經改良可溶性及對輻射敏感塗層具有良好的可處理性的各種化合物。經由涉及具有一些蒸氣水解/氧化反應物之至少一些原位水解的新類別之前驅物可能製造大範圍的前驅物改造,以形成可輻射圖案化塗層。 一般而言,前驅物溶液可包含: a1 R1 z1 SnO(3/2-z1/2-x1/2) (OH)x1 + a2 R2 z2 SnO(3/2-z2/2-x2/2) (OH)x2 + ... + b1 R1 'y1 SnX1 4-y1 + b2 R2 'y2 SnX2 4-y2 + ... + c1SnX1 '4 + c2SnX2 '4 + ... + d1M1 X1 ''n1 、d2M2 X2 ''n2 + ... ,   (1) 其中a1+a2+ ... +b1+b2+ ... +c1+c2+ ... +d1+d2+ ... = 1,亦即,此等參數對應於溶液中之前驅物組合物中之金屬的莫耳分數,(0 ≤ (a1、a2、...) ≤ 0.99)、(0 ≤ (b1、b2、...) ≤ 1)、(0 ≤ (c1、c2、...) ≤ 0.6)、(0 ≤ (d1、d2、...) ≤ 0.5),其中0.01 < (b1+b2+...+c1+c2+...),R (R1 、R2 、…)及R' (R1 '、R2 '、…)獨立地為烴基或其組合,X (X1 、X2 、...)、X' (X1 '、X2 '、…)及X'' (X1 '''、X2 ''、…)獨立地為具有相關金屬的可水解鍵的配位體或其組合,M1 、M2 、...為非錫金屬離子,(0 < (x1、x2、...) < 3)、(0 < (z1、z2、...) ≤ 2)、(1 < (y1、y2、...) ≤ 3),且n1、n2、...係藉由M1 、M2 、...離子之價數及X1 '' 、X2 '' …上之電荷來判定。一般而言,M為第2族至第16族金屬,且對於諸多金屬而言,n之範圍介於2至6。M之所需金屬可包括Hf、Zr、W、Ta、Co、Ni、In、Sb、Bi、Te或其他。代表性的適合ML''n 化合物包括(例如)Zr(OtBu)4 、Hf(NMe)4 、In(Oi Pr)3 及Sb(OEt)3 ,該等化合物可購自Sigma-Aldrich、Alfa Aesar、Gelest、Strem Chemical及其他供應商。在一些實施例中,全部「a」參數值為零,以使得全部配位體係經原位水解。在另外的實施例中,0.1 ≤ (a1、a2、...) ≤ 0.90,或0.2 ≤ (a1、a2、...) ≤ 0.85或0.25 ≤ (a1、a2、...) ≤ 0.75。在一些實施例中,0.25 ≤ (b1、b2、...) ≤ 1或0.3 ≤ (b1、b2、...) ≤ 0.95或0.35 ≤ (b1、b2、...) ≤ 0.9。在額外實施例中,0 ≤ (c1、c2、...) ≤ 0.4或0.025 ≤ (c1、c2、...) ≤ 0.4或0.05 ≤ (c1、c2、...) ≤ 0.35或0.1 ≤ (c1、c2、...) ≤ 0.3, 且0 ≤ (d1、d2、...) ≤ 0.5或0.025 ≤ (d1、d2、...) ≤ 0.4或0.05 ≤ (d1、d2、...) ≤ 0.3。一般熟習此項技術者將認識到,本發明上述明確範圍內之「a」、「b」、「c」及「d」參數之額外範圍經涵蓋且係在本發明內。如本文所使用,符號「<」及「≤」隱含地攜帶相應範圍限制之概念為「約」的在實驗誤差內之指定值。 概言之,前驅物組合物可包含一或多種化合物,該等化合物中至少一者具有伴隨可水解金屬鍵之配位體且一或多種具有烴基配位體以提供輻射敏感性。通常將組合物改造為可使用適合有機溶劑處理以形成如以下部分中所描述之前驅物溶液。前驅物通常經改造以提供所需圖案化特性以及良好可處理性。 在一些實施例中,前驅物組合物可包含具有不同烴基配位體之兩種有機錫化合物、具有不同烴基配位體之三種有機錫化合物或具有不同烴基配位體之多於三種有機錫化合物的混合物。此外,前驅物組合物可包含無金屬碳鍵之化合物及有具有金屬碳鍵之輻射敏感烷基配位體之一或多種化合物的混合物。通常,對於第二或第三混合物而言,該混合物包含至少約5莫耳百分比之具有不同烴基配位體之各組分、在一些實施例中至少約10莫耳百分比且在另外的實施例中至少約20莫耳百分比之具有不同烴基配位體之各組分。一般熟習此項技術者將認識到,上述明確範圍內之組分之莫耳百分比之額外範圍經涵蓋且係在本發明內。 在一些實施例中,前驅物組合物包含具有烴基配位體之R-Sn部分及無直接連接至金屬之烷基配位體之無機金屬SnX4 或MXn 化合物的混合物。通常,此等混合物包含至少約0.5莫耳百分比之各金屬組分,在一些實施例中至少約5莫耳百分比且在另外的實施例中至少約10莫耳百分比之各組分。一般熟習此項技術者將認識到,上述明確範圍內之混合物組分之額外範圍經涵蓋且係在本發明內。前驅物組合物之組分可併入溶液中且在(例如)形成塗層之前不分別形成為固體摻合物。 無論一種或多種不同烴基配位體存在與否,R基團可為直鏈、分支鏈(亦即,金屬連結之第二或第三碳原子)或環狀烴基。各R基團通常獨立地具有1至31個碳原子,其中對於經第二鍵結之碳原子為3至31個碳原子且對於經第三鍵結之碳原子實施例為4至31個碳原子,例如,甲基、乙基、丙基、丁基及分支鏈烷基。特定言之,當化合物在另一表述中可由R1 R2 R3 CSnX3 表示時,分支鏈烷基配位體為所需的,其中R1 及R2 獨立地為具有1至10個碳原子之烷基,且R3 為氫或具有1至10個碳原子之烷基。在一些實施例中,R1 及R2 可形成環烷基部分,且R3 亦可接合環狀部分中之其他基團。適合的分支鏈烷基配位體可為(例如)異丙基(R1 及R2 為甲基且R3 為氫)、第三丁基(R1 、R2 及R3 為甲基)、第三戊基(R1 及R2 為甲基且R3 為-CHCH3 )、第二丁基(R1 為甲基、R2 為-CHCH3 且R3 為氫)、環己基、環戊基、環丁基及環丙基。適合的環狀基團之實例包括(例如) 1-金剛烷基(-C(CH2 )3 (CH)3 (CH2 )3 或在第三碳處鍵結至金屬之三環(3.3.1.13,7)癸烷)及2-金剛烷基(-CH(CH)2 (CH2 )4 (CH)2 (CH2 )或在第二碳處鍵結至金屬之三環(3.3.1.13,7)癸烷。在其他實施例中,烴基可包括芳基或烯基(例如,苯甲基、烯丙基)或炔基。在其他實施例中,烴基配位體R可包括單獨由C及H組成且含有1至31個碳原子之任何基團。舉例而言:直鏈或分支鏈烷基(i Pr、t Bu、Me、n Bu)、環烷基(環丙基、環丁基、環戊基)、烯烴(烯基、芳基、烯丙基)或炔基或其組合。在另外的實施例中,適合的R-基團可包括經雜原子官能基(包括氰基、硫基、矽烷基、醚、酮、酯或鹵化基團或其組合)取代之烴基團。 具有所需配位體結構之一些適合的金屬組合物可購自商業來源,諸如Alfa Aesar (MA, USA)及TCI America (OR, USA),且可如下所描述合成其他金屬配位體組合物。可基於使用適當地受污染較低之起始材料及合適的純化,使用本文中所描述之方法來合成低金屬污染物前驅物組合物。 使用具有分支鏈烷基配位體之前驅物化合物獲得所需圖案化結果。但配位體選擇之更全面優勢已經由使用經混合之烷基配位體達成,此係因為可經由本文中之教示經由摻合如所提供之實例中所說明之多種烷基配位體來獲得由不同配位體賦予之個別有利圖案化特性(諸如劑量及線寬粗糙度)。用經原位水解之前驅物的處理為有效使用錫化合物提供基於所要溶劑之前驅物溶液中之甲基配位體。具有第三丁基配位體及甲基配位體之混合物的有效圖案化以及包含具有第三丁基配位體之可水解化合物及可水解SnX4 化合物(X=NMe2 或X=Ot Bu)之混合物的前驅物描述於以下實例中。 已發現對於基於對應個別前驅物化合物之輻射劑量之具有不同烷基配位體之前驅體化合物的混合物而言,輻射固化量可大致成直線地縮放。歸因於可與分支鏈烷基配位體一起使用之較低輻射劑量,其對於混合物而言通常為所需的,以包含至少一種分支鏈有機配位體。但相應地,已發現可用具有不同有機配位體之前驅體化合物之混合物來改良線寬粗糙度。儘管不希望受理論限制,針對混合物組合物所觀測到之經改良線寬粗糙度數值有可能可歸因於用於混合物組合物之經促進蝕刻而圖案中之對比度無明顯減少。在此情形下,觀測可延伸至含有攜有分支鏈或非分支鏈烷基之有機錫化合物之組合的混合物組合物。 X、X'及X'' 配位體通常為可經由M-X、M-X'及M-X''鍵之水解或溶劑分解適當地與水或其他路易士酸之酸性質子反應以形成易揮發產物之路易士鹼。替代地,此等配位體可經由氧化或還原反應與合適反應劑反應以形成易揮發產物。配位體通常可藉由其共軛酸之酸解離常數(pKa )來分類,其中一些實施例之所需配位體具有大於約4之共軛酸pKa 。因此,X、X'及X''通常包含結合至可進行涉及H2 O及-OH之親核取代的金屬(例如,錫)之原子。所得M-OH或M-OH2 配位體可接著經由後續縮合或脫水步驟反應以形成氧化物氫氧化物網狀結構。 適合的配位體包含:烷基醯胺基或二烷基醯胺基(-NR1 R2 ,其中R1 及R2 獨立地為具有1至10個碳原子之烴基或氫);矽側氧基(-OSiR1 R2 R3 ,其中R1 、R2 獨立地為具有1至10個碳原子之烴基);矽烷基醯胺基(-N(SiR1 3 )(R2 ),其中R1 及R2 獨立地為具有1至10個碳原子之烴基);二矽烷基醯胺基(-N(SiR1 3 )(SiR2 3 ),其中R1 及R2 獨立地為具有1至10個碳原子之烴基);烷基側氧基及芳基側氧基(-OR,其中R為具有1至10個碳原子之烷基或芳基);疊氮基(-N3 );炔基(-C≡CR,其中R為具有1至9個碳原子之烴基);醯胺基(-NR1 (COR2 ),其中R1 及R2 獨立地為具有1至7個碳原子之烴基或氫);脒基(-NR1 C(NR2 )R3 ),其中R1 及R2 獨立地為具有1至8個碳原子之烴基或氫);亞胺基(-N(COR1 )(COR2 ),其中R1 及R2 獨立地為具有1至8個碳原子之烴基或氫)或其經氟化類似物。 無機或有機金屬材料中之金屬可明顯地影響輻射吸收。錫提供13.5 nm之極遠紫外光之強吸收。與烷基配位體組合,金屬亦提供193 nm波長之紫外光之強吸收。錫亦提供電子束輻射之良好吸收。所吸收之能量藉由金屬有機物相互作用來調節,該等相互作用可導致切斷金屬配位體鍵及對材料特性之所需控制。儘管如此,可引入其他金屬組合物以進一步影響吸收特性及整體抗蝕劑效能。如上文所提及,通常引入如MXn 之其他非錫金屬,其中X為具有可水解金屬鍵之配位體。 使用具有伴隨可水解金屬鍵之配位體之前驅體化合物可簡化前驅物溶液之製備,此係由於原位水解避免產生已定義之水解產物所需之諸多合成及分離步驟。特定言之,有機錫氧化物氫氧化物水解產物之溶液相水解及後續縮合及分離可涉及在反應期間之顯著的可溶性變化,以使得避免基於此溶液之步驟避開潛在地困難製程步驟。在前驅物組合物之成分包含分別經水解之組分的某種程度上,此特定組分可使用基於溶液之水解獲得,諸如使用鹼催化水性溶液,如'839申請案中所描述。通常可購買或自合適的起始材料合成具有具可水解金屬鍵之配位體的組分(例如,自鹵化錫組合物或四(二烷基醯胺基)錫組合物),如實例中所描述。前驅物溶液形成及塗層特性 可基於前述部分中所描述之組合物來調配一系列前驅物溶液。前驅物組合物通常具有涉及一定程度之水解敏感金屬配位體鍵之通用性。對於具有充足蒸氣壓之前驅體化合物而言,可替代性地在塗層中進行原位水解或作為氣相沈積製程之部分。用於溶液沈積之前驅物溶液通常包含錫陽離子及視情況選用之有機溶劑中之一或多種非錫金屬陽離子。 可選擇溶液中之配位體穩定之金屬陽離子之濃度以提供用於特定溶液沈積方法之適合溶液特性,該等方法諸如旋塗、狹縫塗佈、浸塗、噴塗或噴霧塗佈或列印,且經設計以在移除至少部分溶劑後形成塗層組合物且在輻射及/或熱處理、暴露於電漿或類似製程後形成由錫氧化物主導之最終無機固體。 藉由基於烷基穩定化配位體及有機溶劑之前驅物溶液,可將氧化之進展控制為用於首先將溶液處理成塗層材料且接著經由在塗佈期間用環境水蒸氣水解及縮合反應物及/或在塗佈之後水解及縮合來處理成具有有機配位體之最終金屬氧化物組合物之程序的部分。如本文所描述,烷基配位體,尤其分支鏈烷基配位體及/或呈相對於金屬之特定化學劑量之烷基配位體之組合可用以提供顯著控制以將溶液處理成有效輻射抗蝕劑組合物。基於醇類之溶劑之處理可涉及自具有可水解金屬鍵之起始配位體之醇部分的或完全的取代烷氧基配位體,但此取代可不以任何明顯的方式更改後續處理。 可基於錫離子莫耳濃度且可經由相對於錫之金屬之莫耳分數值相應地所指定之任何其他金屬之濃度來適宜地指定前驅物溶液濃度。一般而言,前驅物溶液包含約0.005 M至約1.4 M之錫陽離子,在另外的實施例中約0.02 M至約1.2 M且在額外實施例中約0.1 M至約1.0 M之錫陽離子。前驅物溶液中之總的非錫金屬通常可在總金屬離子之約0.025莫耳%至約10莫耳%、且在另外的實施例中在總金屬離子之約10莫耳%至約50莫耳%之範圍內。一般熟習此項技術者將認識到,上述明確範圍內之錫陽離子之額外範圍經涵蓋且係在本發明內。 一般而言,可將所需水解產物化合物溶解於有機溶劑中,例如,醇類、芳族及脂族烴、酯類或其組合。特定言之,適合的溶劑包括(例如):芳族化合物(例如,二甲苯、甲苯)、醚類(苯甲醚、四氫呋喃)、酯類(丙二醇單甲醚乙酸酯、乙酸乙酯、乳酸乙酯)、醇類(例如,4-甲基-2-丙醇、1-丁醇、甲醇、異丙醇、1-丙醇)、酮類(例如,甲基乙基酮)、其混合物及其類似物。一般而言,有機溶劑之選擇可受其他處理材料之可溶性參數、揮發性、可燃性、毒性、黏度及可能的化學相互作用影響。在溶解且合併溶液之組分之後,由於部分的原位水解、水合作用及/或縮合,物質之特徵可變化。當在本文中提及溶液之組合物時,參考係指添加至溶液之組分,此係由於複雜調配物可進行溶劑分解及配位體複分解,或在溶液中產生可能未經良好表徵之金屬多核物質。對於某些應用而言,需要有機溶劑具有不低於約10℃、在另外的實施例中不低於約20℃且在另外的實施例中不低於約25℃之閃燃點,及在20℃下不超過約10 kPa、在一些實施例中不超過約8 kPa且在另外的實施例中不超過約6 kPa之蒸氣壓。一般熟習此項技術者將認識到,上述明確範圍內之閃燃點及蒸氣壓之額外範圍經涵蓋且其在發明內。 可選擇前驅物溶液中之物質之濃度以達成溶液之所需物理特性。特定言之,更低的濃度總體而言可導致用於諸如旋塗之某些塗佈方法的溶液之所需特性,該等方法可使用合理的塗佈參數達成更薄的塗層。使用更薄的塗層以達成超精細圖案化以及以減少材料成本可為所需的。一般而言,濃度可經選擇以適用於所選塗佈方法。在下文中進一步描述塗層特性。 一般而言,可使用適合於正經形成的材料之體積之合適混合裝置來較佳地混合前驅物溶液。適合的過濾可用於移除任何污染物或不適當溶解之其他組分。在一些實施例中,形成可經合併以自組合形成前驅物溶液之單獨溶液可為所需的。具體而言,可形成包含上文式(1)中所指示之化合物中之一或多者的單獨溶液。一般而言,可很好地混合單獨溶液或經合併溶液。可將所得溶液稱作穩定金屬陽離子溶液。 可相對於與起始溶液相關之變化來評估前驅物溶液之穩定性。具體而言,若相分離伴隨較大溶劑顆粒之產生而出現或若溶液失去其進行形成所需圖案之能力,則溶液已失去穩定性。基於本文中所描述之經改良穩定方法,可在不進行額外混合的情況下穩定溶液至少約一週、在另外的實施例中至少約2週、在其他實施例中至少約4週。一般熟習此項技術者將認識到,穩定時間之額外範圍經涵蓋且係在本發明內。通常可調配具有足夠的穩定時間之適合溶液以使該溶液可在商業上經發佈為具有合適的保存期。 如本文所描述,已研發供用於減少金屬污染之處理方法。因此,可調配具有極低含量之非錫金屬之前驅物溶液。一般而言,可將全部非故意之金屬濃度分別減少至按重量計不超過約百萬分之1 (ppm)、在另外的實施例中按重量計不超過約十億分之200 (ppb)、在額外實施例中不超過約50 ppb且在其他實施例中不超過約10 ppb之值。在一些實施例中,可能需要添加其他金屬元素以影響處理,且一般可藉由至少約1重量百分比且在一些實施例中至少約2重量百分比之含量來判定此等元素,且因此可區別於污染物金屬(若適宜)。待減少之金屬污染物特定而言包括鹼金屬及鹼土金屬、Au、Ag、Cu、Fe、Pd、Pt、Co、Mn及Ni。一般熟習此項技術者將認識到,上述明確含量內之金屬含量之額外範圍經涵蓋且係在本發明內。 產生前驅物溶液及具有低含量金屬污染物之塗層的前述成果描述於'839申請案中。使用用於水解之水蒸氣提供大體上不含金屬污染物之水解反應物,其可進一步有效地形成基於低含量污染物錫組合物之低含量污染物可圖案化塗層。可商業地或經由純化來獲得具有低含量金屬污染物之適合起始材料。塗層處理及原位水解 可經由沈積並隨後將前驅物溶液處理至所選基板上來形成塗層材料。使用本文中所描述之前驅物溶液,通常在塗佈期間進行一些水解及縮合,且可經由諸如在空氣中加熱之後續處理步驟來完成或進一步後塗佈。基板通常存在塗層材料可沈積於其上之表面,且該基板可包含複數個層,其中該表面與最上層有關。在一些實施例中,可處理該基板表面以製備用於黏附塗層材料之表面。又,可在適當時清潔及/或平整該表面。適合的基板表面可包含任何合理的材料。一些備受關注之基板包括(例如):矽晶圓、二氧化矽基板、其他無機材料(諸如陶瓷材料)、聚合物基板(諸如有機聚合物)、其複合物及跨越表面及/或在基板之層中的其組合。儘管可使用任何合理的成形結構,但諸如相對較薄之圓柱體結構之晶圓可為適宜的。對於基於其低成本及靈活性之某些應用而言,非聚合物結構上之聚合物基板或具有聚合物層之基板會是所需的,且可基於可用於處理本文中所描述之可圖案化材料之相對較低處理溫度來選擇適合的聚合物。適合的聚合物可包括(例如):聚碳酸酯、聚醯亞胺、聚酯、聚烯烴、其共聚物及其混合物。一般而言,需要具有平坦表面之基板,尤其對於高解析度應用而言。然而,在特定實施例中,基板可具有大體的表面形態,其中抗蝕劑塗層意欲填充或平面化用於特定圖案化應用之特徵。替代地,使用本文中所描述之氣相沈積法,現有表面形態及特徵可保形地塗佈有用於特定圖案化應用之有機錫氧化物氫氧化物光阻。 一般而言,除本文中所揭示之氣相沈積製程以外,亦可使用任何適合的溶液塗佈製程以將前驅物溶液遞送至基板。適合的塗佈方法可包括(例如):旋塗、噴塗、浸塗、刀口塗佈、列印方法(諸如噴墨列印及網板印刷)及其類似者。儘管當前可自列印或其類似者獲得之解析度明顯比自如本文中所描述之可基於輻射之圖案化獲得之解析度之等級更低,但此等塗佈方法中之一些亦在塗佈製程期間形成塗層材料之圖案。 若使用輻射來進行圖案化,則旋塗可為相對均勻地覆蓋基板之所需方法,儘管可存在邊緣效應。在一些實施例中,可以約500 rpm至約10,000 rpm、在另外的實施例中約1000 rpm至約7500 rpm且在其他實施例中約2000 rpm至約6000 rpm之速率旋轉晶圓。可調整旋轉速度以獲得所需塗層厚度。可進行約5秒至約5分鐘且在另外的實施例中約15秒至約2分鐘之時間的旋塗。起始低速旋轉(例如,以50 rpm至250 rpm)可用以進行跨越基板之起始體積之組合物之塗佈。可用水或其他適合的溶劑進行背側沖洗、邊緣珠粒移除步驟或其類似者以移除任何邊緣珠粒。一般熟習此項技術者將認識到,上述明確範圍內之旋塗參數之額外範圍經涵蓋且係在本發明內。 塗層厚度通常可為前驅物溶液濃度、黏度及旋塗之旋轉速度之函數。對於其他塗佈製程而言,通常亦可經由選擇塗佈參數來調整厚度。在一些實施例中,使用較薄塗層以促進在後續圖案化製程中形成較小及高解析特徵可為所需的。舉例而言,乾燥後之塗層材料可具有以下平均厚度:不超過約10微米、在其他實施例中不超過約1微米、在另外的實施例中不超過約250奈米(nm)、在額外實施例中約1奈米(nm)至約50 nm、在其他實施例中約2 nm至約40 nm且在一些實施例中約3 nm至約25 nm。一般熟習此項技術者將認識到,上述明確範圍內之厚度之額外範圍經涵蓋且係在本發明內。可使用x射線反射之非接觸方法及/或基於薄膜之光學特性之橢圓偏振測量法來評估厚度。一般而言,塗層為相對均勻的以促進處理。在一些實施例中,塗層厚度之變量不超過平均塗層厚度之±50%、在另外的實施例中不超過±40%且在其他實施例中不超過相對於平均塗層厚度之約±25%。在一些實施例中,諸如較大基板上之高均勻度塗層,可用1公分的邊緣排除來評估塗層均勻度之評估,亦即,不針對在1公分邊緣內之塗層之部分來評估塗層均勻度。一般熟習此項技術者將認識到,上述明確範圍內之額外範圍經涵蓋且係在本發明內。 塗佈製程自身可導致部分溶劑之蒸發,此係由於諸多塗佈製程形成具有較大表面積及/或刺激蒸發之溶液之移動之塗層材料之液滴或其他形式。隨著材料中之物質之濃度增加,溶劑之損耗意欲增加塗層材料之黏度。塗佈製程期間之目標可為移除足夠的溶劑以穩定用於進一步處理之塗層材料。反應性物質可在塗佈或後續加熱期間縮合以形成水解產物塗層材料。 一般而言,在輻射暴露之前,可在大氣濕度之存在下暴露及視情況加熱塗層材料以水解前驅物組合物中之可水解金屬鍵,及/或進一步餾出溶劑並促進塗層材料之密化。原位水解後之塗層材料通常可基於將氧-氫氧配位體結合至金屬來形成聚合金屬側氧基-羥基網狀結構,其中該等金屬亦具有一些烷基配位體或由具有烷基配位體之多核金屬側氧基/羥基物質構成之分子固體。 可相對於或可不相對於經加熱之塗層材料之精確化學計量及/或塗層材料中剩餘溶劑之特定量來定量地控制水解/溶劑移除製程。另外,本文所表達之調配物及組合物可含有一些額外的水,無論直接鍵結至Sn或作為鍵結氫之網狀結構之組分。通常可進行所得塗層材料特性之實驗評估以選擇對圖案化製程有效之處理條件。儘管該製程之成功應用可不需要加熱,但加熱經塗佈基板以加速處理及/或以增加製程之可再生性及/或以促進水解副產物(諸如胺類及/或醇類)之氣化可為所需的。在將加熱應用於移除溶劑之實施例中,可將塗層材料加熱至約45℃至約250℃且在另外的實施例中約55℃至約225℃之溫度。用於溶劑移除之加熱通常可進行至少約0.1分鐘、在另外的實施例中約0.5分鐘至約30分鐘且在額外實施例中約0.75分鐘至約10分鐘。一般熟習此項技術者將認識到,上述明確範圍內之加熱溫度及時間之額外範圍經涵蓋且係在本發明內。作為熱處理、水解及緻密化塗層材料之結果,塗層材料可展現折射率及輻射吸收增加而無明顯的對比度損失。基於蒸氣之塗層形成 包含具有實質上不可水解Sn鍵之R基團及具有可水解Sn鍵之X配位體之前驅體化合物之研發經開發用於可輻射圖案化有機錫氧化物氫氧化物塗層之氣相沈積之研發。特定言之,相對高的蒸氣壓及諸如列於表1中之彼等的諸多Rn SnX( 4 - n ) 化合物之反應度,使得可能使用用於沈積有機錫氧化物氫氧化物薄膜光阻之氣相沈積法。經由自環境大氣壓將呈氣相之可水解前驅物引入封閉之反應器中,可將水解作為沈積製程之部分來進行,亦即化學氣相沈積。可能的氣相沈積法包括化學氣相沈積(CVD)、原子層沈積(ALD)及其變體,此前已採用諸多該等氣相沈積法以沈積無機金屬氧化物及具有金屬烷基醯胺、烷氧化物及鹵化物前驅物之氮化物薄膜,[1至4]包括來自Sn(NMe2 )4 .[5]之SnO2 。為進行氣相沈積,通常一或多種含金屬之前驅物與諸如H2 O、H2 O2 、O3 、O2 或CH3 OH之小分子氣相試劑反應或多次反應,該等試劑充當用於產生氧化物及氧化物氫氧化物之O及H來源。必要時,亦可進行物理氣相沈積法,其中具有伴隨可水解Sn鍵之配位體之前驅物組合物在塗層形成後藉由氣相沈積且隨後該等鍵水解,但對於氣相處理而言,在沈積期間水解/氧化通常可更加有效。 1
化合物 蒸氣壓 ( ) 溫度 ( ℃)
t BuSn(NEt2 )3 0.3 95
t BuSn(NMe2 )3 0.3 55
t BuSn(Ot Bu)3 3.5 ~82
i PrSn(NMe2 )3 1.4 53
Sn(NEt2 )4 0.5 110
Sn(NMe2 )4 0.1 ~54
Sn(Ot Bu)4 0.3 65
Sn(Ot Am)4 2 120
MeSn(Ot Bu)3 0.1 ~57
n BuSn(Ot Bu)3 0.9 100
n BuSn(NMe2 )3 0.05 80
在CVD方法中,兩種或多於兩種反應物氣體通常混合在基板表面附近之腔室中。因此,可將足夠的穩定性設計至反應條件中以控制不適宜之氣相反應及凝核。分別並依序引入至反應腔室之ALD前驅物通常與化學吸附之共前驅物或浸透基板表面之分解產物反應。Rn SnX( 4 - n ) 前驅物之所需特徵包括(例如):用於該系統中之氣相輸送之足夠的揮發性、防止過早分解之熱穩定性及與共前驅物反應以在指定製程條件下產生目標產物之合適反應性。可選擇反應腔室中之壓力及溫度以控制反應過程。 一般而言,可使用蒸氣之流動、噴霧及/或直接液體注入將具有相對較低蒸氣壓之前驅物引入至氣化腔室中。可使用閃蒸器以將受控量之前驅物蒸氣引入至反應腔室中以相應地控制腔室中之反應過程。可經由單獨進口將驅動水解/氧化之第二反應物引入至腔室中。商用CVD裝置可適合於此用途或可使用特定設備。為促進沈積,可取決於前驅物特性而加熱或可冷卻基板。可以依序及連續流動方案以合適的容量將諸如N2 、Ar或其類似者之惰性氣體用作載氣、純化氣體或壓力調節氣體。 如所展示,一系列Rn SnX( 4 - n ) 化合物(其中n=0、1或2)或其組合如本文中所論述藉由在溶液中水解或原位水解來產生有機錫氧化物氫氧化物光阻,其亦可適合於氣相沈積具有所需特性之有機錫氧化物氫氧化物光阻。適用之X配位體包括烷基醯胺基及二烷基醯胺基、氯、烷基側氧基、或烴基、矽側氧基、矽烷基醯胺基、二矽烷基醯胺基、芳基側氧基、疊氮基、醯胺基、脒基或與烴基R基團組合之其氟化類似物,該等基團包括直鏈及分支鏈烷基、環烷基、芳基、烯基、炔基、苯甲基及其氟化衍生物。適合的前驅物可包括(例如):(CH3 )3 CSn(NMe2 )3 、(CH3 )2 CHSn(NMe2 )3 、(CH3 )2 (CH3 CH2 )CSn(NMe2 )3 、(CH2 )2 CHSn(NMe2 )3 、CH3 Sn(NMe2 )3 、(CH2 )3 CHSn(NMe2 )3 、(CH2 )4 CHSn(NMe2 )3 、(C6 H5 )CH2 Sn(NMe2 )3 、(C6 H5 )(CH3 )CHSn(NMe2 )3 、(C6 H5 )(CH3 )CHSn(NMe2 )3 、(CH3 )2 (CN)CSn(NMe2 )3 、(CH3 )(CN)CHSn(NMe2 )3 、或(CH3 )3 CSn(Ot Bu)3 、(CH3 )2 CHSn(Ot Bu)3 、(CH3 )2 (CH3 CH2 )CSn(Ot Bu)3 、(CH2 )2 CHSn(Ot Bu)3 、CH3 Sn(Ot Bu)3 、(CH2 )3 CHSn(Ot Bu)3 、(CH2 )4 CHSn(Ot Bu)3 、(C6 H5 )CH2 Sn(Ot Bu)3 、(C6 H5 )(CH3 )CHSn(Ot Bu)3 、(C6 H5 )(CH3 )CHSn(Ot Bu)3 、(CH3 )2 (CN)CSn(Ot Bu)3 、(CH3 )(CN)CHSn(Ot Bu)3 或熟習此項技術者已知之其他前驅物。另外,諸如Sn(NMe2)4 或Sn(OtBu)4 之=0的一或多種氣相前驅體化合物可依序或同時與含有機錫之前驅物反應以更改薄膜中之R:Sn比率以達成所需圖案化屬性。 因此可經由氣相水解將可水解化合物直接沈積為相應的烷基錫氧化物氫氧化物塗層,其可接著經適當地圖案化。氣相沈積之優點可包括(例如):經減小之抗蝕劑膜缺陷密度、經改良之厚度及組合均勻度以及基板表面形狀之保形及側壁塗佈。 氣相沈積法用於直接沈積具有通式RSnO( 3 / 2 - x / 2 ) (OH)x (0 < x < 3)之有機錫氧化物氫氧化物且可在一些實施例中包含連接至單獨的經加熱鼓泡器容器之惰性氣體源。第一容器含有足夠蒸氣壓之液態烷基參(二烷基醯胺基)錫化合物以產生用於在惰性載氣中輸送之適合分壓。第二容器含有液態水或水/醇類混合物。藉由控制容器溫度、惰性氣體流動速率及總的系統壓力,將氣相RSn(NR'2 )3 及H2 O分別輸送至腔室,該腔室抽空至<約0.1托、更一般而言約0.01托至約25托且在一些常壓CVD壓力中>25托。本文中之前驅物混合並反應以在基板上沈積有機錫氧化物氫氧化物。可加熱基板及/或腔室及/或蒸氣以促進基板表面上之反應及沈積。在一些實施例中反應溫度低於約200℃可所需的,以限制錫化合物之脫烷及/或以防止氧化物氫氧化物之過度脫水及縮合。此氧化物氫氧化物之形成可降低暴露與未暴露區域之間的光阻溶解速率對比度。在各種實施例中通常可將氣體、腔室壁及/或基板加熱至約40℃至約175℃且在另外的實施例中約50℃至約160℃之溫度。一般熟習此項技術者將認識到,上述明確範圍內之壓力及溫度之額外範圍經涵蓋且係在本發明內。在類似的關聯製程中,可交替合適的持續時間及頻率之水蒸氣、惰性氣體及RSn(NR'2 )3 之脈衝以使得能夠實現ALD法之受限表面吸附及常用反應方案。圖案化及經圖案化之結構特性 水解、縮合及乾燥後,可使用輻射精細地圖案化塗層材料。如上文所提及,前驅物溶液之組合物且因此相應塗層材料可經設計用於充分吸收輻射之所需形式。輻射之吸收產生可破壞金屬與烷基配位體之間的鍵之能量,以使得至少一些烷基配位體不再可用以使材料穩定。視製程變量及此類產物之標識而定,包括烷基配位體或片段之輻射分解產物可擴散出薄膜或不可擴散出薄膜。隨著足夠量之輻射的吸收,經暴露塗層材料縮合,亦即形成增強型金屬側氧基-羥基網狀結構,其可涉及自環境大氣壓吸收之額外的水。通常可根據所選圖案遞送輻射。在具有經輻射區域及未經輻射區域之塗層材料中將輻射圖案轉換成相應圖案或潛影。經輻射區域包含經化學地更改之塗層材料,且未經輻射區域通常包含成形塗層材料。如下文所提及,可在顯影塗層材料時形成極光滑邊緣,同時移除未經輻射之塗層材料或替代地選擇性移除經輻射之塗層材料。 通常可經由光罩將輻射導引至經塗佈基板或可跨越基板可控制地掃描輻射束。一般而言,輻射可包含電磁輻射、電子束(β輻射)或其他適合輻射。一般而言,電磁輻射可具有所需波長或波長之範圍,諸如可見光輻射、紫外線輻射或x射線輻射。對於輻射圖案而言有用之解析度通常取決於輻射波長,且通常可以更短之波長輻射來達成更高解析度之圖案。因此,使用紫外光、x射線輻射或電子束以達成尤其高解析度之圖案可為所需的。 遵循以引用的方式併入本文中之國際標準ISO 21348 (2007),紫外光在大於或等於100 nm及小於400 nm之波長之間延伸。可將氟化氪雷射用作248 nm紫外光之來源。可在公認的標準下以若干方式細分紫外線範圍,諸如極遠紫外線(EUV)自大於或等於10 nm至小於121 nm且遠紫外線(FUV)自大於或等於122 nm至小於200 nm。可將來自氬氟化物雷射之193 nm線用作FUV中之輻射源。已將EUV光用於13.5 nm之微影,且此光產生自使用高能量雷射或放電脈衝激發之Xe或Sn電漿源。可自大於或等於0.1 nm至小於10 nm來界定軟x射線。 電磁輻射的量可由通量或劑量表徵,該通量或劑量由歷經暴露時間之整合輻射通量界定。適合的輻射通量可為約1 mJ/cm2 至約150 mJ/cm2 、在另外的實施例中約2 mJ/cm2 至約100 mJ/cm2 ,且在另外的實施例中約3 mJ/cm2 至約50 mJ/cm2 。一般熟習此項技術者將認識到,上述明確範圍內之輻射通量之額外範圍經涵蓋且係在本發明內。 伴隨電子束微影,電子束通常誘發二次電子,該等二次電子通常改質經輻射之材料。解析度可至少部分隨材料中之二次電子之範圍而變化,其中通常認為較高解析度由更短範圍之二次電子引起。基於使用本文中所描述之無機塗層材料用電子束微影可達成之高解析度,無機材料中之二次電子之範圍受到限制。電子束可由射束之能量表徵,且適合的能量可在約5 V至約200 kV (千伏)且在另外的實施例中約7.5 V至約100 kV之範圍內。30 kV下之接近度校正射束劑量可在約0.1微庫侖/平方公分至約5微庫侖/平方公分(mC/cm2 )、在另外的實施例中約0.5 µC/cm2 至約1 mC/cm2 且在其他實施例中約1 µC/cm2 至約100 µC/cm2 之範圍內。一般熟習此項技術者可基於本文中之教示在其他射束能量下計算相應的劑量且將認識到上述明確範圍內之電子束特性之額外範圍經涵蓋且係在本發明內。 基於塗層材料之設計,在具有經縮合塗層材料之經輻射區域與具有實質上完整有機配位體之未經輻射塗層材料之間可存在較大的材料特性之對比度。已發現可用後輻射加熱處理來改良給定劑量下之對比度,儘管在一些實施例中可在無後輻射加熱處理之情況下達成令人滿意的結果。暴露後熱處理似乎使經輻射塗層材料退火以增加其縮合而不基於有機配位體-金屬鍵之熱破壞明顯地縮合塗層材料之未經輻射區域。對於使用後輻射熱處理之實施例而言,可在約45℃至約250℃、在額外實施例中約50℃至約190℃且在另外的實施例中約60℃至約175℃之溫度下進行後輻射熱處理。後暴露加熱通常可進行至少約0.1分鐘、在另外的實施例中約0.5分鐘至約30分鐘且在額外實施例中約0.75分鐘至約10分鐘。一般熟習此項技術者將認識到,上述明確範圍內之後輻射加熱溫度及時間之額外範圍經涵蓋且係在本發明內。材料特性中之此高對比度進一步促進如以下部分中所描述之顯影之後的圖案中之具有光滑邊緣的高解析度線之形成。 在用輻射暴露後,塗層材料以經輻射區域及未經輻射區域經圖案化。參見圖1及圖2,展示包含基板102、薄膜103及經圖案化塗層材料104之經圖案化結構100。經圖案化塗層材料104包含經輻射塗層材料之區域110、112、114、116及未經輻射塗層材料之未經縮合區域118、120、122。藉由經縮合區域110、112、114、116及未經縮合區域118、120、122所形成之圖案呈現塗層材料中之潛影,且在以下部分中論述潛影之顯影。顯影及經圖案化結構 影像之顯影涉及使包括潛影之經圖案化塗層材料與顯影劑組合物接觸以移除未經輻射塗層材料來形成負像或移除經輻射塗層來形成正像。使用本文中所描述之抗蝕劑材料,通常可使用合適的顯影溶液且通常基於同一塗層進行具有所需解析度之有效負圖案化或正圖案化。特定言之,至少部分縮合經輻射區域以增加金屬氧化物特徵,以使得經輻射材料對藉由有機溶劑之溶解具有耐受性,同時未經輻射組合物保持可溶於有機溶劑中。提及經縮合塗層材料係指在相對於原始材料增加該材料的氧化物特徵的意義上至少部分縮合。另一方面,歸因於該材料之疏水性,未經輻射材料較不可溶於弱鹼水溶液或弱酸水溶液,因此鹼性水溶液可用以移除經輻射材料,同時維持未經輻射材料之正圖案化。 具有有機穩定配位體之塗層組合物產生本身疏水之材料。輻射以破壞至少一些有機金屬鍵將材料轉化成較不疏水,亦即更親水之材料。特徵中之此變化在經輻射塗層與未經輻射塗層之間提供明顯的對比度,其提供對同一抗蝕劑組合物執行正型圖案化及負型圖案化之能力。具體而言,使經輻射塗層材料在一定程度下縮合成更多的金屬氧化物組合物;然而,通常在無明顯加熱情況下的縮合程度係中度的,以致經輻射材料可相對簡單地利用適宜的顯影試劑顯影。 參見圖3及圖4,相對於負成影,已經由與顯影劑接觸來顯影展示於圖1及圖2中之結構之潛影以形成經圖案化結構130。在該影像顯影之後,經由開口132、134、135沿頂部表面暴露基板102。開口132、134、135分別地位於未經縮合區域118、120、122之位置處。參見圖5及圖6,相對於正成影,已顯影展示於圖1及圖2中之結構之潛影以形成經圖案化結構140。經圖案化結構140具有經圖案化結構130之共軛像。經圖案化結構140具有暴露在經輻射區域110、112、114、116之位置處的基板102,該等位置經顯影以形成開口142、144、146、148。 對於負成影而言,顯影劑可為有機溶劑,諸如用以形成前驅物溶液之溶劑。一般而言,顯影劑選擇可受與塗層材料有關之可溶性參數、經輻射及未經輻射以及顯影劑揮發性、可燃性、毒性、黏度及與其他處理材料之可能的化學相互作用影響。特定言之,適合的顯影劑包括(例如):芳族化合物(例如,苯、二甲苯、甲苯)、酯類(例如,丙二醇單甲基乙酸酯、乙酸乙酯、乳酸乙酯、乙酸正丁酯、丁內酯)、醇類(例如,4-甲基-2-戊醇、1-丁醇、異丙醇、1-丙醇、甲醇)、酮類(例如,甲基乙基酮、丙酮、環己酮、2-庚酮、2-辛酮)、醚類(例如,四氫呋喃、二噁烷、苯甲醚)及其類似物。可進行顯影約5秒至約30分鐘、在另外的實施例中約8秒至約15分鐘且在額外實施例中約10秒至約10分鐘。一般熟習此項技術者將認識到,上述明確範圍內之額外範圍經涵蓋且係在本發明內。 對於正成影而言,顯影劑通常可為酸性水溶液或鹼性水溶液。在一些實施例中,水性鹼可用以獲得更清晰之影像。為減少來自顯影劑的污染,使用並不具有金屬原子之顯影劑可為所需的。因此,季銨氫氧化物組合物(諸如四乙基銨氫氧化物、四丙基銨氫氧化物、四丁基銨氫氧化物或其組合)作為顯影劑係為所需的。一般而言,備受關注之季銨氫氧化物可由式R4 NOH表示,其中R=甲基、乙基、丙基、丁基或其組合。通常可用當前常用於聚合物抗蝕劑之同一顯影劑來顯影本文中所描述之塗層材料,尤其四甲基銨氫氧化物(TMAH)。商業TMAH可以2.38重量百分比獲得,且此濃度可用於本文中所描述之處理。另外,可使用經混合之四烷基季銨氫氧化物。一般而言,顯影劑可包含約0.5重量百分比至約30重量百分比、在另外的實施例中約1重量百分比至約25重量百分比且在其他實施例中約1.25重量百分比至約20重量百分比之四烷基銨氫氧化物或類似季銨氫氧化物。一般熟習此項技術者將認識到,上述明確範圍內之顯影劑濃度之額外範圍經涵蓋且係在本發明內。 除基本顯影劑組合物以外,顯影劑可包含額外的組合物以促進顯影處理。適合的添加劑包括(例如):具有由銨、d-封端金屬陽離子(鉿、鋯、鑭或其類似者)、f-封端金屬陽離子(鈰、鎦或其類似者)、p-封端金屬陽離子(鋁、錫或其類似者)、鹼金屬(鋰、鈉、鉀或其類似者)及其組合組成之群中選出之陽離子的溶解鹽;及具有由氟離子、氯離子、溴離子、碘離子、硝酸鹽、硫酸鹽、磷酸鹽、矽酸鹽、硼酸鹽、過氧化物、丁醇鹽、甲酸鹽、草酸鹽、乙二胺四乙酸(EDTA)、鎢酸鹽、鉬酸鹽或其類似者及其組合組成之群中選出之陰離子的溶解鹽。其他可能適用之添加劑包括(例如):分子螯合劑,諸如多元胺、醇胺、胺基酸、羧酸或其組合。若存在視情況選用之添加劑,則顯影劑可包含不超過約10重量百分比之添加劑且在另外的實施例中不超過約5重量百分比之添加劑。一般熟習此項技術者將認識到,上述明確範圍內之添加劑濃度之額外範圍經涵蓋且係在本發明內。添加劑可經選擇以改良對比度、敏感度及線寬粗糙度。顯影劑中之添加劑亦可抑制金屬氧化物顆粒之形成及沈澱。 藉由較弱顯影劑(例如,較低濃度之水性顯影劑、經稀釋之有機顯影劑或其中塗層具有較低顯影速率之組合物),更高溫度之顯影處理可用於提高處理之速率。藉由較強的顯影劑,顯影處理之溫度可更低以降低速率及/或控制顯影之動力學。一般而言,可將顯影之溫度調整在符合溶劑之揮發性的合適值之間。另外,可在顯影期間用超音波來分散靠近顯影劑塗層界面之具有經溶解塗層材料的顯影劑。 可使用任何合理的方法將顯影劑應用於經圖案化塗層材料。舉例而言,可將顯影劑噴塗至經圖案化之塗層材料上。又,可使用旋塗。對於自動化處理而言,可使用覆液法,該方法涉及以固定形式將顯影劑傾倒至塗層材料上。視需要,旋轉沖洗及/或乾燥可用以完成顯影處理。適合的沖洗溶液包括(例如):用於負圖案化之超純水、甲醇、乙醇、丙醇及其組合及用於正圖案化之超純水。在顯影影像之後,將塗層材料安置於基板上作為圖案。 在完成顯影步驟後,可熱處理塗層材料以進一步縮合材料其以進一步脫水、密化或自材料移除殘餘顯影劑。對於將氧化物塗層材料併入至最終器件中之實施例而言,此熱處理可為尤其合乎需要的,儘管對於將塗層材料用作抗蝕劑之一些實施例而言可能需要進行熱處理且若促進進一步圖案化之塗層材料之穩定性為合乎需要的,則最終移除。特定言之,可在經圖案化塗層材料呈現蝕刻選擇性之所需水平的條件下進行經圖案化塗層材料之烘烤。在一些實施例中,可將經圖案化塗層材料加熱至約100℃至約600℃、在另外的實施例中約175℃至約500℃且在額外實施例中約200℃至約400℃之溫度。加熱可進行至少約1分鐘、在其他具體實例中約2分鐘至約1小時、在另外的實施例中約2.5分鐘至約25分鐘。可在空氣、真空或惰性氣體環境(諸如Ar或N2 )中進行加熱。一般熟習此項技術者應認識到,在上述明確範圍內之用於熱處理之溫度及時間之額外範圍經涵蓋且係在本發明內。同樣,出於類似目的亦可採用包括毯覆式UV暴露或暴露於諸如O2 之氧化電漿之非熱處理。 藉由習知有機抗蝕劑,若結構之縱橫比(高度除寬度)變得太大,則結構易發生圖案崩壞。圖案崩壞可與較高縱橫比結構之機械不穩定性相關以使得與處理步驟相關之力(例如,表面張力)扭曲結構元件。較低縱橫比結構相對於潛在的扭曲力更加穩定。藉由本文中所描述之可圖案化塗層材料,由於其較高抗蝕刻性及有效地處理具有塗層材料之更薄層之結構的能力,可在不需要較高縱橫比之經圖案化塗層材料的情況下完成經改良圖案化。因此,在不借助經圖案化塗層材料中之較高縱橫比形狀的情況下已形成極高解析度特徵。 所得結構可具有具極低線寬粗糙度之銳邊。特定言之,除減少線寬粗糙度之能力以外,高對比度亦允許在特徵之間形成小特徵及空間以及形成極好解析之二維圖案(例如,尖銳拐角)之能力。因此,在一些實施例中,鄰近結構之鄰接線性段可具有不超過約60 nm (30 nm半間距)、在一些實施例中不超過約50 nm (25 nm半間距)且在另外的實施例中不超過約34 nm (17 nm半間距)之平均間距(半間距)。間距可藉由設計來評估並用掃描電子顯微法(SEM) (諸如藉由自上而下之影像)來確認。如本文中所使用,間距係指空間間隔,或重複結構元件之中心至中心的距離,且如本領域中通常所使用,半間距為間距之一半。亦可相對於特徵之平均寬度描述圖案之特徵尺寸,通常脫離拐角或其類似者來評估該尺寸。又,特徵可指材料元件之間及/或材料元件之空隙。在一些實施例中,平均寬度可為不超過約25 nm、在另外的實施例中不超過約20 nm且在額外實施例中不超過約15 nm。平均線寬粗糙度可為不超過約5 nm、在一些實施例中不超過約4.5 nm且在另外的實施例中約2.5 nm至約4 nm。藉由自上而下的SEM影像之分析來評估線寬粗糙度以推導距平均線寬之3σ偏差。平均值分別地含有高頻及低頻粗糙度,亦即短相關長度及長相關長度。有機抗蝕劑之線寬粗糙度主要由長相關長度表徵,而本發明之有機金屬塗層材料展現明顯地更短的相關長度。在圖案轉印製程中,可在蝕刻製程期間修勻短相關粗糙度,從而產生更高保真度之圖案。一般熟習此項技術者將認識到,在上述明確範圍內之間距、平均寬度及線寬粗糙度經涵蓋且係在本發明內。基於此等製程,圖案化可經調試以形成諸如電子積體電路之各種器件,通常經由重複之圖案化製程以形成適當地層狀結構,諸如電晶體或其他組件。 晶圓產量實質上為針對在大量半導體製造中實施之EUV微影術之限制因素且與圖案化給定特徵之所需劑量直接相關。然而,儘管存在化學策略以減少成影劑量,但在特徵大小及間距<50 nm之EUV光阻下通常觀測列印目標特徵所需之成影劑量與特徵大小均勻度(諸如LWR)之間的負相關性,藉此限制最終器件可操作性及晶圓產率。然而,已發現本文中所描述之前驅物、前驅物溶液及光阻膜避免此限制且能夠在大致的劑量範圍內減少EUV成影劑量而不伴隨增加LWR。當在類似條件下處理時,藉由利用包含如以下實例中詳述之在塗佈及烘烤步驟期間原位水解之Rn SnX( 4 - n ) 及SnX4 之摻合物的前驅物溶液,相對於衍生自經預水解之有機錫氧化物氫氧化物化合物之混合物(如'839申請案中所描述製備)的光阻薄膜觀測到在相等或更低LWR下>30%之劑量減少。 基於以下實例中所描述之經改良製程,可相應地表徵塗層材料之經改良特性。舉例而言,沿表面包含無機半導體層及輻射敏感塗層材料之基板可用波長為13.5 nm之EUV光以32 nm間距上16 nm線之圖案進行圖案化。為評估塗層材料,用以達成16 nm之臨界尺寸之劑量可連同可達成之線寬粗糙度(LWR)一起評估。經改良塗層可以約8 mJ/cm2 至約25 mJ/cm2 之劑量及不超過約4 nm之線寬粗糙度來達成16 nm之臨界尺寸。將SuMMIT分析軟體(EUV技術公司)用於自SEM影像擷取抗蝕劑臨界尺寸(CD)及線寬粗糙度(LWR)。 在另外的實施例中,可根據成膠凝劑量值來表示經改良圖案化能力。包含基板及輻射敏感塗層(其包含烷基金屬氧化物氫氧化物)之結構可具有不超過約6.125 mJ/cm2 且在另外的實施例中約5.5 mJ/cm2 至約6 mJ/cm2 之成膠凝劑量(Dg )。在以下實例中解釋成膠凝劑量之評估。參考文獻 ( 以引用的方式併入本文中 ) 1)   Maeng, W. J.; Pak, S. J.; Kim, H.J. Vac. Sci. Tech B. 2006,24 , 2276. 2)   Rodríguez-Reyes, J. C. F.; Teplyakov, A. V.J. Appl. Phys . 2008,104 , 084907. 3)   Leskelä, M.; Ritala, M.Thin Solid Films 2002,409 , 138. 4)   Leskelä, M.; Ritala, M.J. Phys. I V 1999,9 , Pr8-852. 5)   Atagi, L. M.; Hoffman, D. M.; Liu, J. R.; Zheng, Z.; Chu, W. K.; Rubiano, R. R.; Springer, R. W.; Smith, D. C.Chem. Mater . 1994,6 , 360. 實例實例 1 - 用於原位水解之前驅物之製備 此實例係關於適合於原位水解以形成有機錫氧化物氫氧化物塗層之有機錫醯胺基化合物之形成。 仿效報告於Hänssgen, D.; Puff, H.; Beckerman, N.J . Organomet . Chem . 1985,293 , 191 (其以引用的方式併入本文中)中之方法合成前驅物第三丁基參(二乙醯胺基)錫(tBuSn(NEt2 )3 ,下文P-1 ) 。四(二乙醯胺基)錫及tBuLi試劑係購自Sigma-Aldrich且不經進一步純化即使用。在-78℃下在無水己烷(Sigma-Aldrich)中使試劑以化學計量定量反應。經由過濾移除經沈澱之胺化鋰鹽並用己烷沖洗產物,且在真空下去除溶劑。在真空(95℃下約0.3托)下蒸餾粗產物。 藉由稱重30 mL琥珀色玻璃瓶中之1.177 g (3.0 mmol)之P-1 在填充有Ar之手套工作箱中製備溶液,且接著添加15 mL之無水4-甲基-2-戊醇(在3A分子篩上乾燥24 h)。封蓋並攪動該瓶。在塗佈之前將1份此儲備溶液稀釋在2.85份(體積)無水4-甲基-2-戊醇中。 在惰性氛圍下合成前驅物異丙基參(二甲基胺基)錫(iPrSn(NMe2 )3 ,下文P-2 ) 且隨後溶解於甲苯中以形成抗蝕劑前驅物溶液。在氬氣下,1 L之Schlenk經調適之圓底燒瓶充有LiNMe2 (81.75 g,1.6 mol,Sigma-Aldrich)及無水己烷(700 mL,Sigma-Aldrich)以形成漿液。添加較大攪拌棒且密封容器。正氬氣壓下之加料漏斗經由沖洗充有iPrSnCl3 (134.3 g,0.5 mol,Gelest)且接著附接至反應瓶。使反應瓶冷卻至-78℃且接著逐滴添加iPrSnCl3 歷經2小時之時間段。使反應升溫至室溫隔夜同時攪拌。反應產生副產物固體。在靜置之後,經由串聯套管過濾器在正氬氣壓下過濾固體。接著在真空下移除溶劑,且在減壓下蒸餾殘餘物(50℃至52℃,1.4 mmHg)以得到淡黃色液體(110 g,75%產率)。在Bruker DPX-400 (400 MHz,BBO探針)光譜儀上收集C6 D6 溶劑中之餾出物之1 H及119 Sn NMR光譜。所觀測到之1 H諧振(s,2.82 ppm,-N(CH3 )2 ;d,1.26 ppm,-CH3 ;m,1.60 ppm,-CH)匹配所預測之用於iPrSn(NMe2 )3 之光譜。-65.4 ppm之基本119 Sn諧振與具有單錫環境之主要產物一致;化學位移與報告之單烷基參(二烷基醯胺基)錫化合物類似。 藉由稱重30 mL琥珀色玻璃瓶中之0.662 g (2.25 mmol)之P-2 在填充有Ar之手套工作箱中製備溶液。接著添加15 mL體積之無水甲苯(在3A分子篩上乾燥24 h)以製作儲備溶液(SOL-2 )。接著封蓋並攪動該瓶。在塗佈之前將1份此儲備溶液稀釋在3份(體積)無水甲苯中。實例 2 - 圖案化經原位水解之光阻塗層 此實例展現由實例1之組合物形成之塗層的成功原位水解及後續EUV圖案化。 將薄膜沈積於具有天然氧化物表面之矽晶圓(100 mm直徑)上。在沈積醯胺基前驅物之前,用六甲基二矽氮烷(HMDS)蒸氣底漆處理Si晶圓。以1500 rpm在空氣中將P-1 於4-甲基-2-戊醇中之溶液旋塗於基板上並在空氣中在100℃下在加熱板上烘烤2 min以蒸發殘餘溶劑及揮發性水解產物。經由橢圓偏振測量法量測塗佈及烘烤後之薄膜厚度應為約31 nm。 將經塗佈基板暴露於遠紫外線輻射(Lawrence Berkeley National Laboratory Micro Exposure Tool)。使用13.5 nm波長輻射、偶極子照明及0.3之數值孔徑將34 nm間距上17 nm線之圖案投射至晶圓上。接著使經圖案化抗蝕劑及基板在加熱板上在170℃下在空氣中經受暴露後烘烤(PEB) 2 min。接著將經暴露薄膜浸漬於2-庚酮中15秒,接著用同一顯影劑再沖洗15秒以形成負影像,亦即,移除塗層之未經暴露之部分。在顯影之後在150℃下在空氣中進行最後5 min加熱板烘烤。圖7展現16.7 nm抗蝕劑線之SEM影像,該等16.7 nm抗蝕劑線產自由56 mJ/cm2 之EUV劑量及經計算2.6 nm之LWR下之34 nm間距上4-甲基-2-戊醇所澆鑄之P-1 。 使用與上所述一致的塗佈及烘烤條件用P-2 於甲苯中之溶液澆鑄第二薄膜。使用EUV光將直徑為約500 um之50個圓形板之線性陣列投射至晶圓上。調節板暴露時間以用7%之梯度指數將針對各板遞送之EUV劑量自1.38 mJ cm- 2 增加至37.99 mJ cm- 2 。在上文所述之PEB、顯影及最終烘烤製程之後,將J. A. Woollam M-2000光譜橢偏儀用於量測經暴露之板的殘餘厚度。在圖8中,繪製隨所遞送之EUV劑量而變化的各板之厚度。所得曲線清楚地說明暴露時所生成之負對比度,殘餘薄膜厚度在約0處開始並在大致15.8 mJ cm- 2 遞送劑量下達到最大值(成膠凝劑量,Dg )。實例 3 - 經原位水解之塗層之評估 此實例提供經由用於具有可水解Sn鍵之前驅物之原位水解方法實質上完全水解之證據。 為作對比,製備用於形成輻射敏感塗層之異丙基氧化錫氫氧化物。藉由將6.5 g (24 mmol)之異丙基錫三氯化物快速添加至150 mL之0.5 M NaOH (水溶液)並劇烈攪拌,從而即刻產生沈澱來製備異丙基錫三氯化物(iPrSnCl3 ,Gelest)之固態水解產物。在室溫下攪拌所得混合物1 h且接著經由1號濾紙(Whatman)藉由抽吸過濾。用~25 mL份之DI H2 O將經保持之固體洗滌三次且接著在真空下(約5托)在室溫下乾燥12 h。經乾燥粉末之元素分析(18.04% C、3.76% H、1.38% Cl;微量分析,Inc.;Wilmington,DE)指示水解異丙基錫三氯化物後基本移除氯離子及i PrSnO( 3 / 2 -( x / 2 )) (OH) x (其中x ≈1)之近似水解產物實驗式(下文P-3 )。(C3 H8 O2 Sn之計算值為:18.50% C、4.14% H、0.00% Cl)。 藉由將含經乾燥粉末之2-丁酮溶解至約0.25 M之總Sn濃度來製備固態水解產物iPrSnO( 3 / 2 -( x / 2 )) (OH)x 之溶液。如先前所描述藉由將1.47 g (5.0 mmol)之P-2 添加至10 mL之4-甲基-2-戊醇來製備P-2 於無水4-甲基-2-戊醇中之溶液。藉由將各溶液旋塗至25 mm×25 mm之Si晶圓上來鑄造薄膜。以2000 rpm塗佈P-2 之溶液,同時以1250 rpm塗佈P-3之溶液。塗佈後,在150℃下在空氣中烘烤各薄膜2分鐘。醇類可與P-2 反應以形成烷氧化物配位體,但在任何情況下,若烷氧化物配位體形成,則此等配位體似乎進一步水解以形成氧化物氫氧化物組合物。 使用如先前技術之裸露基板在Nicolet 6700光譜儀上收集兩個薄膜之傅里葉(Fourier)變換紅外(FTIR)透射光譜。兩個薄膜(圖8)之代表性光譜在性質上極其類似,從而表明在兩種情況下實質上水解並消除來自P-2 溶液之醯胺基/烷基側氧基且沈積氧化物氫氧化物。特定言之,2800 cm- 1 至3000 cm- 1 及1100 cm- 1 至1500 cm- 1 之區域幾乎一致,從而指示兩個薄膜之間的類似CHx 組合物,且不存在實質性C-N物質。實例 4 - 具有四二烷基醯胺基或第三丁氧基錫化合物之可水解前驅物之製備 此實例描述經混合之可水解前驅體化合物之形成以提供相對於輻射敏感塗層中之金屬之輻射敏感配位體的化學計量之控制。 如實例1中所描述合成第三丁基參(二乙醯胺基)錫。四(二甲基胺基)錫(Sn(NMe2 )4 ,FW=295.01)係購自Sigma-Aldrich且不經進一步純化即使用。 仿效Hampden-Smith等人Canadian Journal of Chemistry , 1991,69 , 121. (其以引用的方式併入本文中)之方法製備錫(IV)第三丁醇鹽(Sn(Ot Bu)4 ,FW=411.16,下文P-5 ):將亞錫氯化物(152 g/0.8 mol)及戊烷(1 L)添加至裝備有磁性攪拌棒之3 L烘乾的圓底燒瓶並用氮氣吹掃。裝配有氮氣壓進口之1 L平衡壓力之加料漏斗充有二乙胺(402 mL/3.9 mol)及戊烷(600 mL)且接著附接至燒瓶,並將該燒瓶浸沒於冰浴中。接著逐滴添加胺類溶液以使得緩慢回流得以維持。在完成添加胺類後即將含2-甲基-2-丙醇(290 g/3.9 mol)之戊烷(50 mL)添加至加料漏斗並此後逐滴至燒瓶。在攪拌18小時之後,將漿液轉移至不含空氣之經燒結之過濾器燒瓶中且經沈澱之鹽得以移除。在減壓下移除溶劑並蒸餾目標化合物(B.P.=60-62 C @ 1托)。1 H NMR (C6 D6 ):1.45 ppm (s);119 Sn NMR (C6 D6 ):-371.4 ppm (s)。 藉由經由套管將相應化合物中之各者轉移至含有無水4-甲基-2-戊醇(在4A分子篩上乾燥24 h)之單獨燒瓶中來製備P-1 (t BuSn(NEt2 )3 ,下文S-1 )、P-4 (Sn(NMe2 )4 ,下文S-2 )及P-5 (Sn(Ot Bu)4 ,下文S-3 )之儲備溶液。接著添加額外的乾燥4-甲基-2-戊醇以將溶液稀釋至0.25 M (Sn)之最終濃度。 藉由將41 g之P-1 插入至浸沒於異丙醇/乾冰浴中且含有250 mL之甲醇之圓底燒瓶中,同時在磁性攪拌板上攪拌來製備另一儲備溶液S-4 。在轉移t BuSn(NEt2 )3 等分試樣之後,自冰浴移除含有混合物之燒瓶並使其達到室溫。然後,使含有混合物之燒瓶在附接至旋轉式氣化器之水浴中達至50℃且在減壓(10毫托)下去除溶劑直至完全完成溶劑蒸發且獲得黏稠的黃色油狀物。最後,將黃色油狀物溶解於1.0 L之4-甲基-2-戊醇中。在溶劑蒸發且後續熱分解殘餘SnO2 固體之後基於溶液之殘餘質量測定所得溶液具有0.097 M [Sn]之莫耳濃度。 藉由以0、5:1及9:1之體積比將S-1 儲備溶液與S-2 儲備溶液混合來製備前驅物塗層溶液CS-a 、CS-b 及CS-c 以產生塗層溶液,其中該混合物中之0 (a )莫耳%、10 (b )莫耳%及20 (c )莫耳%之總Sn濃度來源於Sn(NMe2 )4 。在旋塗之前,接著進一步用4-甲基-2-戊醇將此等溶液稀釋至0.070 M (總Sn)。舉例而言,為製備200 mL之CS-b ,將由Sn(NMe2 )4 (S-2 )製備之5.6 mL之儲備溶液添加至由t BuSn(NEt2 )3 (S-1 )製備之50.4 mL之溶液,劇烈地混合,且用乾燥4-甲基-2-戊醇稀釋至200 mL總體積。前驅物塗層溶液、濃度及組合物之概述呈現於表2中。 藉由以合適體積比將儲備溶液S-4 與儲備溶液S-2 及S-3 混合以使得10莫耳%及20莫耳%之總Sn濃度來源於Sn(NMe2 )4 (分別為CS-eCS - f )及Sn(Ot Bu)4 (CS-g ,CS-h )並用乾燥4-甲基-2-戊醇稀釋來製備總Sn濃度為0.044 M之前驅物塗層溶液CS-e - h 。藉由用乾燥4-甲基-2-戊醇將儲備溶液S-4 直接稀釋至0.042 M Sn之最終濃度來製備前驅物塗層溶液CS-d 。作為一實例,藉由將72.6 mL之S-4 與7.04 mL之S-3 混合並用乾燥4-甲基-2-戊醇稀釋至200 mL之總體積來製備200 mL之前驅物塗層溶液CS-e 。 表2
前驅物塗層溶液 t Bu -Sn 儲備溶液 總濃度 .[Sn ] (M ) 來自Sn (NMe )4 之Sn mol % 來自Sn (Ot Bu )4 之Sn mol %
a 1 0.070 0 0
b 1 0.070 10 0
c 1 0.070 20 0
d 4 0.042 0 0
e 4 0.044 10 0
f 4 0.044 20 0
g 4 0.044 0 10
h 4 0.044 0 20
藉由將含有經預水解之第三丁基氧化錫氫氧化物水解產物之甲醇溶液與經預水解之異丙基氧化錫氫氧化物水解產物之4-甲基-2-戊醇溶液混合,且用如'839申請案中所描述之純溶劑將所得混合物稀釋至0.03 M [Sn]來製備前驅物塗層溶液CS- i 。將所得溶液表徵為i PrSnO( 3 / 2 -( x / 2 )) (OH)xt BuSnO( 3 / 2 -( x / 2 )) (OH)x 水解產物之摻合物,其中t-BuSnO( 3 / 2 -( x / 2 )) (OH)x 之分數為相對於Sn總莫耳為40%。實例 5 - 具有所選含量之輻射敏感配位體之經改造塗層之圖案化 此實例呈現藉由圖案化用如實例4 (其展現具有更低輻射劑量之經改良圖案化)中所描述製備之塗層溶液形成之塗層所獲得的結果。 藉由由t BuSn(NEt2 )3 及一些塗層溶液Sn(NMe2 )4 或Sn(Ot Bu)4 製備之實例4的前驅物塗層溶液來沈積第三丁基錫氧化物氫氧化物光阻薄膜,且接著用EUV輻射暴露。將用於EUV對比度曲線之薄膜沈積於具有天然氧化物表面之矽晶圓(100 mm直徑)上。在沈積之前用六甲基二矽氮烷(HMDS)蒸氣底漆處理Si晶圓。根據表1中之說明由t BuSn(NEt2 )3 製備前驅物塗層溶液CS-a 、CS-b 及CS-c (0.070 M Sn)且在空氣中以1500 rpm將0莫耳%、10莫耳%及20莫耳%之Sn(NMe2 )4 旋塗於Si基板並在加熱板上在空氣中在100℃下烘烤2 min以除去殘餘溶劑及揮發性水解產物。在塗佈及烘烤後經由橢圓偏振測量法量測之薄膜厚度應為約25 nm至28 nm。 使用勞倫斯伯克利國家實驗室微米暴露工具用EUV光將直徑為約500 μm之50個圓形板之線性陣列暴露於各晶圓上。調節板暴露時間以藉由7%之梯度指數將針對各板遞送之EUV劑量自1.38 mJ cm- 2 增加至37.99 mJ cm- 2 。在暴露之後,使晶圓在加熱板上在空氣中在170℃下經受暴露後烘烤(PEB)持續2 min。接著將經暴露之薄膜浸漬於2-庚酮中15秒並用同一顯影劑沖洗額外15秒以形成負影像,亦即,移除塗層之未經暴露之部分。在顯影之後在150℃下在空氣中進行最後5 min之加熱板烘烤。將A J. A. Woollam M-2000光譜橢偏儀用於量測經暴露之板的殘餘厚度。將經量測厚度標準化至經量測最大抗蝕劑厚度並相對於暴露劑量之對數繪製以形成一系列暴露後烘烤溫度下之各抗蝕劑之特徵曲線。參見圖10。將標準化厚度對比對數劑量曲線之最大斜度定義為光阻對比度(γ )且將在該處切線經由等於1之此點得以繪製之劑量值定義為光阻成膠凝劑量(Dg )。以此方式,可遵循Mack, C.Fundamental Principles of Optical Lithography , John Wiley & Sons, Chichester, U.K;第271頁至第272頁,2007估計用於光阻特徵之常用參數。 所得曲線清楚地說明暴露時所生成之負對比度,此係因為各抗蝕劑膜之殘餘板厚度在約0處開始並達到接近Dg 之最大值。清楚地觀測到引發顯影速率變化之所需劑量減少,此係因為對應於Sn(NMe2 )4 之前驅物塗層溶液中之Sn之莫耳分率自0 (Dg =13.8 mJ cm- 2 )增加至10% (Dg =10.6 mJ cm- 2 )且最終為20% (Dg =5.8 mJ cm- 2 )。 以同樣的方式使用EUV掃描儀列印高解析度之線條-空白圖案且自前驅物塗層溶液CS-d CS-e 及CS-f 鑄造第三丁基錫氧化物氫氧化物光阻薄膜。將具有天然氧化物表面之矽晶圓(300 mm直徑)用作未經額外表面處理之基板。藉由t BuSn(NEt2 )3 製備前驅物塗層溶液CS-d - h 且以1000 rpm或1500 rpm在空氣中將如上文所描述之0莫耳%、10莫耳%或20莫耳%之Sn(NMe2 )4 或Sn(Ot Bu)4 以及CS- i 旋塗於Si基板上(僅CS-d )並在加熱板上在空氣中在100℃下烘烤2 min。 使用具有偶極子60×照明及0.33之數值孔徑之NXE:3300B EUV掃描儀將經塗佈基板暴露於極遠紫外線輻射。在2分鐘100℃之塗佈後烘烤(PAB)後將32 nm間距上16 nm線之圖案投射於經塗佈晶圓上。接著使經暴露之抗蝕劑薄膜及基板在加熱板上在170℃下在空氣中經受PEB持續2 min。接著使經暴露之薄膜在2-庚酮中顯影15秒,接著用同一顯影劑沖洗額外15秒以形成負影像,亦即移除塗層之未經暴露之部分。在顯影之後在150℃下在空氣中進行最後5 min之加熱板烘烤。圖11展示自第三丁基錫氧化物氫氧化物光阻薄膜顯影之所得抗蝕劑線之SEM影像。展示自由t BuSn(NEt2 )3 (CS-d ) 及10 mol%或20mol% Sn(NMe2 )4 (分別為CS-e 、CS-f )或Sn(Ot Bu)4 (CS-g , CS-h )製備之前驅物塗層溶液鑄造之各薄膜之成影劑量、臨界尺寸及線寬粗糙度。同樣,隨著增加添加至前驅物塗層溶液之SnX4 之分數,觀測到成影劑量減少。相對於經計算之LWR繪製達成16 nm之臨界尺寸所需之成影劑量,自前驅物塗層溶液d-i鑄造之各薄膜繪製於圖12中。明顯地,相對於不伴隨增加線寬粗糙度(LWR)之CS-i ,針對由CS-e 及CS-f 鑄造之薄膜獲得所需成像劑量之>30%之降低,從而指示對經預水解之混合之烷基配位體調配物之實質性改良及在圖案化劑量與LWR之間通常觀測到之倒數關係之重要的規避(超出彼劑量範圍)。實例 6 - 針對用第三丁基及甲基輻射敏感配位體之混合物製備之塗層評估圖案化效能。 具體而言,在包含經由原位水解包含t BuSnX3 及MeSnX3 化合物之前驅物溶液製備之t BuSnO( 3 / 2 -( x / 2 )) (OH)x 及MeSnO( 3 / 2 -( x / 2 )) (OH)x 之混合物之有機錫氧化物氫氧化物光阻薄膜的情形下檢測前驅物溶液製備、薄膜塗層及微影效能。 如下自MeSnCl3 (Gelest)合成MeSn(Ot Bu)3 (FW=353.1,下文P-6 ) ,使裝備有加料漏斗及磁性攪拌棒之經烘乾RBF充有0.8 M之含MeSnCl3 之戊烷。當用冰浴冷卻時,經由加料漏斗逐滴添加4莫耳當量之含二乙胺之戊烷(5.5 M)。在完成添加後,添加以3.25:1 (vol)混合於戊烷中之4莫耳當量之第三丁醇,且使溶液在室溫下攪拌30 min。接著過濾反應混合物並在真空下移除揮發物,從而留下如輕油之產物。隨後在55℃至60℃下在約0.1托下蒸餾該等產物。 藉由溶解乾燥4-甲基-2-戊醇來製備P-6 之儲備溶液。以各種體積比以一致的方式將MeSn(Ot Bu)3 之此溶液與由含t BuSn(NEt2 )3 之4-甲基-2-戊醇製備之第二儲備溶液混合成上述溶液S-4 並用同一溶劑稀釋以達成0.05 M之總Sn濃度。藉由此方法,藉由添加作為MeSn(OtBu)3 之範圍為0莫耳%至60莫耳%之總烷基-Sn濃度來製備一系列前驅物溶液。將此等前驅物溶液塗佈於100 mm Si基板上,在100℃下烘烤,且接著暴露於產生如先前所描述之對比度陣列之變化劑量下的EUV輻射。 暴露後,在170℃下在空氣中烘烤經塗佈之晶圓並在2-庚酮中顯影15 s,用含有同一溶劑之洗滌瓶沖洗15 s,接著在N2 下乾燥並在空氣中在150℃下烘烤5 min。依據圖13中之劑量變化量測並繪製各暴露板之殘餘厚度。所提取之抗蝕劑度量值(參見實例5)列於表3中。自圖13觀測到,前驅物溶液中之MeSn(OtBu)3 之莫耳%增加時Dg明顯地減少,然而即使在相對較低之Dg 值下,對比度仍保持較高。重要地,殘餘厚度<<Dg 始終接近零,從而指示在具有最少殘餘物(浮渣)之未經暴露區域中清除抗蝕劑。 表3
莫耳% MeSn(OtBu)3 Dg (mJ/cm2 ) Γ 對比度
0 16.1 15.8
10 14.1 14.8
20 11.6 15.6
40 7.3 12.7
60 2.3 9.4
使用勞倫斯伯克利國家實驗室微米暴露工具(其使用13.5 nm波長輻射、偶極子照明及0.3之數值孔徑)將36 nm間距上18 nm線之圖案暴露於類似地經處理晶圓上。用SEM量測線寬(CD)且相對於圖14中之成影劑量繪製。同樣,發現當前驅物溶液中之MeSn(Ot Bu)3 之莫耳分數增加時達成給定線寬所需之成影劑量強有力地減少。同一晶圓之代表性SEM影像展示於含有a) 20%、b) 40%及c) 60% P-6 之前驅物溶液之圖15中。 以上實施例意欲為說明性而非限制性的。額外實施例係在申請專利範圍內。此外,儘管已參考特定實施例描述本發明,但熟習此項技術者將認識到,可在不脫離本發明之精神及範疇之情況下在形式及細節上作出改變。以引用的方式對以上文獻之任何併入為限制性的,以使得並未併入與本文之明確揭示內容相反之標的物。在與組分、元素、成分或其他部分一起描述本文中之特定結構、組合物及/或製程之程度上,除非以其他方式特定地指定,否則在某種程度上,應理解本發明涵蓋特定實施例、包含特定組分、元素、成分、其他部分或其組合之實施例以及主要由可包括並不改變標的物之基本性質之額外特徵之此類特定組分、成分或其他部分或其組合組成之實施例。
100:經圖案化結構 102:基板 103:薄膜 104:經圖案化塗層材料 110:經縮合區域 112:經縮合區域 114:經縮合區域 116:經縮合區域 118:未經縮合區域 120:未經縮合區域 122:未經縮合區域 130:經圖案化結構 132:開口 134:開口 135:開口 140:經圖案化結構 142:開口 144:開口 146:開口 148:開口
圖1為具有潛影之經輻射圖案化結構的示意性透視圖。 圖2為圖1之結構的側視平面圖。 圖3為在顯影潛影以移除未經輻射塗層材料以形成經圖案化結構後之圖1之結構的示意性透視圖。 圖4為圖3之經圖案化結構的側視圖。 圖5為在顯影潛影以移除經輻射塗層材料以形成經圖案化結構後之圖1之結構的示意性透視圖。 圖6為圖5之經圖案化結構的側視圖。 圖7為形成於具有藉由56 mJ/cm2 之EUV劑量形成之16.7 nm之線間距之基板上的規則圖案之掃描電子(SEM)顯微圖。 圖8為暴露及顯影後之薄膜厚度隨用如本文中所描述之輻射抗蝕劑塗佈的基板以原位水解的階梯劑量暴露之直徑為500微米之50個環形墊形成的EUV劑量而變化之標繪圖。 圖9為具有比較塗層中藉由基於溶液之水解與原位水解所形成之薄膜的兩個FTIR光譜之曲線圖。 圖10為涉及用於在原位水解之前在輻射敏感塗層中藉由三個不同量的Sn(NMe2 )4 所形成的塗層之劑量之函數的一組EUV對比度曲線標繪圖。 圖11為藉由經指示組合物及輻射劑量形成之五個經圖案化塗層的一組SEM顯微圖。 圖12為線寬粗糙度(LWR)隨6種抗蝕劑組合物之達到大小的劑量而變化之標繪圖,該等組合物基於在波長為13.5 nm之EUV光下以32 nm間距上16 nm線之圖案化與達到大小的劑量之值以達成16 nm之臨界尺寸。 圖13為涉及藉由變化量之作為輻射敏感基團之甲基配位體所形成之5個塗層的劑量之函數的一組EUV對比度曲線標繪圖。 圖14為空間臨界尺寸隨用於具有三個不同量之甲基配位體之塗層的輻射劑量而變化之一組標繪圖。 圖15為藉由不同EUV輻射劑量所形成之圖案的三個SEM顯微圖集合。

Claims (24)

  1. 一種形成輻射可圖案化膜之方法,該輻射可圖案化膜包含具有金屬陽離子之氧/羥基網絡(oxo/hydroxo network),該金屬陽離子具有帶有金屬碳鍵和金屬氧鍵之有機配位體,該方法包含: 在經封閉與環境氛圍隔離之沈積腔室中輸入第一前驅物蒸氣,其包含由式Rn SnX4-n 表示之組合物,其中R為具有1至31個碳原子且經由金屬碳鍵與Sn鍵結之烴基,其中n=1-3,且X為具有與Sn鍵結之可水解鍵之配位體;及 輸入第二前驅物蒸氣,其包含含有能夠在沈積腔室中之條件下與該第一前驅物蒸氣中之組合物反應的含氧化合物,以形成具有非揮發性組份及包含與X配位體的反應產物的揮發性組份之組合物,其中基材係經配置為具有接收該組合物之非揮發性組份之表面。
  2. 如請求項1之方法,其中該含氧化合物包含水蒸氣、過氧化氫、臭氧、醇、及其組合。
  3. 如請求項1之方法,其中該第二前驅物蒸氣包含水蒸氣。
  4. 如請求項1之方法,其中該沈積腔室具有約0.01托(Torr)至約25托之壓力。
  5. 如請求項1之方法,其進一步包含調節該沈積腔室中之壓力。
  6. 如請求項1之方法,其中該沈積腔室具有約40℃至約175℃之溫度。
  7. 如請求項1之方法,其中輸入該第一前驅物蒸氣及輸入該第二前驅物蒸氣係重複至少一次以具有第二循環之沈積。
  8. 如請求項7之方法,其進一步包含在輸入前驅物蒸氣之各次循環間輸入惰性洗滌氣體之步驟。
  9. 如請求項8之方法,其中該惰性洗滌氣體係氮或氬。
  10. 如請求項1之方法,其中輸入該第一前驅物蒸氣包含產生該第一前驅物蒸氣之流,從包含該第一前驅物組合物之液體產生氣霧,將液體射入蒸氣化腔室中,快速蒸發該第一前驅物蒸氣之組合物,及/或使惰性載體體通過包含該第一前驅物蒸氣之組合物之液體而起泡,其中形成該第一前驅物蒸氣,及其中該輻射可圖案化膜係在該等輸入步驟後藉由CVD方法形成。
  11. 如請求項1之方法,其中輸入該第二前驅物蒸氣包含產生該第二前驅物蒸氣之流,從包含該第二前驅物組合物之液體產生氣霧,將液體射入蒸氣化腔室中,快速蒸發該第二前驅物蒸氣之組合物,及/或使惰性載體體通過包含該第二前驅物蒸氣之組合物之液體而起泡,其中形成該第二前驅物蒸氣,及其中該輻射可圖案化膜係在該等輸入步驟後藉由CVD方法形成。
  12. 如請求項1之方法,其中第三前驅物蒸氣係經輸入至該腔室中,該前驅物包含MLv ,其中v為2 ≤ v ≤ 6且L為可氧化配位體或具有可水解M-L鍵之配位體或其組合,且M為週期表之第2族至第16族之金屬。
  13. 如請求項12之方法,其中輸入該第三前驅物蒸氣包含產生該第三前驅物蒸氣之流,從包含該第三前驅物組合物之液體產生氣霧,將液體射入蒸氣化腔室中,快速蒸發該第三前驅物蒸氣之組合物,及/或使惰性載體體通過包含該第三前驅物蒸氣之組合物之液體而起泡,其中形成該第三前驅物蒸氣,及其中該輻射可圖案化膜係在該等輸入步驟後藉由CVD方法形成。
  14. 如請求項1之方法,其中R係甲基、乙基、異丙基、正丁基、第二丁基、或第三丁基。
  15. 如請求項1之方法,其中X為烷基醯胺基或二烷基醯胺基(-NR1 R2 ,其中R1 及R2 獨立地為具有1至10個碳原子之烴基或氫);矽側氧基(-OSiR1 R2 R3 ,其中R1 、R2 獨立地為具有1至10個碳原子之烴基);矽烷基醯胺基(-N(SiR1 3 )(R2 ),其中R1 及R2 獨立地為具有1至10個碳原子之烴基);二矽烷基醯胺基(-N(SiR1 3 )(SiR2 3 ),其中R1 及R2 獨立地為具有1至10個碳原子之烴基);烷基側氧基及芳基側氧基(-OR,其中R為具有1至10個碳原子之烷基或芳基);疊氮基(-N3 );炔基(-C≡CR,其中R為具有1至9個碳原子之烴基);醯胺基(-NR1 (COR2 ),其中R1 及R2 獨立地為具有1至7個碳原子之烴基或氫);脒基(-NR1 C(NR2 )R3 ),其中R1 及R2 獨立地為具有1至8個碳原子之烴基或氫);亞胺基(-N(COR1 )(COR2 ),其中R1 及R2 獨立地為具有1至8個碳原子之烴基或氫),其經氟化類似物,或其組合。
  16. 如請求項1之方法,其中該輻射可圖案化膜係在該等輸入步驟後形成,及該方法進一步包含曝光步驟,其中該輻射可圖案化膜係經EUV輻射照射。
  17. 如請求項16之方法,其中該輻射具有約1 mJ/cm2 至約150 mJ/cm2 之劑量。
  18. 如請求項16之方法,其進一步包含在該曝光步驟後在約45℃至約250℃下烘烤約0.5分鐘至約30分鐘。
  19. 如請求項16之方法,其進一步包含顯影該經輻射之膜以選擇性地移除未輻射之膜材料或經輻射之膜材料,並在該膜中形成圖案,其中該圖案具有不超過60 nm之間距(pitch),不超過25 nm寬之特徵及/或不超過5 nm之線寬粗糙度。
  20. 如請求項16之方法,其進一步包含顯影該經輻射之膜以選擇性地移除未輻射之膜材料或經輻射之膜材料,並在該膜中形成圖案,其中該輻射之劑量係自約8 mJ/cm2 至約25 mJ/cm2 ,且其中該圖案具有不超過32 nm之間距(pitch),不超過16 nm寬之特徵及/或不超過4 nm之線寬粗糙度。
  21. 如請求項16之方法,其中該劑量對凝膠(dose-to-gel)係不超過5.5 mJ/cm2
  22. 如請求項1之方法,其中該基材包含矽晶圓及其中該膜厚度係自約2 nm至約40 nm,且該膜厚度之變量係不超過平均膜厚度之約+/- 50%。
  23. 如請求項12之方法,其中該第三前驅物蒸氣包含SnL4
  24. 如請求項1之方法,其中該第一前驅物蒸氣之組合物在約50℃至約120℃範圍內之溫度下具有至少0.05托之壓力。
TW110109337A 2015-10-13 2016-10-13 有機錫氧化物氫氧化物圖案化組合物、前驅物及圖案化 TWI761135B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562240812P 2015-10-13 2015-10-13
US62/240,812 2015-10-13
US201662297540P 2016-02-19 2016-02-19
US62/297,540 2016-02-19

Publications (2)

Publication Number Publication Date
TW202126670A true TW202126670A (zh) 2021-07-16
TWI761135B TWI761135B (zh) 2022-04-11

Family

ID=58500023

Family Applications (6)

Application Number Title Priority Date Filing Date
TW110109337A TWI761135B (zh) 2015-10-13 2016-10-13 有機錫氧化物氫氧化物圖案化組合物、前驅物及圖案化
TW110105064A TWI777408B (zh) 2015-10-13 2016-10-13 有機錫氧化物氫氧化物圖案化組合物、前驅物及圖案化
TW111113523A TW202230049A (zh) 2015-10-13 2016-10-13 有機錫氧化物氫氧化物圖案化組合物、前驅物及圖案化
TW105133028A TWI744252B (zh) 2015-10-13 2016-10-13 有機錫氧化物氫氧化物圖案化組合物、前驅物及圖案化
TW110105065A TWI783376B (zh) 2015-10-13 2016-10-13 有機錫氧化物氫氧化物圖案化組合物、前驅物及圖案化
TW110146096A TW202212344A (zh) 2015-10-13 2016-10-13 有機錫氧化物氫氧化物圖案化組合物、前驅物及圖案化

Family Applications After (5)

Application Number Title Priority Date Filing Date
TW110105064A TWI777408B (zh) 2015-10-13 2016-10-13 有機錫氧化物氫氧化物圖案化組合物、前驅物及圖案化
TW111113523A TW202230049A (zh) 2015-10-13 2016-10-13 有機錫氧化物氫氧化物圖案化組合物、前驅物及圖案化
TW105133028A TWI744252B (zh) 2015-10-13 2016-10-13 有機錫氧化物氫氧化物圖案化組合物、前驅物及圖案化
TW110105065A TWI783376B (zh) 2015-10-13 2016-10-13 有機錫氧化物氫氧化物圖案化組合物、前驅物及圖案化
TW110146096A TW202212344A (zh) 2015-10-13 2016-10-13 有機錫氧化物氫氧化物圖案化組合物、前驅物及圖案化

Country Status (7)

Country Link
US (8) US10228618B2 (zh)
EP (4) EP4089482A1 (zh)
JP (3) JP6805244B2 (zh)
KR (4) KR20230035713A (zh)
CN (2) CN108351594B (zh)
TW (6) TWI761135B (zh)
WO (1) WO2017066319A2 (zh)

Families Citing this family (263)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9778561B2 (en) 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
EP3230294B1 (en) * 2014-10-23 2021-06-30 Inpria Corporation Organometallic solution based high resolution patterning compositions
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
GB201517273D0 (en) 2015-09-30 2015-11-11 Univ Manchester Resist composition
EP4089482A1 (en) * 2015-10-13 2022-11-16 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017156388A1 (en) 2016-03-11 2017-09-14 Inpria Corporation Pre-patterned lithography templates, processes based on radiation patterning using the templates and processes to form the templates
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP7065076B2 (ja) 2016-08-12 2022-05-11 インプリア・コーポレイション 金属含有レジストからのエッジビード領域における金属残留物を低減する方法
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US20180347039A1 (en) * 2017-06-05 2018-12-06 Applied Materials, Inc. Aerosol Assisted CVD For Industrial Coatings
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CA2975104A1 (en) * 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019099981A2 (en) * 2017-11-20 2019-05-23 Inpria Corporation Organotin clusters, solutions of organotin clusters, and application to high resolution patterning
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
TWI778248B (zh) 2018-04-05 2022-09-21 美商英培雅股份有限公司 錫十二聚物及具有強euv吸收的輻射可圖案化塗層
CA3219374A1 (en) * 2018-04-11 2019-10-17 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US11673903B2 (en) 2018-04-11 2023-06-13 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US10787466B2 (en) 2018-04-11 2020-09-29 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
SG11202009703QA (en) * 2018-05-11 2020-10-29 Lam Res Corp Methods for making euv patternable hard masks
KR102207893B1 (ko) * 2018-05-25 2021-01-25 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102211158B1 (ko) * 2018-06-08 2021-02-01 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11054742B2 (en) * 2018-06-15 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. EUV metallic resist performance enhancement via additives
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102536479B1 (ko) * 2018-06-21 2023-05-24 인프리아 코포레이션 모노알킬 주석 알콕사이드 및 이들의 가수분해 및 축합 생성물의 안정적인 용액
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
KR102296793B1 (ko) * 2018-07-06 2021-08-31 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11092890B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US11092889B2 (en) * 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
KR102307977B1 (ko) * 2018-07-31 2021-09-30 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
CN110780536B (zh) * 2018-07-31 2023-05-16 三星Sdi株式会社 半导体抗蚀剂组合物及使用组合物形成图案的方法及系统
KR102306444B1 (ko) * 2018-07-31 2021-09-28 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102229623B1 (ko) * 2018-08-10 2021-03-17 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102307981B1 (ko) * 2018-08-10 2021-09-30 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11031244B2 (en) * 2018-08-14 2021-06-08 Lam Research Corporation Modification of SNO2 surface for EUV lithography
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
TW202016279A (zh) * 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP6950662B2 (ja) 2018-10-30 2021-10-13 信越化学工業株式会社 基板保護膜形成用材料及びパターン形成方法
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) * 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
WO2020132281A1 (en) * 2018-12-20 2020-06-25 Lam Research Corporation Dry development of resists
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11966158B2 (en) 2019-01-30 2024-04-23 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with low metal contamination and/or particulate contamination, and corresponding methods
US11498934B2 (en) * 2019-01-30 2022-11-15 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with particulate contamination and corresponding methods
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
TW202344939A (zh) * 2019-04-12 2023-11-16 美商英培雅股份有限公司 對經顯影圖案層進行處理的方法
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR102606844B1 (ko) * 2019-04-30 2023-11-27 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11327398B2 (en) 2019-04-30 2022-05-10 Samsung Electronics Co., Ltd. Photoresist compositions and methods for fabricating semiconductor devices using the same
US11609494B2 (en) * 2019-04-30 2023-03-21 Samsung Sdi Co., Ltd. Semiconductor photoresist composition and method of forming patterns using the composition
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US20220244645A1 (en) * 2019-06-26 2022-08-04 Lam Research Corporation Photoresist development with halide chemistries
US20220308462A1 (en) * 2019-06-27 2022-09-29 Lam Research Corporation Apparatus for photoresist dry deposition
US20220342301A1 (en) * 2019-06-28 2022-10-27 Lam Research Corporation Photoresist with multiple patterning radiation-absorbing elements and/or vertical composition gradient
KR20220031649A (ko) * 2019-06-28 2022-03-11 램 리써치 코포레이션 금속-함유 레지스트의 리소그래피 성능을 향상시키기 위한 소성 (bake) 전략들
JPWO2021002351A1 (zh) 2019-07-02 2021-01-07
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
WO2021016229A1 (en) * 2019-07-22 2021-01-28 Inpria Corporation Organometallic metal chalcogenide clusters and application to lithography
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11651961B2 (en) 2019-08-02 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning process of a semiconductor structure with enhanced adhesion
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
JP7149241B2 (ja) 2019-08-26 2022-10-06 信越化学工業株式会社 レジスト材料及びパターン形成方法
JP7264771B2 (ja) 2019-08-30 2023-04-25 信越化学工業株式会社 レジスト材料及びパターン形成方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR102446362B1 (ko) * 2019-10-15 2022-09-21 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102446459B1 (ko) * 2019-10-15 2022-09-21 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR102480432B1 (ko) * 2019-11-18 2022-12-21 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11934101B2 (en) * 2019-11-27 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist composition and method of forming photoresist pattern
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR102539806B1 (ko) * 2020-01-15 2023-06-05 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
KR102555497B1 (ko) * 2020-01-21 2023-07-12 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
EP4115242A4 (en) * 2020-03-02 2024-03-13 Inpria Corp PROCESSING ENVIRONMENT FOR THE FORMATION OF INORGANIC RESERVE PATTERNS
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202147454A (zh) 2020-03-24 2021-12-16 日商東京威力科創股份有限公司 熱處理裝置及熱處理方法
US20210302839A1 (en) * 2020-03-30 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
KR102573327B1 (ko) * 2020-04-02 2023-08-30 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR102577300B1 (ko) * 2020-04-17 2023-09-08 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102538092B1 (ko) * 2020-04-17 2023-05-26 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
EP4147269A1 (en) 2020-05-06 2023-03-15 Inpria Corporation Multiple patterning with organometallic photopatternable layers with intermediate freeze steps
KR102619719B1 (ko) * 2020-05-12 2023-12-28 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP3919979A1 (en) 2020-06-02 2021-12-08 Imec VZW Resistless patterning mask
TW202205433A (zh) * 2020-06-19 2022-02-01 日商東京威力科創股份有限公司 蝕刻方法、基板處理裝置及基板處理系統
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20220002869A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Vapor phase photoresists deposition
US20220005687A1 (en) * 2020-07-02 2022-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method
KR20230031923A (ko) * 2020-07-03 2023-03-07 엔테그리스, 아이엔씨. 유기주석 화합물의 제조 방법
CN115004110A (zh) 2020-07-07 2022-09-02 朗姆研究公司 用于图案化辐射光致抗蚀剂图案化的集成干燥工艺
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
CN116194838A (zh) * 2020-07-17 2023-05-30 朗姆研究公司 利用有机共反应物的干式沉积光致抗蚀剂
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CA3190105A1 (en) * 2020-08-25 2022-03-03 Inpria Corporation Methods to produce organotin compositions with convenient ligand providing reactants
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
KR102586099B1 (ko) * 2020-09-14 2023-10-05 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102586112B1 (ko) 2020-09-14 2023-10-05 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
KR102598259B1 (ko) * 2020-12-18 2023-11-02 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US20220197146A1 (en) * 2020-12-22 2022-06-23 Applied Materials, Inc. Photoresists by physical vapor deposition
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN116888133A (zh) * 2021-01-28 2023-10-13 恩特格里斯公司 制备有机锡化合物的方法
US11697660B2 (en) * 2021-01-29 2023-07-11 Entegris, Inc. Process for preparing organotin compounds
JP2024506160A (ja) * 2021-02-12 2024-02-09 ラム リサーチ コーポレーション 量子効率の良いフォトレジストおよびその方法
US20220269169A1 (en) 2021-02-19 2022-08-25 Inpria Corporation Organometallic radiation patternable coatings with low defectivity and corresponding methods
KR20230148424A (ko) * 2021-02-23 2023-10-24 램 리써치 코포레이션 할로겐-함유 유기주석 포토레지스트 및 지방족-함유 유기주석 포토레지스트 및 이의 방법들
KR20230162611A (ko) * 2021-03-26 2023-11-28 제이에스알 가부시끼가이샤 반도체 기판의 제조 방법 및 레지스트 하층막 형성용 조성물
TWI773231B (zh) * 2021-04-07 2022-08-01 國立成功大學 製備金屬奈米粒子的方法
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
WO2022251029A1 (en) * 2021-05-25 2022-12-01 Tokyo Electron Limited Metalorganic films for extreme ultraviolet patterning
US20220397826A1 (en) * 2021-06-15 2022-12-15 Inpria Corporation Organotin patterning materials with ligands having silicon/germanium; precursor compositions; and synthesis methods
CN117651708A (zh) * 2021-06-18 2024-03-05 恩特格里斯公司 制备有机锡化合物的方法
CN117693513A (zh) 2021-07-30 2024-03-12 默克专利股份有限公司 二有机锡二卤化物的制备
KR102382858B1 (ko) * 2021-08-06 2022-04-08 주식회사 레이크머티리얼즈 트리할로 주석 화합물의 제조방법 및 이를 포함하는 트리아미드 주석 화합물의 제조방법
US11894228B2 (en) * 2021-08-26 2024-02-06 Applied Materials, Inc. Treatments for controlling deposition defects
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11459656B1 (en) 2021-09-13 2022-10-04 Gelest, Inc Method and precursors for producing oxostannate rich films
US20230098280A1 (en) * 2021-09-14 2023-03-30 Entegris, Inc. Synthesis of fluoroalkyl tin precursors
US20230143629A1 (en) * 2021-11-09 2023-05-11 Tokyo Electron Limited EUV Active Films for EUV Lithography
US20230160058A1 (en) * 2021-11-24 2023-05-25 Entegris, Inc. Organotin precursor compounds
US11827659B2 (en) * 2022-03-31 2023-11-28 Feng Lu Organometallic tin compounds as EUV photoresist
WO2023227564A1 (en) 2022-05-26 2023-11-30 Merck Patent Gmbh Developable resist overlayer composition as well as method for manufacturing resist overlayer pattern and resist pattern
WO2023235534A1 (en) 2022-06-02 2023-12-07 Gelest, Inc. High purity alkyl tin compounds and manufacturing methods thereof
US20230391803A1 (en) * 2022-06-03 2023-12-07 Entegris, Inc. Compositions and related methods of alkyltintrihalides
WO2023239628A1 (en) * 2022-06-06 2023-12-14 Inpria Corporation Gas-based development of organometallic resist in an oxidizing halogen-donating environment
WO2023245047A1 (en) * 2022-06-17 2023-12-21 Lam Research Corporation Tin precursors for deposition of euv dry resist
WO2024017921A1 (en) 2022-07-22 2024-01-25 Merck Patent Gmbh Developer tolerance resist underlayer composition and method for manufacturing resist pattern
US20240045332A1 (en) * 2022-08-02 2024-02-08 Tokyo Electron Limited Method of forming photosensitive organometallic oxides by chemical vapor polymerization
US20240085785A1 (en) * 2022-08-17 2024-03-14 Inpria Corporation Additives for metal oxide photoresists, positive tone development with additives, and double bake double develop processing
IL305619A (en) 2022-09-14 2024-04-01 Shinetsu Chemical Co Compound for forming a metal-containing layer, composition for forming a metal-containing layer, printing method, and semiconductor masking sensitizer
WO2024064071A1 (en) * 2022-09-20 2024-03-28 Lam Research Corporation Bake-sensitive underlayers to reduce dose to size of euv photoresist

Family Cites Families (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3385915A (en) 1966-09-02 1968-05-28 Union Carbide Corp Process for producing metal oxide fibers, textiles and shapes
US3635883A (en) * 1970-05-07 1972-01-18 Stauffer Chemical Co Stabilized styrene-acrylonitrile polymer compositions
US3949146A (en) * 1973-08-24 1976-04-06 Rca Corporation Process for depositing transparent electrically conductive tin oxide coatings on a substrate
US4104292A (en) 1976-11-02 1978-08-01 M&T Chemicals Inc. Method for preparing organotin compounds
US4102683A (en) 1977-02-10 1978-07-25 Rca Corp. Nonreflecting photoresist process
US4380559A (en) 1980-09-25 1983-04-19 Murata Manufacturing Co., Ltd. Method for producing boundary layer semiconductor ceramic capacitors
JPS57123126A (en) 1981-01-23 1982-07-31 Adeka Argus Chem Co Ltd Stabilized allyl chloride composition
US4380599A (en) * 1981-02-06 1983-04-19 Berger, Jenson And Nicholson Ltd. Organotin polymers method of making them and paints containing them
US4370405A (en) 1981-03-30 1983-01-25 Hewlett-Packard Company Multilayer photoresist process utilizing an absorbant dye
US4910122A (en) 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4639208A (en) 1984-04-03 1987-01-27 Matsushita Electric Industrial Co., Ltd. Pulse combustion apparatus with a plurality of pulse burners
US4601917A (en) 1985-02-26 1986-07-22 M&T Chemicals Inc. Liquid coating composition for producing high quality, high performance fluorine-doped tin oxide coatings
US4710122A (en) 1986-03-07 1987-12-01 Villanueva Eliseo H Machine for manufacturing flat bodies in a continuous line
US5025094A (en) 1985-07-10 1991-06-18 Union Carbide Chemicals And Plastics Technology Corporation Heterogeneous alkoxylation using anion-bound metal oxides
US4732841A (en) 1986-03-24 1988-03-22 Fairchild Semiconductor Corporation Tri-level resist process for fine resolution photolithography
DE3738634C2 (de) 1986-11-13 1996-11-14 Sunstar Engineering Inc Epoxyharzmasse mit darin dispergierten Siliconharzteilchen
JPH07733B2 (ja) 1986-11-13 1995-01-11 サンスタ−技研株式会社 エポキシ樹脂組成物
JPH01175118A (ja) * 1987-12-28 1989-07-11 Central Glass Co Ltd 透明導電膜の形成法
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US5090985A (en) * 1989-10-17 1992-02-25 Libbey-Owens-Ford Co. Method for preparing vaporized reactants for chemical vapor deposition
JPH03148659A (ja) 1989-11-06 1991-06-25 Fujitsu Ltd 電離放射線感応性ネガ型レジスト材料組成物
US7323581B1 (en) * 1990-07-06 2008-01-29 Advanced Technology Materials, Inc. Source reagent compositions and method for forming metal films on a substrate by chemical vapor deposition
US5672243A (en) 1995-11-28 1997-09-30 Mosel Vitelic, Inc. Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide
US5698262A (en) * 1996-05-06 1997-12-16 Libbey-Owens-Ford Co. Method for forming tin oxide coating on glass
US5891985A (en) 1996-10-09 1999-04-06 E. I. Du Pont De Nemours And Company Soluble mono-alkyl stannoic acid catalyst and its use in preparing high molecular weight polyesters
US6183716B1 (en) 1997-07-30 2001-02-06 State Of Oregon Acting By And Through The State Board Of Higher Education Of Behalf Of Oregon State University Solution method for making molybdate and tungstate negative thermal expansion materials and compounds made by the method
EP0959496B1 (en) * 1998-05-22 2006-07-19 Applied Materials, Inc. Methods for forming self-planarized dielectric layer for shallow trench isolation
US6179922B1 (en) 1998-07-10 2001-01-30 Ball Semiconductor, Inc. CVD photo resist deposition
US6060380A (en) 1998-11-06 2000-05-09 Advanced Micro Devices, Inc. Antireflective siliconoxynitride hardmask layer used during etching processes in integrated circuit fabrication
US6020269A (en) 1998-12-02 2000-02-01 Advanced Micro Devices, Inc. Ultra-thin resist and nitride/oxide hard mask for metal etch
US6287951B1 (en) 1998-12-07 2001-09-11 Motorola Inc. Process for forming a combination hardmask and antireflective layer
US6194323B1 (en) 1998-12-16 2001-02-27 Lucent Technologies Inc. Deep sub-micron metal etch with in-situ hard mask etch
US6268457B1 (en) 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6238734B1 (en) * 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6197896B1 (en) 1999-07-12 2001-03-06 International Business Machines Corporation Graft polymers and use thereof
EP1094506A3 (en) 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
AU2001265390A1 (en) 2000-06-06 2001-12-17 Ekc Technology, Inc. Method of making electronic materials
US6420088B1 (en) 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
EP1347468A4 (en) 2000-12-28 2005-04-20 Nissan Chemical Ind Ltd METHOD FOR MODELING THE CONTOURS OF AN ELECTRO-CONDUCTIVE TIN OXIDE LAYER
AU2002243617A1 (en) * 2001-01-17 2002-07-30 Neophotonics Corporation Optical materials with selected index-of-refraction
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
CN1524104A (zh) * 2001-04-09 2004-08-25 积水化学工业株式会社 光反应性组合物
US6521295B1 (en) * 2001-04-17 2003-02-18 Pilkington North America, Inc. Chemical vapor deposition of antimony-doped metal oxide and the coated article made thereby
KR20030057133A (ko) 2001-12-28 2003-07-04 삼성전자주식회사 금속 패턴 형성용 유기금속 전구체 및 이를 이용한 금속패턴 형성방법
JP4110952B2 (ja) * 2002-01-16 2008-07-02 株式会社村田製作所 誘電体薄膜の形成方法
WO2003078678A1 (fr) * 2002-03-19 2003-09-25 Kabushiki Kaisha Ekisho Sentan Gijutsu Kaihatsu Center D'interconnexion, procede de formation selective de metal, appareil de formation selective de metal et appareil de substrat
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6946677B2 (en) 2002-06-14 2005-09-20 Nokia Corporation Pre-patterned substrate for organic thin film transistor structures and circuits and related method for making same
KR100520961B1 (ko) 2003-05-30 2005-10-17 엘지전자 주식회사 인쇄회로기판의 제조방법
US6927108B2 (en) 2003-07-09 2005-08-09 Hewlett-Packard Development Company, L.P. Solution-processed thin film transistor formation method
DE10345455A1 (de) 2003-09-30 2005-05-04 Infineon Technologies Ag Verfahren zum Erzeugen einer Hartmaske und Hartmasken-Anordnung
US7071121B2 (en) 2003-10-28 2006-07-04 Hewlett-Packard Development Company, L.P. Patterned ceramic films and method for producing the same
US7001821B2 (en) 2003-11-10 2006-02-21 Texas Instruments Incorporated Method of forming and using a hardmask for forming ferroelectric capacitors in a semiconductor device
JP4602971B2 (ja) * 2004-02-20 2010-12-22 日本曹達株式会社 光感応性基体及びパターニング方法
US7773365B2 (en) 2004-04-30 2010-08-10 Hewlett-Packard Development Company, L.P. Dielectric material
US7312165B2 (en) * 2004-05-05 2007-12-25 Jursich Gregory M Codeposition of hafnium-germanium oxides on substrates used in or for semiconductor devices
JP2008502805A (ja) * 2004-06-15 2008-01-31 アヴィザ テクノロジー インコーポレイテッド 多成分誘電体膜を形成するためのシステム及び方法
US20060088962A1 (en) 2004-10-22 2006-04-27 Herman Gregory S Method of forming a solution processed transistor having a multilayer dielectric
DE102005002960A1 (de) * 2005-01-21 2006-08-03 Leibniz-Institut Für Neue Materialien Gemeinnützige Gmbh Kompositzusammensetzung für mikrogemusterte Schichten mit hohem Relaxationsvermögen, hoher chemischer Beständigkeit und mechanischer Stabilität
JP2006284947A (ja) 2005-03-31 2006-10-19 Fuji Photo Film Co Ltd 遮光膜用感光性樹脂組成物、遮光膜の作製方法、転写材料及びその製造方法
KR100643570B1 (ko) 2005-06-28 2006-11-10 주식회사 하이닉스반도체 반도체 소자 제조 방법
JP4699140B2 (ja) * 2005-08-29 2011-06-08 東京応化工業株式会社 パターン形成方法
US8969865B2 (en) 2005-10-12 2015-03-03 Hewlett-Packard Development Company, L.P. Semiconductor film composition
JP5362176B2 (ja) 2006-06-12 2013-12-11 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
DE102006033280A1 (de) * 2006-07-18 2008-01-24 Leibniz-Institut Für Neue Materialien Gemeinnützige Gmbh Kompositzusammensetzung für mikrostrukturierte Schichten
US20080055597A1 (en) 2006-08-29 2008-03-06 Jie-Wei Sun Method for characterizing line width roughness (lwr) of printed features
JP4844299B2 (ja) * 2006-09-01 2011-12-28 Tdk株式会社 ホログラム記録材料、その製造方法及びホログラム記録媒体
JP2008091215A (ja) 2006-10-02 2008-04-17 Nitto Kasei Co Ltd 酸化錫膜形成剤、該酸化錫膜形成剤を用いる酸化錫膜形成方法、及び該形成方法により形成される酸化錫膜
KR20110137400A (ko) 2006-11-01 2011-12-22 더 스테이트 오브 오레곤 액팅 바이 앤드 쓰루 더 스테이트 보드 오브 하이어 에쥬케이션 온 비해프 오브 오레곤 스테이트 유니버시티 용액 처리된 박막들 및 적층체들, 상기 박막들 및 적층체들을 포함하는 장치들, 및 그들의 사용 방법 및 제조 방법
TWI334177B (en) 2007-03-29 2010-12-01 Nanya Technology Corp Method for forming a semiconductor device
US7709056B2 (en) 2007-05-16 2010-05-04 Uchicago Argonne, Llc Synthesis of transparent conducting oxide coatings
US7799503B2 (en) 2007-05-17 2010-09-21 International Business Machines Corporation Composite structures to prevent pattern collapse
US7718546B2 (en) 2007-06-27 2010-05-18 Sandisk 3D Llc Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon
US20090087561A1 (en) * 2007-09-28 2009-04-02 Advanced Technology Materials, Inc. Metal and metalloid silylamides, ketimates, tetraalkylguanidinates and dianionic guanidinates useful for cvd/ald of thin films
CN101441415A (zh) * 2007-10-12 2009-05-27 气体产品与化学公司 抗反射涂层
US20090174036A1 (en) 2008-01-04 2009-07-09 International Business Machines Corporation Plasma curing of patterning materials for aggressively scaled features
KR100954541B1 (ko) 2008-03-20 2010-04-23 한국화학연구원 신규의 주석 아미노알콕사이드 화합물 및 그 제조 방법
WO2009120169A1 (en) 2008-03-27 2009-10-01 State Of Oregon Acting By And Through The State Board Of Higher Education On Behalf Of Oregon State University Solution processed thin films and laminates, devices comprising such thin films and laminates, and method for the use and manufacture
EP2123659A1 (en) * 2008-05-15 2009-11-25 Arkema France High purity monoalkyltin compounds and uses thereof
JP2010094583A (ja) * 2008-10-14 2010-04-30 Nippon Soda Co Ltd 有機薄膜の製造方法
GB2466486A (en) 2008-12-23 2010-06-30 Dow Corning Moisture curable composition
KR20110064153A (ko) 2009-12-07 2011-06-15 삼성전자주식회사 금속 유기 전구체, 이의 제조방법, 및 이를 이용한 전도성 금속막 또는 패턴 형성방법
US8366967B2 (en) 2010-02-22 2013-02-05 Inpria Corporation Metal chalcogenide aqueous precursors and processes to form metal chalcogenide films
US8435728B2 (en) 2010-03-31 2013-05-07 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications
US8796483B2 (en) 2010-04-01 2014-08-05 President And Fellows Of Harvard College Cyclic metal amides and vapor deposition using them
US9176377B2 (en) * 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
TW201224190A (en) * 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP2014502590A (ja) 2010-12-08 2014-02-03 東レ・ダウコーニング株式会社 金属酸化物ナノ粒子の変性方法
WO2012118847A2 (en) * 2011-02-28 2012-09-07 Inpria Corportion Solution processible hardmarks for high resolusion lithography
JP2012203061A (ja) * 2011-03-24 2012-10-22 Jnc Corp 金属アルコキシドを含有する感光性組成物及びそれを用いたパターン状透明膜の製造方法
US8703386B2 (en) 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
US9477087B2 (en) 2013-03-12 2016-10-25 3DIcon Corporation Holoform 3D projection display
US9632411B2 (en) * 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9005875B2 (en) 2013-03-15 2015-04-14 Intel Corporation Pre-patterned hard mask for ultrafast lithographic imaging
US20140303283A1 (en) 2013-03-15 2014-10-09 The Sherwin-Williams Company Curable compositions
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9372402B2 (en) 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
JP6167016B2 (ja) * 2013-10-31 2017-07-19 富士フイルム株式会社 積層体、有機半導体製造用キットおよび有機半導体製造用レジスト組成物
US9778561B2 (en) * 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
JP6572898B2 (ja) 2014-09-17 2019-09-11 Jsr株式会社 パターン形成方法
JP6572899B2 (ja) 2014-09-17 2019-09-11 Jsr株式会社 パターン形成方法
EP3230294B1 (en) 2014-10-23 2021-06-30 Inpria Corporation Organometallic solution based high resolution patterning compositions
US10695794B2 (en) * 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
EP4089482A1 (en) * 2015-10-13 2022-11-16 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
JP2018017780A (ja) 2016-07-25 2018-02-01 Jsr株式会社 感放射線性組成物及びパターン形成方法
KR20180063754A (ko) * 2016-12-02 2018-06-12 삼성전자주식회사 주석 화합물, 그의 합성 방법, ald용 주석 전구체 화합물 및 함주석 물질막의 형성 방법
KR20190099428A (ko) 2016-12-28 2019-08-27 제이에스알 가부시끼가이샤 감방사선성 조성물, 패턴 형성 방법 그리고 금속 함유 수지 및 그의 제조 방법
EP3564752A4 (en) 2016-12-28 2020-08-26 JSR Corporation RADIATION-SENSITIVE COMPOSITION, STRUCTURE FORMATION PROCESS AND METAL OXIDE
JPWO2018139109A1 (ja) 2017-01-26 2019-11-14 Jsr株式会社 感放射線性組成物及びパターン形成方法
JPWO2018168221A1 (ja) 2017-03-13 2020-01-16 Jsr株式会社 感放射線性組成物及びパターン形成方法

Also Published As

Publication number Publication date
EP4089482A1 (en) 2022-11-16
US10228618B2 (en) 2019-03-12
US20170102612A1 (en) 2017-04-13
TW202126668A (zh) 2021-07-16
CN113534609A (zh) 2021-10-22
KR20210135647A (ko) 2021-11-15
US10732505B1 (en) 2020-08-04
JP6805244B2 (ja) 2020-12-23
KR102204773B1 (ko) 2021-01-18
US11754924B2 (en) 2023-09-12
EP3391148A2 (en) 2018-10-24
CN108351594B (zh) 2021-07-09
WO2017066319A2 (en) 2017-04-20
WO2017066319A8 (en) 2017-05-18
EP3391148A4 (en) 2019-10-02
US10775696B2 (en) 2020-09-15
US20220334488A1 (en) 2022-10-20
TW202126669A (zh) 2021-07-16
US20220299878A1 (en) 2022-09-22
KR102508142B1 (ko) 2023-03-08
TW202212344A (zh) 2022-04-01
KR20210008151A (ko) 2021-01-20
US11809081B2 (en) 2023-11-07
TW201734025A (zh) 2017-10-01
US20220334487A1 (en) 2022-10-20
JP2019500490A (ja) 2019-01-10
TWI777408B (zh) 2022-09-11
KR102346372B1 (ko) 2021-12-31
JP7179816B2 (ja) 2022-11-29
US11537048B2 (en) 2022-12-27
EP4273625A2 (en) 2023-11-08
EP3896520B1 (en) 2022-10-05
TWI783376B (zh) 2022-11-11
JP2023027078A (ja) 2023-03-01
EP4273625A3 (en) 2024-02-28
KR20230035713A (ko) 2023-03-14
TW202230049A (zh) 2022-08-01
US20200257196A1 (en) 2020-08-13
US20190137870A1 (en) 2019-05-09
EP3391148B1 (en) 2021-09-15
WO2017066319A3 (en) 2017-08-24
EP3896520A1 (en) 2021-10-20
KR20180054917A (ko) 2018-05-24
CN108351594A (zh) 2018-07-31
TWI761135B (zh) 2022-04-11
JP2021073367A (ja) 2021-05-13
US20230004090A1 (en) 2023-01-05
TWI744252B (zh) 2021-11-01
US20200371439A1 (en) 2020-11-26

Similar Documents

Publication Publication Date Title
TWI744252B (zh) 有機錫氧化物氫氧化物圖案化組合物、前驅物及圖案化
TWI715463B (zh) 以有機金屬溶液為主之高解析度圖案化組合物及相對應之方法