KR102539806B1 - 포토레지스트 부착 및 선량 감소를 위한 하부층 - Google Patents

포토레지스트 부착 및 선량 감소를 위한 하부층 Download PDF

Info

Publication number
KR102539806B1
KR102539806B1 KR1020227027233A KR20227027233A KR102539806B1 KR 102539806 B1 KR102539806 B1 KR 102539806B1 KR 1020227027233 A KR1020227027233 A KR 1020227027233A KR 20227027233 A KR20227027233 A KR 20227027233A KR 102539806 B1 KR102539806 B1 KR 102539806B1
Authority
KR
South Korea
Prior art keywords
precursor
film
substrate
euv
plasma
Prior art date
Application number
KR1020227027233A
Other languages
English (en)
Other versions
KR20220115628A (ko
Inventor
사만다 에스.에이치. 탄
준 수에
마리 안네 매넘필
정이 유
다 리
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220115628A publication Critical patent/KR20220115628A/ko
Application granted granted Critical
Publication of KR102539806B1 publication Critical patent/KR102539806B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/047Coating on selected surface areas, e.g. using masks using irradiation by energy or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

본 개시는 일반적으로 하부층 및 이미징 층을 포함하는 패터닝 구조체, 뿐만 아니라 이들의 방법들 및 장치들에 관한 것이다. 특정한 실시 예들에서, 하부층은 이미징 층의 복사선 흡수율 및/또는 패터닝 성능의 상승을 제공한다.

Description

포토레지스트 부착 및 선량 감소를 위한 하부층{UNDERLAYER FOR PHOTORESIST ADHESION AND DOSE REDUCTION}
본 개시는 일반적으로 반도체 프로세싱 분야, 특히 극자외선 (extreme ultraviolet; EUV) 포토레지스트 (photoresist; PR) 리소그래피 기법들 및 재료들에 관한 것이다.
반도체 제조가 계속해서 발전함에 따라, 피처 사이즈들은 계속해서 축소되고, 새로운 프로세싱 방법들이 필요하다. 발전들이 이루어지는 일 영역은 패터닝의 맥락에서 이루어지고, 예를 들어 리소그래피 복사선에 민감한 포토레지스트 재료들을 사용하는 것이다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시하기 위한 것이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
참조로서 인용
PCT 신청 양식은 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 인용되었다.
본 명세서의 다양한 실시 예들은 기판 상에 하부층을 증착하기 위한 방법들, 재료들, 장치, 및 시스템들에 관한 것이다.
제 1 양태에서, 본 개시는 기판 위에 배치된 복사선 감응성 (radiation-sensitive) 이미징 층; 및 기판과 이미징 층 사이에 배치된 하부층을 포함하는 패터닝 구조체를 포괄한다. 일부 실시 예들에서, 하부층은 기판과 이미징 층 사이의 접착력을 상승시키고, 그리고/또는 이미징 층의 효과적인 포토레지스트 노출을 위해 복사선량 (radiation dose) 을 감소시키도록 구성된다.
일부 실시 예들에서, 기판은 상부에 배치된 하드 마스크를 더 포함한다.
일부 실시 예들에서, 이미징 층은 EUV (Extreme Ultraviolet)-민감 무기 포토레지스트 층을 포함한다. 특정한 실시 예들에서, 이미징 층은 화학적 기상 증착된 (chemical vapor deposited; CVD) 막, ALD (atomic layer deposition) 또는 스핀-온 막이다. 다른 실시 예들에서, 이미징 층은 주석 옥사이드 막 또는 주석 옥사이드 하이드록사이드 막을 포함한다.
일부 실시 예들에서, 기판은 하드 마스크, 비정질 탄소 막, 비정질 수소화된 (hydrogenated) 탄소 막, 실리콘 옥사이드 막, 실리콘 나이트라이드 막, 실리콘 옥시나이트라이드 막, 실리콘 카바이드 막, 실리콘 보로나이트라이드 막, 비정질 실리콘 막, 폴리실리콘 막, 또는 이들의 조합이거나 이를 포함한다. 특정한 실시 예들에서, 비정질 탄소 막은 붕소 (B) 또는 텅스텐 (W) 으로 도핑된다.
일부 실시 예들에서, 하부층은 25 ㎚ 이하의 두께를 갖는다. 다른 실시 예들에서, 하부층은 약 2 내지 20 ㎚의 두께를 갖는다.
특정한 실시 예들에서, 하부층은 산소 (O), 실리콘 (Si), 질소 (N), 텅스텐 (W), 붕소 (B), 요오드 (I), 염소 (Cl), 또는 이들 중 임의의 2 개 이상의 조합으로 도핑된 수소화된 탄소 (hydrogenated carbon) 를 포함한다. 특정한 실시 예들에서, 하부층은 약 0 내지 30 원자%의 산소 (O) 및/또는 약 20 내지 50 원자%의 수소 (H) 및/또는 30 내지 70 원자%의 탄소 (C) 를 포함한다. 다른 실시 예들에서, 하부층은 요오드로 도핑된 수소화된 탄소는 복사선에 대한 노출시 2 차 전자들의 생성을 개선하도록 구성된다. 또 다른 실시 예들에서, 하부층의 표면은 하이드록실기들 (예를 들어, OH), 카르복실기들 (예를 들어, CO2H), 퍼옥시기들 (예를 들어, OOH), sp2 탄소들, sp 탄소들, 및/또는 불포화 탄소-함유 결합들 (예를 들어, C=C 및/또는 C≡C 결합들) 을 포함한다.
일부 실시 예들에서, 하부층은 약 0.7 내지 2.9 g/㎤의 밀도를 포함한다. 다른 실시 예들에서, 하부층은 상승된 에칭 선택도를 더 제공한다. 또 다른 실시 예들에서, 하부층은 감소된 라인 에지 및 라인 폭 거칠기 및/또는 감소된 도즈 대 사이즈를 더 제공한다.
특정한 실시 예들에서, 하부층은 복사선에 노출시 방출되도록 구성된 베타 수소 원자들 및/또는 이미징 층 내의 원자에 대한 산소 결합들을 형성하도록 구성된 산소 원자들을 더 포함한다.
제 2 양태에서, 본 개시는 부분적으로 제조된 반도체 디바이스 막 스택을 포함하는 기판; 기판 위에 배치된 복사선 감응성 이미징 층; 및 기판과 이미징 층 사이에 배치된 하부층을 포함하는 패터닝 구조체를 포괄한다. 특정한 실시 예들에서, 하부층은 산소 (O), 실리콘 (Si), 질소 (N), 텅스텐 (W), 붕소 (B), 요오드 (I), 염소 (Cl), 또는 이들 중 임의의 2 개 이상의 조합으로 도핑된 수소화된 탄소의 기상 증착된 막을 포함하고, 막은 약 25 ㎚ 이하의 두께 또는 약 2 내지 20 ㎚의 두께를 갖는다. 또 다른 실시 예들에서, 기판은 기판 상에 배치되고 그리고/또는 부분적으로 제조된 반도체 디바이스 막 스택 상에 배치된 비정질 탄소 하드 마스크를 더 포함한다. 일부 실시 예들에서, 비정질 탄소 하드 마스크는 도핑된다.
제 3 양태에서, 본 개시는 기판을 제공하는 단계; 기판 상에 (예를 들어, 본 명세서에 기술된 임의의) 하부층을 증착하는 단계; 및 하부층 상에 복사선 감응성 이미징 층을 형성하는 단계를 포함하는, 패터닝 구조체를 제조하는 방법을 포괄한다. 일부 실시 예들에서, 하부층은 기판과 포토레지스트 사이의 접착을 상승시키고 그리고/또는 효과적인 포토레지스트 노출을 위해 복사선량을 감소시키도록 구성된다.
일부 실시 예들에서, 기판은 부분적으로 제조된 반도체 디바이스 막 스택이다. 다른 실시 예들에서, 기판은 기판 및/또는 부분적으로 제조된 반도체 디바이스 막 스택 상에 배치된 하드 마스크, 비정질 탄소 막, 비정질 수소화된 (hydrogenated) 탄소 막, 실리콘 옥사이드 막, 실리콘 나이트라이드 막, 실리콘 옥시나이트라이드 막, 실리콘 카바이드 막, 실리콘 보로나이트라이드 막, 비정질 실리콘 막, 폴리실리콘 막, 또는 이들의 조합을 더 포함하고; 이미징 층은 주석 옥사이드-기반 포토레지스트 또는 주석 옥사이드 하이드록사이드-기반 포토레지스트를 포함하고; 그리고 하부층은 산소 (O), 실리콘 (Si), 질소 (N), 텅스텐 (W), 붕소 (B), 요오드 (I), 염소 (Cl), 또는 이들 중 임의의 2 개 이상 조합으로 도핑된 수소화된 탄소의 기상 증착된 막을 포함하고, 막은 25 ㎚ 이하의 두께를 갖는다.
일부 실시 예들에서, 하부층은 하이드로카본 전구체를 사용하여 기판 상에 기상 증착되어, 탄소-함유 막을 제공한다. 특정한 실시 예들에서, 하이드로카본 전구체는 알칸, 알켄, 알킨, 또는 본 명세서에 기술된 다른 하이드로카본 전구체들을 포함한다. 다른 실시 예들에서, 하부층은 (예를 들어, 본 명세서에 기술된 임의의 탄소 및 산소 원자들을 포함하는) 옥소 카본 전구체의 존재 또는 부재시 하이드로카본 전구체를 사용하여 기판 상에 기상 증착된다.
또 다른 실시 예들에서, 하부층은 질소-함유 전구체, 텅스텐-함유 전구체, 붕소-함유 전구체, 및/또는 요오드-함유 전구체의 존재 하에 하이드로카본 전구체를 사용하여 기상 증착되어, 도핑된 막을 제공한다. 일부 실시 예들에서, 도핑된 막은 요오드; 요오드와 실리콘의 조합; 또는 요오드, 실리콘 및 질소의 조합을 포함한다.
일부 실시 예들에서, 하부층은 수소 (H2) 또는 하이드로카본과 공-반응하는 옥소 카본 전구체를 사용하여 기판 상에 기상 증착된다. 다른 실시예들에서, 옥소 카본 전구체는 H2 또는 하이드로카본과 공-반응하고 선택가능하게 Si 소스 도펀트와 더 공-반응한다. 특정한 실시 예들에서, 하부층은 산화제 (예를 들어, 옥소카본 또는 O-함유 전구체) 와 공-반응하는 실리콘 (Si)-함유 전구체를 사용함으로써 기판 상에 기상 증착된다. 다른 실시 예들에서, Si-함유 전구체는 탄소 (C) 소스 도펀트 (예를 들어, 하이드로카본 전구체) 와 더 공-반응한다.
일부 실시 예들에서, 상기 증착하는 단계는 0 W 내지 약 1000 W (예를 들어, 0 W 내지 500 W, 0 W 내지 400 W, 또는 0 W 내지 300 W) 의 바이어스 전력의 바이어스를 인가하고 약 1 % 내지 100 % 또는 약 5 % 내지 100 %의 듀티 사이클을 사용하는 단계를 더 포함한다. 특정한 실시 예들에서, 상기 바이어스를 인가하는 단계는 바이어스를 인가하지 않고 형성된 하부층과 비교하여, 상승된 밀도를 갖는 하부층을 제공한다.
일부 실시 예들에서, 하부층은 기판 상의 기상 증착의 종단 동작으로서 PECVD (plasma enhanced chemical vapor deposition) 에 의해 기판 상에 기상 증착된다. 다른 실시 예들에서, 하부층은 PECVD 또는 ALD에 의해 기판 상에 기상 증착된다.
다른 실시 예들에서, 방법은 (예를 들어, 상기 증착 후) 조면화된 (roughened) 표면을 제공하도록 하부층을 개질하는 단계를 포함한다. 일부 실시 예들에서, 상기 개질하는 단계는 하부층의 표면의 비반응성 이온 충돌에 의한 스퍼터링을 포함하고, 이에 따라 조면화된 표면을 제공한다. 비제한적인 비반응성 이온들은 아르곤 (Ar), 헬륨 (He), 크립톤 (Kr), 또는 다른 비반응성 종을 포함할 수 있다. 다른 실시 예들에서, 개질하는 단계는 산소-함유 표면을 제공하기 위해 하부층의 표면 또는 조면화된 표면을 산소-함유 플라즈마에 노출하는 단계를 포함할 수 있다. 비제한적인 산소-함유 플라즈마는 이산화탄소 (CO2), 산소 (O2), 또는 물 (H2O로서 또는 H2와 O2의 혼합물로서) 을 포함할 수 있다.
제 4 양태에서, 본 개시는 프로세스 챔버 내에 기판을 제공하는 단계; 및 PECVD 프로세스에 의해 기판의 표면 상에 수소화된 탄소 막을 증착하는 단계를 포함하고, 수소화된 탄소 막은 저밀도 막인, 하부층을 증착하는 방법을 포괄한다. 일부 실시 예들에서, 기판은 하드 마스크이거나 하드 마스크를 포함한다.
일부 실시 예들에서, PECVD 프로세스는 메탄 (CH4), 아세틸렌 (C2H2), 에틸렌 (C2H4), 프로필렌 (C3H6), 프로핀 (C3H4), 알렌 (C3H4), 사이클로프로펜 (C3H4), 부탄 (C4H10), 사이클로헥산 (C6H12), 벤젠 (C6H6), 및 톨루엔 (C7H8) 으로부터 선택된 탄소-함유 전구체를 도입하는 단계를 포함한다. 다른 실시 예들에서, PECVD 프로세스는 질소-함유 전구체, 텅스텐-함유 전구체, 붕소-함유 전구체, 및/또는 요오드-함유 전구체를 도입하여, 도핑된 막을 제공하는 단계를 더 포함한다.
일부 실시 예들에서, PECVD 프로세스는 TCP (transformer coupled plasma) 또는 ICP (inductively coupled plasma) 를 포함한다. 특정한 실시 예들에서, TCP 전력은 바이어스 없이 약 100 내지 1000 W이다. 다른 실시 예들에서, PECVD 프로세스는 약 10 내지 1000 mTorr의 압력 및/또는 약 0 내지 100 ℃의 온도를 더 포함한다. 또 다른 실시 예들에서, PECVD 프로세스는 약 10 내지 1000 W의 전력을 포함하는 인가된 펄싱된 바이어스 또는 약 10 내지 500 W의 전력을 포함하는 인가된 연속파 바이어스를 더 포함한다. 다른 실시 예들에서, 인가된 펄싱된 바이어스는 약 1 내지 99 %의 듀티 사이클 및 약 10 내지 2000 ㎐의 펄싱 주파수를 포함한다.
다른 실시 예들에서, 방법은 (예를 들어, 상기 증착 후) 조면화된 (roughened) 표면을 제공하도록 수소화된 탄소 막을 개질하는 단계를 포함한다. 일부 실시 예들에서, 상기 개질하는 단계는 막의 표면의 비반응성 이온 충돌에 의한 스퍼터링을 포함하고, 이에 따라 조면화된 표면을 제공한다. 비제한적인 비반응성 이온들은 아르곤 (Ar), 헬륨 (He), 크립톤 (Kr), 또는 다른 비반응성 종을 포함할 수 있다. 다른 실시 예들에서, 개질하는 단계는 산소-함유 표면을 제공하기 위해 막의 표면 또는 조면화된 표면을 산소-함유 플라즈마에 노출하는 단계를 포함할 수 있다. 비제한적인 산소-함유 플라즈마는 이산화탄소 (CO2), 산소 (O2), 또는 물 (H2O로서 또는 H2와 O2의 혼합물로서) 을 포함할 수 있다.
제 5 양태에서, 본 개시는 기판을 프로세싱하기 위한 장치를 특징으로 하고, 장치는 기판 지지부를 포함하는 프로세스 챔버; 프로세스 챔버 및 연관된 플로우-제어 하드웨어와 연결된 가스 소스; 프로세스 챔버와 연결된 기판 핸들링 하드웨어; 및 프로세서 및 메모리를 갖는 제어기를 포함하고, 프로세서 및 메모리는 서로 통신 가능하게 연결된다. 특정한 실시 예들에서, 프로세서는 적어도 플로우 제어 하드웨어 및 기판 핸들링 하드웨어와 동작 가능하게 연결된다.
특정한 실시 예들에서, 기판 지지부는 척 또는 페데스탈일 수 있다. 다른 실시 예들에서, 장치는 프로세스 챔버들 내로의 하나 이상의 가스 유입구들로서, 가스 유입구(들)는 프로세스 가스 소스 및 연관된 플로우-제어 하드웨어에 유체로 연결되는, 가스 유입구; 및 프로세스 챔버 및 연관된 플로우-제어 하드웨어로부터 재료들을 제거하기 위한 하나 이상의 가스 유출구들을 포함한다.
일부 실시 예들에서, 메모리는 본 명세서에 기술된 임의의 방법들에 언급된 동작들을 수행하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장한다. 일 실시 예에서, 컴퓨터-실행 가능 인스트럭션들은 기판 또는 기판 상에 배치된 하드 마스크를 제공하는 단계; 기판 및/또는 하드 마스크 상에 하부층 (예를 들어, 본 명세서에 기술된 임의의 층) 의 증착을 유발하는 단계; 및 하부층 상에 복사선 감응성 이미징 층 (예를 들어, 본 명세서에 기술된 임의의 층) 의 형성을 유발하는 단계를 위한 머신-판독 가능 인스트럭션들을 포함한다.
또 다른 실시 예에서, 컴퓨터-실행 가능 인스트럭션들은 PECVD 프로세스에 의해 기판 또는 하드 마스크의 표면 상에 수소화된 탄소 막 (예를 들어, 본 명세서에 기술된 임의의 막) 을 증착하기 위한 머신-판독 가능 인스트럭션들을 포함한다. 다른 실시 예들에서, 컴퓨터-실행 가능 인스트럭션들은 수소화된 탄소 막 상에 복사선 감응성 이미징 층 (예를 들어, 본 명세서에 기술된 임의의 층) 의 형성을 유발하기 위한 머신-판독 가능 인스트럭션들을 포함한다.
특정한 실시 예들에서, 상기 하부층의 증착을 유발하는 단계는 하나 이상의 전구체들 (예를 들어, 하이드로카본 전구체, 옥소 카본 전구체, C-함유 전구체, O-함유 전구체, Si-함유 전구체, N-함유 전구체, W-함유 전구체, B-함유 전구체, I-함유 전구체, 또는 Cl-함유 전구체) 및/또는 하나 이상의 프로세스 가스들 (예를 들어, 본 명세서에 기술된 임의의 가스) 을 도입하거나 전달하는 단계를 포함한다.
다른 실시 예들에서, 상기 하부층의 증착을 유발하는 것은 플라즈마 (예를 들어, TCP (transformer coupled plasma), ICP (inductively coupled plasma), 또는 CCP (capacitively coupled plasma)) 를 포함한다. 특정한 실시 예들에서, 플라즈마는 약 100 내지 1000 W의 전력, 약 10 내지 1000 mTorr의 압력, 및/또는 약 0 내지 100 ℃의 온도를 갖는 TCP 또는 ICP이다. 또 다른 실시 예들에서, 플라즈마는 인가된 펄싱된 바이어스 (예를 들어, 약 10 내지 1000 W의 전력) 또는 인가된 연속파 바이어스 (예를 들어, 약 10 내지 500 W의 전력) 를 더 포함한다.
일부 실시 예들에서, 상기 이미징 층의 형성을 유발하는 단계는 고 패터닝 복사-흡수 단면을 갖는 엘리먼트의 증착을 유발하는 것을 포함한다. 특정한 실시 예들에서, 엘리먼트는 높은 EUV 흡수 단면 (예를 들어, 1x107 ㎠/mol 이상) 을 갖는다.
다른 실시 예들에서, 이미징 층의 형성을 유발하는 단계는 하나 이상의 전구체들 (예를 들어, 화학식 (I), (II), (IIa), (III), (IV), (V), (VI), (VII), 또는 (VIII) 의 구조체) 을 도입하거나 전달하는 것을 포함한다. 일부 실시 예들에서, 이미징 층의 형성을 유발하는 것은 대응-반응물질 (counter-reactant) 의 존재시 하나 이상의 전구체들을 제공하는 것을 더 포함할 수 있다. 비제한적인 대응-반응물질은 산소 (O2), 오존 (O3), 물, 페록사이드 (peroxide), 수소 페록사이드 (hydrogen peroxide), 산소 플라즈마, 물 플라즈마, 알코올, 디하이드록시 알코올, 폴리하이드록시 알코올, 플루오르화된 디하이드록시 알코올, 플루오르화된 폴리하이드록시 알코올, 플루오르화된 글리콜, 포름산, 및 하이드록실 모이어티들 (moieties) 의 다른 소스들, 뿐만 아니라 이들의 조합들을 포함하는 산소-함유 대응-반응물질을 포함한다.
본 명세서의 임의의 실시 예에서, 기판은 부분적으로 제조된 반도체 디바이스 막 스택이거나 이를 포함한다.
본 명세서의 임의의 실시 예에서, 기판은 하드 마스크이다. 다른 실시 예들에서, 기판은 하드 마스크를 포함한다. 또 다른 실시 예들에서, 기판은 워크피스 (예를 들어, 웨이퍼, 반도체 웨이퍼, 스택, 부분적으로 제조된 집적 회로, 부분적으로 제조된 반도체 디바이스 막 스택, 막, 표면, 등) 상에 배치된 하드 마스크를 포함한다. 비제한적인 예들에서, 하드 마스크는 선택 가능하게 도핑될 수 있는, 비정질 탄소 하드 마스크를 포함한다.
본 명세서의 임의의 실시 예에서, 이미징 층은 EUV-민감 무기 포토레지스트 층을 포함한다. 특정한 실시 예들에서, 이미징 층은 주석 옥사이드 막, 주석 옥사이드 하이드록사이드 막, 주석 옥사이드-기반 포토레지스트, 또는 주석 옥사이드 하이드록사이드-기반 포토레지스트를 포함한다. 다른 실시 예들에서, 이미징 층은 EUV-감응 막, DUV-감응 막, UV-감응 막, 포토레지스트 막, 포토패터닝 가능한 (photopatternable) 막을 포함한다.
본 명세서의 임의의 실시 예에서, 기판은 하드 마스크, 비정질 탄소 막, 비정질 수소화된 탄소 막, 실리콘 옥사이드 막, 실리콘 나이트라이드 막, 실리콘 옥시나이트라이드 막, 실리콘 카바이드 막, 실리콘 보로나이트라이드 막, 비정질 실리콘 막, 폴리실리콘 막, 또는 이들의 조합이거나 이를 포함한다. 일부 실시 예들에서, 하드 마스크는 비정질 탄소 막, 비정질 수소화된 탄소 막, 실리콘 옥사이드 막, 실리콘 나이트라이드 막, 실리콘 옥시나이트라이드 막, 실리콘 카바이드 막, 실리콘 보로나이트라이드 막, 비정질 실리콘 막, 폴리실리콘 막, 또는 이들의 조합이다.
본 명세서의 임의의 실시 예에서, 하부층은 산소 (O), 실리콘 (Si), 질소 (N), 텅스텐 (W), 붕소 (B), 요오드 (I), 염소 (Cl), 또는 이들 중 임의의 2 개 이상의 조합으로 도핑된 수소화된 탄소를 포함한다.
본 명세서의 임의의 실시 예에서, 하부층 또는 하부층의 표면은 하이드록실기들 (예를 들어, -OH), 카르복실기들 (예를 들어, -CO2H), 퍼옥시기들 (예를 들어, -OOH), sp2 탄소들, sp 탄소들, 및/또는 불포화된 탄소-함유 결합들 (예를 들어, C=C 및/또는 C≡C 결합들) 을 포함한다.
본 명세서의 임의의 실시 예에서, 하부층은 도핑된 막을 포함한다. 특정한 실시 예들에서, 도핑된 막은 I; I와 Si의 조합; 또는 I, Si, 및 N의 조합을 포함한다. 일부 실시 예들에서, 도핑된 막은 Cl을 포함하고; Cl과 Si의 조합; 또는 Cl, Si, 및 N의 조합을 포함한다. 다른 실시 예들에서, 도핑된 막들은 N을 포함하고; N과 Si의 조합; 또는 N, Si, 및 O의 조합을 포함한다. 또 다른 실시 예들에서, 도핑된 막은 B 또는 W를 포함한다.
본 명세서의 임의의 실시 예에서, 하부층은 약 0 내지 30 원자%의 O (예를 들어, 1 내지 30 %, 2 내지 30 %, 또는 4 내지 30 %), 약 20 내지 50 원자%의 H (예를 들어, 20 내지 45 %, 30 내지 50 %, 또는 30 내지 45 %), 및/또는 30 내지 70 원자%의 C (예를 들어, 30 내지 60 %, 30 내지 65 %, 또는 30 내지 68 %) 를 포함한다.
본 명세서의 임의의 실시 예에서, 하부층은 약 1.5 g/㎤ 미만의 밀도 또는 약 0.7 내지 1.4 g/㎤의 밀도를 포함한다. 또 다른 실시 예들에서, 도핑된 막은 약 0.7 내지 1.4 g/㎤의 밀도를 갖는다.
본 명세서의 임의의 실시 예에서, 하부층은 상승된 에칭 선택도를 더 제공한다. 또 다른 실시 예들에서, 하부층은 감소된 라인 에지 및 라인 폭 거칠기 및/또는 감소된 도즈 대 사이즈를 더 제공한다. 특정한 실시 예들에서, 하부층은 복사선에 노출시 방출되도록 구성된 베타 수소 원자들 및/또는 이미징 층 내의 원자에 대한 산소 결합들을 형성하도록 구성된 산소 원자들을 더 포함한다.
본 명세서의 임의의 실시 예에서, 증착은 증기 형태의 전구체(들)를 제공하거나 증착하는 것을 포함한다. 다른 실시 예들에서, 증착하는 단계는 증기 형태의 하나 이상의 대응-반응물질(들)을 제공하는 것을 포함한다. 특정한 실시 예들에서, 증착은 CVD, ALD, 또는 이들의 플라즈마-강화된 형태들 (예를 들어, PECVD) 을 포함한다.
본 명세서의 임의의 실시 예에서, 증착은 본 명세서에 기술된 하나 이상의 전구체들을 전달하거나 도입하는 것을 포함할 수 있다. 비제한적인 전구체들은 하이드로카본 전구체, 옥소카본 전구체, 및/또는 도펀트 전구체 (예를 들어, O-함유 전구체, Si-함유 전구체, N-함유 전구체, W-함유 전구체, B-함유 전구체, I-함유 전구체, 또는 Cl-함유 전구체) 를 포함한다. 상기 증착하는 단계는 또한 불활성 가스, 일산화탄소 (CO), 이산화탄소 (CO2), 헬륨 (He), 아르곤 (Ar), 크립톤 (Kr), 네온 (Ne), 질소 (N2), 수소 (H2), 또는 이들의 조합과 같은 하나 이상의 프로세스 가스들을 전달하거나 도입하는 것을 포함할 수 있다.
본 명세서의 임의의 실시 예에서, 증착하는 단계는 플라즈마를 제공하는 단계를 포함할 수 있다. 제공하는 단계는 PECVD 프로세스를 포함할 수 있다. 비제한적인 플라즈마 프로세스들은 TCP, ICP, 또는 CCP를 포함할 수 있다. 다른 비제한적인 프로세스 조건들은 1 mTorr (milliTorr) (예를 들어, 약 5 내지 1000 mTorr) 보다 큰 압력, 4000 W (와트) (예를 들어, 약 10 내지 3000 W) 보다 작은 전력 레벨, 및/또는 200 ℃ 미만 (예를 들어, 약 0 내지 100 ℃) 의 온도를 포함한다. 플라즈마는 0.3 내지 600 ㎒에서 동작하는 무선 주파수 (RF) 소스를 사용하여 약 10 내지 3000 W의 전력으로 생성될 수 있다. 바이어스는 본 명세서에 기술된 바와 같이 인가된 펄싱된 바이어스 (예를 들어, 약 10 내지 1000 W의 전력) 또는 인가된 연속파 바이어스 (예를 들어, 약 10 내지 500 W의 전력) 를 사용하여 인가될 수 있다.
본 발명의 다른 특징들 및 장점들은 이하의 기술 및 청구항들로부터 자명해질 것이다.
도 1은 비제한적인 방법 (100) 에 대한 프로세스 흐름도를 제공한다.
도 2a 내지 도 2f는 예시적인 패터닝 구조체들의 개략도들을 제공한다; 도 2a 내지 도 2c는 본 명세서에 기술된 바와 같은, 예시적인 패터닝 구조체의 제조 단계들을 제공한다; 도 2d는 이미징 층 (218) 과 하부층 (216) 사이의 가능한 상호 작용들을 도시하는 단면도를 제공한다; 도 2e는 이미징 층 내 비제한적인 반응 스킴을 제공한다; 및 도 2f는 이미징 층 (228) 과 하부층 (226) 사이의 비제한적인 반응 스킴을 제공한다.
도 3은 AHM (ashable hardmask), 하부층들의 2 개의 실시 예들 (실시 예 1 및 실시 예 2), 및 SOC (Spin-On-Carbon) 막의 다양한 실시 예들에 대한 막 특성들을 도시한다. CDSEM (critical dimension scanning electron micrograph) 및 바이어스되지 않은 라인 폭 거칠기에 대한 LnCD (line critical dimension) 의 비(LWRunbi) (LnCD/LWRunbi로 나타낸 비) 와 같은 파라미터들이 제공된다.
도 4는 하부층의 비제한적인 실시 예 (실시 예 1 및 실시 예 3) 에 대한 밀도에 대한 펄싱된 바이어스의 효과를 도시한다. 그래프에 표시된 바와 같이, 0 W, 70 W, 또는 140 W의 바이어스 전력 및 0 % (바이어스 전력이 인가되지 않음), 10 %, 20 %, 30 %, 40 %, 또는 50 %의 듀티 사이클로 생성된 막들이 제공된다.
도 5는 하부층의 비제한적인 실시 예 (실시 예 3) 에 대한 언더컷들에 대한 펄싱된 바이어스의 효과를 도시한다. DtS (dose to size), 펄싱 바이어스 (140 W의 RF 바이어스 전력 및 10 % 또는 50 %의 듀티 사이클 (DC) 를 나타냄), CDSEM, LnCD, 공간 폭 거칠기 (SpWR) 에 대한 바이어스되지 않은 LWR의 비(바이어스되지 않은 LWR/SpWR로 나타낸 비), SpWR의 다양한 주파수 컴포넌트들 (LF/MF/HFspwr로 나타낸, 저 주파수 (LF), 중간 주파수 (MF) 및 고 주파수 (HF) 컴포넌트들을 포함함), 및 언더컷 (undercut) 특징들과 같은 파라미터들이 제공된다.
도 6은 하부층 (AL412) 및 하부층들 (실시 예 1, 실시 예 4, 및 실시 예 5) 로서 사용된 N-도핑된, 스핀-온 탄소 막의 비제한적인 실시 예들에 대한 에칭 레이트들을 도시한다.
도 7은 하부층들의 비제한적인 실시 예들 (실시 예 11, 실시 예 12, 및 실시 예 1) 에 대한 에칭 레이트들을 도시한다.
도 8은 건식 현상을 위한 프로세스 스테이션 (300) 의 실시 예의 개략적인 예시를 제공한다.
도 9는 멀티-스테이션 프로세싱 툴 (400) 의 실시 예의 개략적인 예시를 제공한다.
도 10은 유도 결합 플라즈마 장치 (500) 의 실시 예의 개략적인 예시를 제공한다.
도 11은 반도체 프로세스 클러스터 툴 아키텍처 (600) 의 실시 예의 개략적인 예시를 제공한다.
도 12는 건식 증착 장치 (700) 의 예의 단면 개략도를 도시한다.
도 13은 상단 플레이트, 기판, 및 에지 링의 일부의 상세 측면도 및 평면도를 도시한다.
본 개시의 특정한 실시 예들에 대한 참조가 본 명세서에서 상세히 이루어진다. 구체적인 실시 예들의 예들은 첨부된 도면들에 예시된다. 본 개시가 이들 구체적인 실시 예들과 함께 기술될 것이지만, 이는 이러한 특정한 실시 예들로 본 개시를 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다. 반대로, 이는 본 개시의 정신 및 범위 내에 포함될 수도 있는 바와 같이 대안들, 수정들, 및 등가물들을 커버하도록 의도된다. 이하의 기술에서, 본 개시의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 본 개시는 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 본 개시를 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다.
―통상적으로 13.5 ㎚의 파장의―EUV (Extreme Ultraviolet) 리소그래피는 리소그래피 패터닝을 가능하게 하는 차세대 기술로 간주된다. 그러나, 다수의 기술적 걸림돌이 이 기법의 광범위한 도입 및 구현을 지연시켰다. EUV 포토레지스트 (PR) 는 장애물 중 하나이다.
전통적인 CAR (chemically amplified resist) 은 비용 효율적인 방법 (approach) 을 제공한다. 그러나, 유기 중합체 CAR들은 라인 에지 거칠기 (LER) 및 라인 폭 거칠기 (LWR) 를 생성하고, 폴리머 사용의 무작위적인 변동으로 인해 감도 및 분해능 제한들을 갖는다. 최근 연구 및 개발 노력들은 새로운 EUV 무기 포토레지스트 플랫폼 개발에 초점을 맞추고 있다. 이러한 시스템들은 중합체 기반 CAR 시스템과 비교하여 몇 가지 장점들을 제안한다. 이들 무기 포토레지스트들은 일반적으로 금속 하이드록사이드 옥사이드들을 포함하는, 금속 옥사이드들을 기반으로 한다. 작은 금속 옥사이드 분자 사이즈는 패터닝 단계의 궁극적인 분해능을 향상시키고, 금속 옥사이드 포토레지스트들은 일반적으로 구조 종횡비를 감소시키기 위해 PR 두께를 감소시킬 수 있는, CAR보다 높은 에칭 내성을 나타낸다.
그러나, 또한 무기물 PR과 연관된 다양한 과제들이 있다. SOC (Spin-On-Carbon) 하드 마스크 재료들은 종종 EUV PR들이 패터닝을 위해 적용되는 하드 마스크 막 스택들에 사용된다. 그러나 SOC들은 불량한 에칭 내성 및 불량한 LWR을 갖는 연질 탄소 (C)-풍부 막을 갖는다. 실리콘 옥사이드 (예를 들어, 실리콘 다이옥사이드, SiO2), 실리콘 나이트라이드, 및 AHM들 (ashable hardmasks) 과 같은 공통 하드 마스크 재료들은 보다 우수한 에칭 선택도 및 우수한 LER 및 LWR을 위해 PR 바로 아래에 사용될 수 있다. 그러나, 특히 EUV-노출된 PR의 습식 현상 후, EUV PR과 하드 마스크 재료 사이의 디라미네이션 (delamination) 은 지속적인 문제이다. 그리고 약 20 퍼센트의 EUV 광자들만이 통상적인 PR에 의해 흡수되고, 이는 많은 양의 1 차 및 2 차 전자들이 종종 PR 하부층 (underlayer)에서 생성된다는 것을 의미한다. DtS (Dose to Size) 데이터는 동일한 라인 임계 치수 (line critical dimension) 를 분석하기 위해 SOC 상의 EUV 무기 PR보다 공통 하드 마스크 재료 직상에 배치된 EUV 무기 PR에 대해 보다 높은 도즈가 요구된다는 것을 보여준다.
본 명세서에 기술된 바와 같이, EUV 무기 PR 바로 아래에 배치된 하부층 박막은 개선된 성능을 갖는 막 스택 EUV 무기 PR을 가능하게 한다. 이 하부층을 사용하여, 발생되는 다층 (예를 들어, 이중층) 하드 마스크 스킴 (scheme) 은 SOC 스택 직상의 EUV 무기 PR과 비교하여, 비슷하거나 보다 우수한 DtS 성능을 갖는다. 그리고 하부층은 또한 하드 마스크 막 조성과 무관하게 EUV 무기 PR과 하드 마스크 사이의 접착 층으로서 역할을 할 수 있고, 이는 개선된 에칭 선택도 및 LER/LWR 성능을 가능하게 한다. 하드 마스크 이외의 표면들이 하부층 아래에 채용될 수 있고, 하부층은 EUV PR과 임의의 유용한 기판 (예를 들어, 하드 마스크, 웨이퍼, 부분적으로 제조된 반도체 디바이스 막 스택, 등) 사이의 접착층으로서 역할을 할 수 있다.
이하에 더 기술된 바와 같이, 적합한 하부층 박막들은 CVD (chemical vapor deposition), PECVD (plasma enhanced chemical vapor deposition), ALD (atomic layer deposition), PEALD (plasma enhanced atomic layer deposition), 또는 다른 기상 증착 방법들 (예를 들어, 스퍼터링 증착에 의해, PVD (physical vapor deposition) 공-스퍼터링을 포함하는 PVD) 에 의해 증착될 수 있다. 하부층 증착 프로세스는 에칭 툴 (예를 들어, 캘리포니아 프레몬트 소재의 Lam Research Corporation으로부터 입수 가능한 Kiyo® 또는 Flex®) 또는 증착 툴 (예를 들어, Lam Striker®) 에서 구현될 수 있다. 일부 구현 예들에서, 이는 하드 마스크 증착 프로세스에서 종료 단계로서 통합될 수 있다. 하부층의 상이한 막 조성들은 막 스택에 따라 선택될 수 있다.
본 개시가 EUV 리소그래피에 의해 예시된 리소그래피 패터닝 기법들 및 재료들에 관한 것이지만, 이는 또한 다른 차세대 리소그래피 기법들에 적용 가능하다는 것이 이해되어야 한다. 현재 사용 및 개발 중인 표준 13.5 ㎚ EUV 파장을 포함하는 EUV에 더하여, 이러한 리소그래피와 가장 관련이 있는 복사선 소스들은, 일반적으로 248 ㎚ 또는 193 ㎚ 엑시머 레이저 소스들의 사용을 지칭하는 DUV (Deep-UV), X-선 범위의 보다 낮은 에너지 범위의 EUV를 공식적으로 포함하는 X-선, 뿐만 아니라 넓은 에너지 범위를 커버할 수 있는 e-빔이다. 이러한 방법들은 노출된 하이드록실기들을 갖는 기판이 기판의 표면 상에 이미징 층/PR 층으로서 하이드로카빌-종단된 주석 옥사이드 (SnOx) 막을 형성하도록 하이드로카빌-치환된 주석 캡핑제 (capping agent) 와 콘택트되는 방법들을 포함한다. 특정한 방법들은 반도체 기판 및 궁극적인 반도체 디바이스에 사용된 특정한 재료들 및 적용 예들에 종속될 수도 있다. 따라서, 본 적용 예에 기술된 방법들은 단지 본 기술 (technology) 에서 사용될 수도 있는 방법들 및 재료들의 예시이다.
도 1은 본 개시의 일 양태, 패터닝 구조체를 제조하는 방법에 대한 프로세스 흐름을 도시한다. 방법 (100) 은 101에서 기판을 제공하는 것을 수반한다. 기판은, 예를 들어, 임의의 적합한 방식으로 제조된 하드 마스크, 막, 스택, 부분적으로 제조된 반도체 디바이스 막 스택, 등일 수도 있다. 일부 실시 예들에서, 기판은 부분적으로 제조된 반도체 디바이스 막 스택과 같은 워크피스 상에 배치된 하드 마스크를 포함할 수도 있다. 막 스택의 최상부 층 상의 하드 마스크는 SiO2, 실리콘 나이트라이드, 애시 가능 하드 마스크 재료와 같은 다양한 조성들을 가질 수도 있고, 화학적 기상 증착 (chemical vapor deposition), 예를 들어 PECVD에 의해 형성될 수도 있다. 비정질 탄소 막들로 구성된 애쉬 가능 하드 마스크들이 일부 구현 예들에서 바람직하다. 이 맥락에서 비정질 탄소 막들은 예를 들어 붕소 (B) 또는 텅스텐 (W) 으로 도핑되지 않거나 도핑될 수도 있다. 적합한 비정질 탄소 막들은 예를 들어, 약 50 내지 80 원자%의 탄소 (C), 10 내지 20 원자%의 수소 (H), 및 5 내지 40 원자%의 B 또는 W 도펀트를 포함하는 조성을 가질 수도 있다.
그러나 다른 기판들이 채용될 수 있다. 예를 들어, 기판은 임의의 형태 (예를 들어, 벌크 막, 박막, 또 다른 막, 스택, 등) 의 비정질 수소화된 (hydrogenated) 탄소, 실리콘 옥사이드, 실리콘 나이트라이드, 실리콘 옥시나이트라이드, 실리콘 카바이드, 실리콘 보로나이트라이드, 비정질 실리콘, 폴리실리콘, 또는 본 명세서에 기술된 임의의 조합이거나 이를 포함할 수 있다.
103에서, 포토레지스트 하부층이 기판 상에 증착된다. 하부층은 기판과 후속하여 형성된 EUV-감응 무기 포토레지스트 사이의 접착을 상승시키고, 포토레지스트의 효과적인 EUV 노출을 위해 EUV 도즈를 감소시키도록 구성된다. 하부층은 O, 실리콘 (Si), 질소 (N), 텅스텐 (W), 붕소 (B), 요오드 (I), 염소 (Cl), 또는 이들 중 임의의 조합 (예를 들어, Si와 O의 조합) 으로 도핑된 수소화된 탄소의 기상 증착된 막이거나 이를 포함할 수도 있다. 일 실시 예에서, 막은 (예를 들어, 탄소 원자들을 제공하기 위해) 하이드로카본 전구체 및 (예를 들어, 도핑, 비-탄소 원자들을 제공하기 위해) 도펀트 전구체를 도입하거나 전달함으로써 증착된다. 또 다른 실시 예에서, 막은 증착 후에 도핑된 막을 제공하는, 이종 원자-함유 전구체 (heteroatom-containing precursor), 예컨대 요오드-함유 전구체들을 도입하거나 전달함으로써 증착된다. 특히, 요오드로 도핑된 수소화된 탄소 막은 EUV 복사선에 대한 노출시 2 차 전자들의 생성을 개선할 수 있다. 이러한 하부층들을 제공하기 위한 추가의 비제한적인 전구체들 및 도펀트들이 본 명세서에 기술된다.
막은 약 25 ㎚ 이하의 두께를 가질 수 있다. 예를 들어, 포토레지스트 하부층은 약 2 내지 20 ㎚, 예를 들어, 2, 3, 4, 5, 6, 7, 8, 9, 10, 15 또는 20 ㎚의 두께일 수도 있고, 그리고 선택 가능하게 (optionally) 약 0 내지 30 원자%의 O 및/또는 약 20 내지 50 원자%의 수소 (H) 및/또는 30 내지 70 원자%의 C를 포함할 수도 있다. 다른 하부층 특성들이 본 명세서에 기술된다.
일부 구현 예들에서, 하부층은 일산화탄소 (CO) 및/또는 이산화탄소 (CO2) 가 있을 수 있거나 없을 수 있는, 하이드로카본 전구체를 사용하는 PECVD 또는 ALD에 의해 기판 상에 기상 증착될 수도 있다. 특정한 실시 예들에서, 기상 증착은 CO 없이 그리고 CO2 없이 하이드로카본 전구체를 도입하거나 전달하는 것을 포함한다.
일부 구현 예들에서, 하부층은 수소 (H2) 또는 하이드로카본과 공-반응하는 (co-react) 옥소카본 전구체를 사용하는 PECVD 또는 ALD에 의해 기판 상에 기상 증착될 수도 있다. 이 구현 예의 변형들에서, 옥소카본 전구체는 증착 동안 Si 소스 도펀트와 더 공-반응할 수도 있다. 특정한 실시 예들에서, 옥소카본 전구체들은 CO 또는 CO2를 포함할 수 있다. 메커니즘에 의해 제한되기를 바라지 않고, 옥소카본 전구체들의 사용은 하이드록실 (-OH) 기들 또는 다른 산소-함유기들을 하부층에 포함할 수 있고, 이는 친수성 표면 또는 (이러한 -OH 또는 산소-함유기들이 없는 하부층과 비교하여) 상승된 친수성을 갖는 표면을 제공할 수 있다. 비제한적인 예들에서, 친수성 표면은 하부층과 PR 층 사이의 접착을 개선할 수 있다.
다른 구현 예들에서, 하부층은 산화제 (예를 들어, 옥소카본, O-함유 전구체, CO, 또는 CO2) 와 공-반응하는 Si-함유 전구체를 사용하여 PECVD 또는 ALD에 의해 기판 상에 기상 증착될 수도 있다. 이 구현 예의 변형들에서, Si-함유 전구체는 C 소스 도펀트 (예를 들어, 본 명세서에 기술된 바와 같이, 하이드로카본 전구체) 와 더 공-반응한다. 비제한적인 Si-함유 전구체들, 예컨대 실란들, 할로실란들, 아미노실란들, 알콕시실란들, 유기실란들, 등이 본 명세서에 기술된다.
일부 구현 예들에서, 하부층은 기판 상의 기상 증착의 종료 동작으로서, 예를 들어 포토레지스트 하부층의 목표된 조성을 달성하기 위해 PECVD 프로세스 챔버로 들어가는 전구체 플로우들을 조정함으로써 PECVD에 의해 기판 상에 기상 증착될 수도 있다.
다른 구현 예들에서, 하부층은 수소화된 탄소 막을 제공하도록 PECVD에 의해 기판 상에 기상 증착될 수도 있다. 일부 실시 예들에서, 막은 (예를 들어, 0.7 내지 2.9 g/㎤) 저 밀도 막이다. 다른 실시 예들에서, 도핑되지 않은 막 (또는 도핑 전 막) 은 약 1.5 g/㎤ 미만의 밀도 또는 약 0.7 내지 1.4 g/㎤의 밀도를 갖는다. 또 다른 실시 예들에서, 도핑된 막은 약 0.7 내지 1.4 g/㎤의 밀도를 갖는다.
PECVD 프로세스는 임의의 유용한 전구체 또는 전구체들의 조합들을 포함할 수 있다. 일 실시 예에서, 전구체는 하이드로카본 전구체 (예를 들어, 본 명세서에 기술된 임의의 전구체) 이다. 선택 가능하게, PECVD 프로세스 동안 이종 원자-함유 전구체 (예를 들어, 질소-함유 전구체, 텅스텐-함유 전구체, 붕소-함유 전구체, 및/또는 요오드-함유 전구체) 를 사용하여 도핑된, 수소화된 탄소 막이 형성된다.
하부층의 증착은 TCP (transformer coupled plasma), ICP (inductively coupled plasma), 또는 CCP (capacitively coupled plasma) 를 포함하는 (예를 들어, PECVD 프로세스에서와 같이) 플라즈마의 사용을 포함할 수 있다. 특정한 실시 예들에서, 증착은 저 밀도 막을 제공하기 위해 최소 바이어스 (예를 들어, 바이어스 없음) 를 갖는 저 TCP 전력 (예를 들어, 약 100 내지 1000 W) 을 사용할 수 있다. 물론, 본 명세서에 기술된 바와 같이, 보다 높은 전력 플라즈마들이 채용될 수 있다. 특정한 실시 예들에서, 플라즈마의 생성 (예를 들어, TCP 또는 ICP) 은 연속파 (continuous wave; CW) 모드에 있는 전력에 의해 제어될 수 있다.
(예를 들어, CW 모드에서 TCP 또는 ICP 전력을 사용한) 증착은 약 1 % 내지 99 %의 듀티 사이클을 갖는 (예를 들어, 약 1 ㎐ 내지 약 10 ㎑의 범위, 예컨대 10 내지 2000 ㎐의 범위로) 펄싱되는 (주파수와 무관하게) 인가된 바이어스를 포함한다. 부가적인 펄싱 주파수들 및 듀티 사이클들이 본 명세서에 기술된다. 일부 실시 예들에서, 인가된 펄싱된 바이어스는 이온 에너지를 제어하도록 제공될 수 있다. 비제한적인 인가된 펄싱된 바이어스 전력은 약 10 내지 1000 W, 뿐만 아니라 본 명세서에 기술된 다른 범위들일 수 있다.
또 다른 실시 예들에서, 증착은 인가된 CW 바이어스를 포함할 수 있다. CW 바이어스는 또한 이온 에너지를 제어하도록 사용될 수도 있다. 일부 실시 예들에서, 인가된 CW 바이어스 전력은 10 내지 1000 W (예를 들어, 10 내지 500 W, 10 내지 400 W, 뿐만 아니라 본 명세서에 기술된 다른 범위들) 일 수 있다.
또 다른 조건들 (예를 들어, 저밀도 막에 유용한) 은 (예를 들어, 10 내지 1000 mTorr (millitorr), 10 내지 500 mTorr, 또는 10 내지 400 mTorr을 포함하는 5 내지 1000 mTorr와 같은) 특정한 압력 조건들 및 (예를 들어, 0 내지 50 ℃ 및 10 내지 40 ℃를 포함하는 약 0 내지 100 ℃와 같은) 특정한 온도 조건들의 사용을 포함한다.
펄싱된 바이어스 또는 연속적인 바이어스는 막의 특성들을 미세 조정하도록 채용될 수 있다. 일 실시 예에서, 펄싱된 바이어스는 0 W의 바이어스 전력으로 준비된 저밀도 막과 비교하여 보다 높은 밀도 막을 제공할 수 있다. 이러한 보다 높은 밀도의 막들은, 일부 경우들에서, 저밀도 막과 비교하여 상승된 에칭 내성을 제공할 수 있다. 다른 예들에서, 이러한 보다 높은 밀도 막들은 0 W의 바이어스 전력으로 준비된 저밀도 막과 비교하여 감소된 언더컷을 제공할 수 있다. 부가적인 플라즈마 조건들 및 프로세스들이 또한 본 명세서에 기술된다.
도 1을 다시 참조하면, 105에서, 복사선 감응성 이미징 층이 하부층 상에 형성된다. 이미징 층은 예를 들어 EUV-감응 무기 포토레지스트를 포함할 수 있다. 적합한 EUV-감응 무기 포토레지스트는 EUV-감응 주석 옥사이드-기반 포토레지스트와 같은 금속 옥사이드 막일 수도 있다. 이러한 레지스트들 (또한 이미징 층들로 지칭됨) 및 이들의 형성 및 사용은 예를 들어, 2019 년 5 월 9 일 출원되고, WO2019/217749로서 공개되고, 명칭이 METHODS FOR MAKING EUV PATTERNABLE HARD MASKS인 국제 특허 출원 PCT/US2019/031618; 및 2019 년 11 월 11 일 출원되고, WO2020/102085로서 공개되고, 명칭이 METHODS FOR MAKING HARD MASKS USEFUL IN NEXT GENERATION LITHOGRAPHY인 국제 특허 출원 PCT/US2019/060742에 기술되고, EUV 레지스트 마스크들을 형성하기 위한 직접 포토패터닝 가능 금속-유기계 금속 옥사이드 막들의 조성, 증착, 및 패터닝에 관한 이 개시는 본 명세서에 참조로서 인용된다. 본 명세서에 기술된 바와 같이, 다양한 실시 예들에 따라, EUV-감응 무기 포토레지스트는 스핀-온 막 또는 기상 증착된 막일 수도 있다.
도 2a 내지 도 2c는 본 명세서에 기술된 바와 같이, 비제한적인 패터닝 구조체의 제조 단계들을 도시한다. 도 2c에 도시된 패터닝 구조체는 기판 (202) (예를 들어, 웨이퍼 또는 부분적으로 제조된 반도체 디바이스 막 스택) 상에 배치된 하드 마스크 (204) 를 갖는다. 이미징 층 (208) 이 하드 마스크 (204) 위에 배치된다. 그리고 하부층 (206) 이 하드 마스크 (204) 와 이미징 층 (208) 사이에 배치된다. 하부층 (206) 은 효과적인 포토레지스트 노출을 위한 복사선량 (radiation dose) 을 감소시킬뿐만 아니라 하드 마스크와 이미징 층 사이의 접착을 상승시키도록 구성될 수 있다.
기술된 실시 예들에 따른 테스트 구조체들에서, 본 명세서에 기술된 바와 같이, 하부층을 갖는 비정질 탄소 AHM 상의 EUV PR의 DtS 성능은 SOC 상의 EUV PR만큼 우수하거나 심지어 보다 우수하고, 일부 경우들에서 요구된 도즈를 10 % 이상 감소시킨다. 더욱이, 하드 마스크 이중층 (포토레지스트 하부층을 갖는 비정질 탄소 AHM) 으로부터 EUV PR의 현상 후 박리 (post-development peeling) 가 관찰되지 않는다.
하부층 (206) 은 구조체에서 상승된 에칭 선택도 및/또는 감소된 라인 에지 및 라인 폭 거칠기 (LER/LWR) 를 더 제공할 수 있다. 기술된 실시 예들에 따른 테스트 구조체들에서, LER/LWR은 AHM 또는 SOC 상의 EUV PR에 비해 약 25 % 이상 개선되었다.
도 2a 내지 도 2c를 다시 참조하면, 도 2c의 구조체의 제조의 구현 예가 도 2a 및 도 2b에 예시된다. 도 1을 참조하여 상기 기술된 바와 같이, 도 2a는 기판 (202) 상에 배치된 하드 마스크 (204) 를 도시하고, 도 2b는 하드 마스크 (204) 상에 증착된 하부층 (206) 을 도시한다.
스택 내 하부층의 사용은 개선된 특성들을 제공할 수 있다. 일 예에서, 하부층과 이미징 층 사이의 상호 작용들은 DtS의 감소를 제공한다. 도 2d에 도시된 바와 같이, 패터닝 구조체는 기판 (212) 의 표면 상에 배치된 하드 마스크 (214), 뿐만 아니라 이미징 층 (218) 과 하드 마스크 (214) 사이에 배치된 하부층 (216) 을 포함한다. 가능한 상호 작용들은 이미징 층 (218) 으로부터 하부층 (216) 내로 금속 (M) 원자들 (218A) 의 마이그레이션 (migration) (또는 확산); 및/또는 하부층 (216) 으로부터 이미징 층 (218) 내로 수소 (H) 원자들 (216A) 의 마이그레이션 (또는 확산) 을 포함한다. 메커니즘에 의해 제한되기를 바라지 않고, 이러한 마이그레이션 이벤트들은 하부층과 이미징 층 사이의 생산적인 상호 작용들을 제공할 수 있고, 이는 결국 개선된 접착 및/또는 DtS에 기여할 수 있다.
이에 더하여, 하부층 및 이미징 층의 조성은 유리한 반응들을 촉진하도록 설계될 수 있고, 이는 결국 DtS를 개선할 수 있다. 예를 들어, 도 2e에 도시된 바와 같이, 이미징 층은 복사선-절단 가능한 (radiation-cleavable) 리간드를 갖는 주석-기반 포토레지스트를 포함할 수 있다. 복사선 (예를 들어, EUV) 에 노출시, 리간드 (R) 는 주석 (Sn) 중심으로부터 제거되고, Sn-H 결합이 그 자리에 형성된다. PEB (post-exposure bake) 단계 후, Sn-H 결합들은 추가의 열적으로 활성화된 교차 결합 반응들에 참여하여, 노출된 포토레지스트와 노출되지 않은 포토레지스트 사이의 재료 특성 차를 증가시킨다.
따라서, 일 예에서, 도 2f에 도시된 바와 같이, 하부층 (226) 은 EUV 복사선에 노출시 방출 가능한 H 원자들을 제공하여, 반응된 리간드 (R1 *) 를 형성하는 리간드들 (R1) 을 포함할 수 있다. 가능한 R1 기들은 예를 들어, 선형 또는 분지형일 수 있는 선택 가능하게 치환된 알킬을 포함한다. 이미징 층 (228) 에서, EUV-절단 가능 (cleavable) 리간드 R은 제거된 리간드 R* 및 반응성 금속 중심 Sn을 제공할 것이다. 하부층 (226) 으로부터 방출된 H 원자들은 이미징 층 (228) 내 Sn-H 결합의 형성을 용이하게 할 수 있고, 이에 따라 DtS를 감소시킨다. 하부층이 또한 산소 (O) 원자들을 포함한다면, 이러한 원자들은 이미징 층에서 M-O 결합들 (예를 들어, Sn-O 결합들) 을 형성할 수 있고, 이는 DtS를 더 감소시킬 수 있다. 게다가, 이미징 층 (228) 으로부터의 Sn 원자들은 하부층 (226) 내로 확산될 수 있고, 이에 따라 부가적인 2 차 전자들의 생성을 허용한다.
구현 예 1: 하부층의 건식 증착
하부층은 임의의 유용한 방식으로 증착될 수 있다. 일 예에서, 증착은 하이드로카본 전구체 또는 탄소-함유 전구체 (예를 들어, 본 명세서에 기술된 임의의 전구체) 의 기상 증착을 포함한다. 증착은 증착 동안 (예를 들어, 플라즈마로서 또는 불활성 가스로서) 프로세스 가스의 사용을 포함할 수 있고, 비제한적인 프로세스 가스들은 일산화탄소 (CO), 헬륨 (He), 아르곤 (Ar), 크립톤 (Kr), 네온 (Ne), 질소 (N2), 및/또는 수소 (H2) 를 포함한다.
증착 조건들은 프로세싱 챔버 내 전구체 플로우 레이트(들) (flow rate(s)), 가스 플로우 레이트(들), 프로세스 압력, 온도 (예를 들어, 정전 척 (ESC) 온도), 플라즈마 (예를 들어, TCP) 전력, 바이어스 전력, 및 듀티 사이클 (DC) 을 포함한다. 전구체(들)의 플로우 레이트는 약 1 내지 100 sc㎝ (standard cubic centimeter per minute) 일 수도 있다. 가스(들)의 플로우 레이트는 약 1 내지 1600 sc㎝일 수도 있다. 챔버 압력은 약 5 내지 1000 mTorr (예를 들어, 5 내지 800 mTorr, 10 내지 500 mTorr, 10 내지 400 mTorr, 30 내지 500 mTorr, 10 내지 1000 mTorr, 또는 30 내지 1000 mTorr) 일 수도 있다. ESC 온도는 약 0 내지 100 ℃ (예를 들어, 0 내지 50 ℃ 또는 10 내지 40 ℃) 일 수도 있다. 플라즈마를 생성하도록 사용된 전력은 스테이션 당 약 10 내지 3000 W (예를 들어, 100 내지 1000 W, 200 내지 1000 W, 200 내지 800 W, 또는 200 내지 500 W) 일 수도 있다. 플라즈마를 생성하기 위해 사용된 RF 주파수는 약 0.3 내지 600 ㎒ (예를 들어, 13.56 ㎒, 60 ㎒, 27 ㎒, 2 ㎒, 400 ㎑, 또는 이들의 조합) 일 수 있다. RF 바이어스 전력은 펄싱된 플라즈마 또는 CW (continuous wave) 플라즈마를 사용하여 약 0 내지 1000 W일 수도 있다. 프로세싱 챔버는 ICP 챔버 또는 CCP 챔버일 수 있다. ICP 챔버의 일부 실시 예들에서, 상단 ICP 생성기 및 바이어스 생성기 모두의 주파수는 13.5 ㎒이다. 하부층에 따라, 일부 실시 예들에서, 압력은 약 10 내지 400 mTorr일 수 있고, TCP 전력은 약 200 내지 500 W일 수 있다.
표 1은 비제한적인 하부층들에 대한 프로세싱 레짐들 (regimes) 의 예들을 제공한다. 실시 예 1에 대해, 하이드로카본 전구체는 메탄 (CH4) 이고 또 다른 가스는 He이다. 실시 예 2에 대해, 하이드로카본 전구체는 CH4이고, 프로세스 가스들은 CO, H2, 및 He를 포함한다.
Figure 112022082165134-pat00001
도 3은 AHM 및 SOC 층과 비교하여, 실시 예 1 및 실시 예 2의 성능 특징들을 제공한다. 일 실시 예에서, 실시 예 1의 하부층의 사용은 DtS를 개선한다 (예를 들어, 5 %, 10 %, 15 %, 20 %, 25 %, 30 % 이상까지). 표 2는 하부층들이 AHM과 비교하여 보다 높은 상대적인 에칭 레이트를 나타내는, AHM과 비교하여 하부층들의 에칭 내성을 제공한다. 막 조성은 또한 XPS (x-ray photoelectron spectroscopy) 에 의해 결정되었다. 하부층들 (실시 예 1 및 실시 예 2) 은 AHM과 비교하여 보다 높은 H 및 O 함량을 함유하였다.
Figure 112022082165134-pat00002
구현 예 2: 하부층의 증착에 사용하기 위한 펄싱 바이어스 프로세스 하부층의 에칭 내성을 개선하기 위해 추가 프로세스들이 개발되었다. 특히, 바이어스 전력은 하부층의 밀도를 수정하기 위해 사용된다. 예를 들어, 하부층의 증착은 약 1 % 내지 99 %의 DC로 펄싱되는 (예를 들어, 약 1 ㎐ 내지 약 10 ㎑의 범위 내) 바이어스 (주파수에 관계없이) 를 포함할 수 있다. 이러한 바이어스는 약 10 내지 500 W와 같은 임의의 유용한 전력으로 제공될 수 있다.
펄싱이 기간들의 반복들을 수반할 수도 있고, 기간들 각각은 지속기간 T를 지속할 수도 있다는 것이 이해될 것이다. 지속기간 T는 미리 결정된 기간 동안 펄스 ON 시간에 대한 지속기간 (플라즈마가 ON 상태에 있는 지속기간) 및 OFF 시간에 대한 지속기간 (플라즈마가 OFF 상태에 있는 지속기간) 을 포함한다. 펄스 주파수는 1/T로 이해될 것이다. 예를 들어, 플라즈마 펄싱 기간 T = 100 ㎲에 대해, 주파수는 1/T = 1/100 ㎲, 또는 10 ㎑이다. 듀티 사이클 또는 듀티 비는 듀티 사이클 또는 듀티 비가 T로 나눈 펄스 ON 시간이도록 플라즈마가 ON 상태에 있는 기간 T의 분율 (fraction) 또는 백분율이다. 예를 들어, 플라즈마 펄싱 기간 T = 100 ㎲에 대해, (플라즈마가 기간의 ON 상태에 있는 지속기간이 70 ㎲이도록) 펄스 ON 시간이 70 ㎲이고 (플라즈마가 기간의 OFF 상태에 있는 지속기간이 30 ㎲이도록) 펄스 OFF 시간이 30 ㎲이면, 듀티 사이클은 70 %이다.
그러나 다른 증착 조건들은 프로세싱 챔버 내 전구체 플로우 레이트(들), 가스 플로우 레이트(들), 프로세스 압력, 온도 (예를 들어, ESC 온도), 플라즈마 전력, 바이어스 전력, 펄스 주파수, DC, 및 TCCT 파라미터의 제어를 포함할 수 있다. 전구체(들)의 플로우 레이트는 약 1 내지 100 sc㎝일 수도 있다. 프로세스 가스(들)의 플로우 레이트는 약 100 내지 1600 sc㎝일 수도 있다. 챔버 압력은 약 5 내지 1000 mTorr (예를 들어, 5 내지 800 mTorr, 10 내지 500 mTorr, 10 내지 400 mTorr, 30 내지 500 mTorr, 10 내지 1000 mTorr, 또는 30 내지 1000 mTorr) 일 수도 있다. ESC 온도는 약 0 내지 100 ℃ (예를 들어, 0 내지 50 ℃ 또는 10 내지 40 ℃) 일 수도 있다. 플라즈마를 생성하기 위해 사용된 전력은 약 10 내지 3000 W (예를 들어, 100 내지 1000 W, 200 내지 1000 W, 200 내지 800 W, 또는 200 내지 500 W) 일 수도 있다. 플라즈마를 생성하기 위해 사용된 RF 주파수는 약 0.3 내지 600 ㎒ (예를 들어, 13.56 ㎒, 60 ㎒, 27 ㎒, 2 ㎒, 400 ㎑, 또는 이들의 조합) 일 수도 있다. RF 바이어스 전력은 1 내지 100 %의 DC를 갖는 펄싱된 플라즈마를 사용하여 약 10 내지 1000 W일 수도 있고, 여기서 100 %는 CW (예를 들어, 1 내지 99 %) 를 나타낸다. RF 바이어스 전력은 약 10 내지 2000 ㎐의 주파수와 같은 5000 ㎐ 미만으로 펄싱될 수 있다. TCCT 파라미터는 0.1 내지 1.5일 수 있다. 일부 비제한적인 프로세스에서, 플라즈마 노출은 고 주파수 (HF) RF 컴포넌트 (예를 들어, 일반적으로 약 2 내지 60 ㎒) 및 저 주파수 (LF) RF 컴포넌트 (예를 들어, 일반적으로 약 100 ㎑ 내지 2 ㎒) 를 포함할 수 있다. 프로세싱 챔버는 ICP 챔버 또는 CCP 챔버일 수 있다.
표 3은 펄싱 바이어스 프로세스 (실시 예 3) 로 형성된 비제한적인 하부층들을 위한 프로세싱 레짐들의 예들을 제공한다. 다양한 하부층 막들이 실시 예 3을 사용하여 형성되고, 바이어스 전력은 70 W 또는 140 W이고, DC는 10 내지 50 %이다. 이러한 비제한적인 막들에 대한 밀도는 표 4에 제공된다. 0 W 바이어스를 사용하여 형성된 막과 비교하여, 펄싱 바이어스 프로세스들은 상승된 밀도 (예를 들어, 약 1.09 g/㎤보다 큰 밀도) 를 갖는 막들을 제공한다. 이러한 방식으로, 하부층의 밀도는 바이어스 전력을 추가함으로써 미세 조정될 수 있다. 일부 예들에서,보다 치밀한 막들은 보다 낮은 에칭 레이트들을 제공할 수도 있고, 이에 따라 개선된 에칭 내성을 제공한다.
Figure 112022082165134-pat00003
펄싱 프로세스는 밀도를 상승시키고, 에칭 레이트를 감소시키고, 그리고/또는 에칭 내성을 상승시키는 것에 더하여, 막의 다른 특징들을 개선할 수도 있다. 도 5에 도시된 바와 같이, 펄싱 프로세스는 DtS를 희생하지 않고 언더컷을 감소시킬 수 있다. 프로세싱 조건들은 30 sc㎝의 CH4 플로우 레이트, 660 sc㎝의 He 플로우 레이트, 150 mTorr의 압력, 20 ℃의 ESC 온도, 400 W의 TCP 전력, 140 W의 바이어스 전력, 100 ㎐의 바이어스 주파수, 10 % (도 5, 좌측 막) 또는 50 % (도 5, 우측 막) 의 DC, 및 1.4의 TCCT를 포함한다.
구현 예 3: 도핑된 하부층들의 증착
하부층은 하나 이상의 도펀트들 (예를 들어, 하이드로카본 전구체가 채용될 때 비-탄소 도펀트들) 을 포함할 수 있다. 도펀트는 (예를 들어, 탄소 원자들을 제공하기 위해) 하이드로카본 전구체 및 (예를 들어, 도핑, 비-탄소 원자들을 제공하기 위해) 별도의 도펀트 전구체를 사용함으로써 제공될 수 있다. 또 다른 실시 예에서, 도펀트는 탄소 원자(들) 및 이종 원자(들)를 포함하는 단일 도펀트 전구체를 채용함으로써 제공된다. 비제한적인 비탄소 이종 원자들은 산소 (O), 실리콘 (Si), 질소 (N), 텅스텐 (W), 붕소 (B), 요오드 (I), 염소 (Cl), 또는 이들의 조합을 포함한다. 다른 도펀트들 및 이종 원자-함유 도펀트 전구체들이 본 명세서에 기술된다.
도펀트들의 사용은 일부 경우들에서 에칭 내성을 개선할 수 있다. 본 명세서의 프로세스 레짐들 중 임의의 레짐은 하부층에 도펀트들을 통합하도록 수정될 수 있다. 예를 들어, 증착은 도펀트 전구체 (예를 들어, 본 명세서에 기술된 임의의 전구체) 의 사용을 포함할 수 있고, 및 일반적으로 전구체에 대해 본 명세서에 기술된 프로세스 레짐들 (예를 들어, 플로우 레이트, 압력, 온도, 플라즈마 전력, 바이어스 전력, 펄스 주파수, 듀티 사이클, TCCT 등) 이 도펀트 전구체에 대해 사용될 수 있다.
예를 들어, 전구체(들) (예를 들어, 하이드로카본 전구체 및/또는 도펀트 전구체) 의 플로우 레이트는 약 1 내지 100 sc㎝일 수도 있다. 프로세스 가스(들)의 플로우 레이트는 약 100 내지 1600 sc㎝일 수도 있다. 챔버 압력은 약 5 내지 1000 mTorr (예를 들어, 5 내지 800 mTorr, 10 내지 500 mTorr, 10 내지 400 mTorr, 30 내지 500 mTorr, 10 내지 1000 mTorr, 또는 30 내지 1000 mTorr) 일 수도 있다. ESC 온도는 약 0 내지 100 ℃ (예를 들어, 0 내지 50 ℃ 또는 10 내지 40 ℃) 일 수도 있다. 플라즈마를 생성하기 위해 사용된 전력은 약 10 내지 3000 W (예를 들어, 100 내지 1000 W, 200 내지 1000 W, 200 내지 800 W, 또는 200 내지 500 W) 일 수도 있다. 플라즈마를 생성하기 위해 사용된 RF 주파수는 약 0.3 내지 600 ㎒ (예를 들어, 13.56 ㎒, 60 ㎒, 27 ㎒, 2 ㎒, 400 ㎑, 또는 이들의 조합) 일 수도 있다. RF 바이어스 전력은 약 1 내지 99 %의 DC 또는 CW 플라즈마 (100 %의 DC) 를 갖는 펄싱된 플라즈마를 사용하여 약 0 내지 1000 W일 수도 있다. RF 바이어스 전력은 약 10 내지 2000 ㎐의 주파수와 같은 5000 ㎐ 미만으로 펄싱될 수 있다. TCCT 파라미터는 0.1 내지 1.5일 수 있다. 프로세싱 챔버는 ICP 챔버 또는 CCP 챔버일 수 있다.
일 예에서, 도펀트는 N-도핑된 하부층들을 제공하도록 질소 (N) 이거나 질소를 포함한다. 비제한적인 N-함유 전구체들은 질소 (N2), 암모니아 (NH3), 하이드라진 (N2H4), 아민들, 및 아미노실란들과 같은, 본 명세서에 기술된 임의의 전구체를 포함할 수 있다. 일 예에서, N-도핑된 하부층은 하이드로카본 전구체 및 N-함유 전구체의 공류 (co-flowing) 에 의해 형성된다.
표 4는 비제한적인 N-도핑된 하부층들에 대한 프로세싱 레짐들의 예들을 제공한다. 실시 예 4에 대해, 하이드로카본 전구체는 CH4이고, N-함유 전구체는 N2이다. 실시 예 5에서, 하이드로카본 전구체는 CH4이고, N-함유 전구체는 NH3이다.
Figure 112022082165134-pat00004
특정한 실시 예들에서, N-도핑된 하부층은 (예를 들어, FTIR (Fourier Transform Infrared spectroscopy) 스펙트럼에서 약 3500 내지 3100 ㎝-1 및/또는 약 1635 ㎝-1에서 피크를 갖는) N-H 결합들 및/또는 (예를 들어, FTIR 스펙트럼에서 약 2260 내지 2222 ㎝-1, 약 2244 ㎝-1, 및/또는 약 2183 ㎝-1에서 피크(들)를 갖는) C≡N 결합들의 존재를 특징으로 할 수 있다.
일부 예들에서, 도핑된 하부층들의 에칭 레이트들은 개선될 수 있다. 도 6은 도핑되지 않은 하부층 (실시 예 1), 도펀트 전구체로서 N2를 사용하는 제 1 N-도핑된 하부층 (실시 예 4), 도펀트 전구체로서 NH3를 사용하는 제 2 N-도핑된 하부층 (실시 예 5), 및 AL412 하부층의 에칭 레이트를 제공한다. 비제한적인 에칭 조건들은 압력 = 5 mTorr; TCP = 350W; TCCT = 2; 바이어스 전력 = 0 V; CH4의 플로우 레이트 = 10 sc㎝; O2의 플로우 레이트 = 60 sc㎝; Ar의 플로우 레이트 = 200 sc㎝; 그리고 ESC 온도 = 30 ℃을 갖는 ICP 챔버의 사용을 포함한다. 알 수 있는 바와 같이, 하이드로카본 전구체와 NH3 도펀트 전구체의 공류는 도핑되지 않은 하부층과 비교하여 하부층의 에칭 내성을 개선한다.
또 다른 예에서, 도펀트는 W-도핑된 하부층들을 제공하기 위해 텅스텐 (W) 이거나 텅스텐을 포함한다. 비제한적인 W-함유 전구체들은 텅스텐 할라이드 (예를 들어, WF6, WCl6, 또는 WCl5), 텅스텐 카르보닐 (예를 들어, W(CO)6), 또는 다른 것들과 같은, 본 명세서에 기술된 임의의 전구체를 포함할 수 있다. 일 예에서, W-도핑된 하부층은 하이드로카본 전구체 및 W-함유 전구체의 공류에 의해 형성된다.
특정한 실시 예들에서, W-도핑된 하부층은 W-OH…H2O 결합들 (예를 들어, FTIR 스펙트럼에서 약 3500 내지 3400 ㎝-1에서 피크를 가짐), W=O 결합들 (예를 들어, FTIR 스펙트럼에서 약 981 ㎝-1에서 피크를 가짐), 및/또는 W-O-W 결합들 (예를 들어, FTIR 스펙트럼에서 약 837 ㎝-1, 800 ㎝-1, 및/또는 702 ㎝-1에서 피크를 가짐) 의 존재를 특징으로 할 수 있다.
표 5는 비제한적인 W-도핑된 하부층들에 대한 프로세싱 레짐들의 예들을 제공한다. 각각의 실시 예에서, 하이드로카본 전구체는 CH4이다. 실시 예 6에 대해, W-함유 전구체는 1 sc㎝의 보다 낮은 플로우 레이트의 WF6이다. 실시 예 7의 경우, W-함유 전구체는 2 sc㎝의 보다 높은 플로우 레이트의 WF6이다. 실시 예 8에 대해, W-함유 전구체는 1 sc㎝의 보다 낮은 플로우 레이트이지만 50 mTorr의 보다 높은 압력의 WF6이다.
Figure 112022082165134-pat00005
도핑된 하부층들의 밀도는 상승될 수 있다. 표 6은 하부층들의 굴절률 (633 ㎚의 RI), 증착 레이트 (Dep. Rate), 및 밀도를 제공한다. 실시 예 7에 대해 더 알 수 있는 바와 같이, W-함유 도펀트 전구체와 하이드로카본 전구체의 공류는 도펀트 전구체 없이 증착된 기준 (baseline) 과 비교할 때 밀도 및 RI를 상승시킨다.
Figure 112022082165134-pat00006
또 다른 예에서, 도펀트는 B-도핑된 하부층들을 제공하기 위해 붕소 (B) 이거나 붕소를 포함한다. 비제한적인 B-함유 전구체들은 붕소 할라이드 (예를 들어, BCl3), 보란들 (예를 들어, B2H6), 붕산염 (예를 들어, B(OH)3), 및 유기 붕소 화합물들 (예를 들어, B(CH3)3) 과 같은 본 명세서에 기술된 임의의 전구체를 포함할 수 있다. 일 예에서, B-도핑된 하부층은 하이드로카본 전구체와 B-함유 전구체의 공류에 의해 형성된다.
특정한 실시 예들에서, B-도핑된 하부층은 B · OH 결합들 (예를 들어, FTIR 스펙트럼에서 약 3200 ㎝-1에서 피크를 가짐), B-O 결합들 (예를 들어, FTIR 스펙트럼에서 약 1340 ㎝-1에서 피크를 가짐), 및/또는 B-O-H 결합들 (예를 들어, FTIR 스펙트럼에서 약 1194 ㎝-1에서 피크를 가짐) 의 존재를 특징으로 할 수 있다.
표 7은 비제한적인 B-도핑된 하부층들에 대한 프로세싱 레짐들의 예들을 제공한다. 실시 예 9에 대해, 하이드로카본 전구체는 CH4이고, B-함유 전구체는 BCl3이다. 실시 예 10에 대해, 증착 조건들은 실시 예 9와 동일하지만, 막은 H2로 더 처리된다. 표 7에서, H2 처리 조건들은 압력 = 5 mTorr; TCP = 300W; 바이어스 전력 = 100 W; H2의 플로우 레이트 = 200 sc㎝; 프로세싱 시간 = 1 초를 포함한다.
Figure 112022082165134-pat00007
특정한 실시 예들에서, 증착 레이트 및 도핑된 하부층들의 밀도 모두가 상승될 수 있다. 표 8은 633 ㎚의 RI, 증착 레이트 (Dep. Rate), 및 하부층들의 밀도를 제공한다. 실시 예 9에 대해 알 수 있는 바와 같이, B-함유 도펀트 전구체와 함께 하이드로카본 전구체의 공류는 도펀트 전구체 없이 증착된 기준과 비교할 때 증착 레이트를 상승시키고 밀도를 상승시킨다.
Figure 112022082165134-pat00008
본 명세서에 기술된 바와 같이, 도펀트 전구체들은 도핑된 하부층을 제공하도록 증착 동안 채용될 수 있다. 특정한 실시 예들에서, 도핑된 하부층은 개선된 에칭 내성, 에칭 레이트, 굴절률, 증착 레이트, 및/또는 밀도와 같은 향상된 특징들을 가질 수 있다.
구현 예 4: 다양한 하이드로카본 전구체들의 증착
하부층은 임의의 유용한 전구체로 증착될 수 있다. 예를 들어, 전구체는 탄소 및 수소 원자들만을 갖는 하이드로카본 전구체를 포함할 수 있다. 또 다른 예에서, 전구체는 탄소 원자들, 수소 원자들, 및 비-탄소 이종 원자들을 갖는 이종 원자-함유 하이드로카본 전구체일 수 있다. 또 다른 예에서, 전구체는 (예를 들어, 본 명세서에 기술된 바와 같이) 도펀트 전구체일 수 있다.
하이드로카본 전구체들 중에서, 다양한 화합물들이 채용될 수 있다. 예를 들어, 하이드로카본 전구체는 이들의 치환된 형태들을 포함하여, 지방족 및 방향족 화합물들 (예를 들어, 알칸들, 알켄들, 알킨들, 벤젠, 등) 을 포함할 수 있다. 상이한 하이드로카본 전구체들을 사용함으로써, 하부층 내의 특정한 화학적 결합들의 타입 및 양이 개질될 수 있다. 예를 들어, 불포화 하이드로카본 전구체들을 사용하는 것은 (예를 들어, 증가된 양의 포화된 하이드로카본 전구체들 또는 감소된 양의 불포화된 하이드로카본 전구체들을 사용하여 형성된 막과 비교하여) 증가된 불포화 결합 함량 (예를 들어, 증가된 C=C 및/또는 C≡C 결합 함량), 증가된 sp2 탄소 함량, 증가된 sp 탄소 함량, 감소된 포화된 결합 함량 (예를 들어, 감소된 C-C 결합 함량), 감소된 sp3 탄소 함량, 및/또는 감소된 C-H 결합 함량을 갖는 하부층을 제공할 수 있다. 하이드로카본 전구체의 선택은 다양한 요인들에 따라 결정될 수 있다. 일 비제한적인 예에서, 하이드로카본 전구체는 충분한 H 원자들을 제공할 수 있는 포화된 전구체 (예를 들어, C-C, C=C, 또는 C≡C 함량과 비교하여 증가된 C-H 결합 함량을 가짐) 를 포함한다. 메커니즘에 의해 제한되기를 바라지 않고, 이러한 전구체의 선택은 이미징 층의 원자들과 상호 작용하는 방출 가능한 H 원자들을 제공할 수 있고, 따라서 불포화된 전구체의 사용과 비교하여 개선된 DtS를 발생시킨다. 그러나, 다른 비제한적인 예들에서, 하이드로카본 전구체는 (예를 들어, C-H 결합 함량과 비교하여 증가된 C-C, C=C, 또는 C≡C 함량을 갖는) 불포화 전구체를 포함한다. 메커니즘에 의해 제한되기를 바라지 않고, 이러한 전구체의 선택은 포화된 전구체의 사용과 비교하여 향상된 에칭 내성을 제공할 수 있다.
특정한 실시 예들에서, 하부층은 C=CH 결합들 (예를 들어, FTIR 스펙트럼에서 약 3310 ㎝-1에서 피크를 가짐) 및/또는 C=C 결합들 (예를 들어, FTIR 스펙트럼에서 약 1650 내지 1600 ㎝-1 또는 1000 내지 660 ㎝-1에서 피크를 가짐) 의 존재를 특징으로 할 수 있다.
표 9는 비제한적인 하이드로카본 전구체들을 위한 프로세싱 레짐들의 예들을 제공한다. 실시 예 1에서, 하이드로카본 전구체는 CH4이다. 실시 예 11에서, 하이드로카본 전구체는 아세틸렌 (C2H2) 이다. 실시 예 12에서, 하이드로카본 전구체는 프로핀 (C3H4) 이다. 상이한 플라즈마 타입들 (예를 들어, ICP 또는 CCP) 이 채용될 수 있다. 일 예에서, ICP는 이온 에너지 및 이온 밀도의 분리된 제어를 허용하도록 채용된다. 프로세스 조건들은 ICP를 사용하든 CCP를 사용하든 유사한 막을 달성하도록 최적화될 수 있다. 예를 들어, CCP는 일반적으로 ICP보다 높은 셀프-바이어스 (self-bias) 전압을 채용하고, 따라서 보다 높은 이온 에너지를 특징으로 하는 플라즈마를 발생시킨다. 이 보다 높은 에너지는 예를 들어 보다 높은 프로세스 압력을 사용함으로써 감소될 수 있고, 따라서 ICP를 사용하여 획득된 것과 유사한 막 특성들을 제공하기 위해 유사한 프로세싱 분위기를 달성한다. 따라서, 본 명세서의 프로세스들은 타깃된 막 조성들 및 막 특성을 달성하기 위해 하나 이상의 프로세싱 조건들 (예를 들어, 압력, 온도, 전구체(들) 또는 불활성 가스들의 플로우 레이트, 프로세스 시간, 등) 에서 수정된 ICP 또는 CCP의 사용을 포함할 수 있다.
Figure 112022082165134-pat00009
특정한 실시 예들에서, 불포화 하이드로카본 전구체들의 사용은 에칭 내성을 개선한다. 도 7은 C2H2 전구체 (또는 HC≡CH, 실시 예 11), C3H4 전구체 (HC≡CCH3, 실시 예 12), 및 CH4 전구체 (실시 예 1) 로 형성된 하부층의 에칭 레이트를 제공한다. 비제한적인 에칭 조건들은 압력 = 5 mTorr; TCP = 350W; TCCT = 2; 바이어스 전력 = 0 V; CH4의 플로우 레이트 = 10 sc㎝; O2의 플로우 레이트 = 60 sc㎝; Ar의 플로우 레이트 = 200 sc㎝; 그리고 ESC 온도 = 30 ℃을 갖는 ICP 챔버의 사용을 포함한다. 알 수 있는 바와 같이, (예를 들어, 삼중 결합들을 갖는) 불포화 하이드로카본 전구체의 사용은 (예를 들어, 단일 결합들만을 갖는) 포화된 하이드로카본 전구체와 비교하여 하부층의 에칭 내성을 개선한다.
구현 예 5: 고 EUV 흡수 원자들의 사용
하부층은 또한 고 패터닝 복사선 흡수 단면적 (예를 들어, 1x107 ㎠/mol 이상인 EUV 흡수 단면적) 을 갖는 하나 이상의 원자들을 포함할 수 있다. 이러한 원자들은 예를 들어, 요오드 (I) 를 포함한다. 요오드는 모든 유용한 소스로 제공될 수 있다. 예를 들어, 증착 동안 채용된 전구체는 하나 이상의 요오드 원자들을 갖는 하이드로카본인 도펀트 전구체일 수 있다. 비제한적인 전구체들은 하나 이상의 I 원자들을 갖는 지방족 또는 방향족 화합물 (예를 들어, 이들의 고리형태들 (cyclic forms) 을 포함하는 알칸, 알켄, 또는 알킨, 뿐만 아니라 벤젠) 이다. 전구체들의 또 다른 예들은 요오드아세틸렌 (iodoacetylene) (C2HI), 디요오드아세틸렌 (C2I2), 비닐 아이오다이드 (vinyl iodide) (C2H3I), 요오드메탄 (CH3I), 디요오드메탄 (CH2I2), 1,1-디요오드에텐 (1,1-diiodoethene) (C2H2I2), (E)-1,2-디요오드에틸렌 ((E)-1,2-diiodoethylene) (trans-C2H2I2), (Z)-1,2-디요오드에틸렌 ((Z)-1,2-diiodoethylene) (cis-C2H2I2), 아릴 아이오다이드 (allyl iodide) (C3H5I), 1-요오드-1-프로핀 (1-iodo-1-propyne) (C3H3I), 요오드사이클로프로판 (iodocyclopropane) (C3H5I), 및 1,1-디요오드사이클로프로판 (1,1-diiodocyclopropane) (C3H4I2) 을 포함한다.
본 명세서의 임의의 증착 조건들은 유리한 하부층을 제공하도록 결합될 수 있다. 예를 들어, 펄싱 바이어스 프로세스는 본 명세서에 기술된 임의의 전구체 (예를 들어, 하이드로카본 전구체, 도펀트 전구체, 또는 이들의 조합) 와 함께 사용될 수 있다. 또 다른 예에서, 도펀트 전구체는 본 명세서에 기술된 임의의 하이드로카본 전구체와 조합될 수 있다. 게다가, 프로세스는 1, 2, 3, 또는 그 이상의 상이한 전구체들 (예를 들어, 2 개 이상의 하이드로카본 전구체들; 및/또는 2 개 이상의 도펀트 전구체들) 의 사용을 포함할 수 있다. 또 다른 예에서, 도펀트 전구체는 본 명세서의 임의의 하이드로카본 전구체 (예를 들어, 포화되거나 불포화된 하이드로카본 전구체들) 를 하나 이상의 비-탄소 이종 원자들로 개질함으로써 생성될 수 있다.
전구체들의 조합들은 목표된 막 특성들을 제공하도록 선택될 수 있다. 예를 들어, 특정한 하이드로카본 전구체 (예를 들어, 불포화 하이드로카본 전구체) 가 에칭 내성을 개선하도록 선택될 수 있다. 이어서, 특정한 이종 원자들은 상승된 밀도 또는 굴절률을 갖는 막을 제공하도록 선택될 수 있다 (예를 들어, O, Si, N, W, B, 또는 I과 같은 이종 원자들). 일 예에서, 하부층은 I, C, H, 및 O 원자들; I, C, H 및 Si 원자들; I, H, N, O 및 Si 원자들; 또는 I, C, H, N, O, 및 Si 원자들을 포함할 수 있다.
마지막으로, 또 다른 비-탄소 이종 원자들은 향상된 EUV 흡수를 갖는 막을 제공하도록 선택될 수 있다 (예를 들어, 이종 원자들, 예컨대 I 또는 1x107 ㎠/mol 이상의 EUV 흡수 단면을 갖는 또 다른 이종 원자). 하부층의 두께는 제어될 수 있다 (예를 들어, 약 5 ㎚ 초과).
전구체들 (예를 들어, 하부층들 용)
본 명세서의 하부층들은 임의의 유용한 전구체 또는 전구체들의 조합을 채용할 수 있다. 이러한 전구체들은 탄소 (C) 및 수소 (H) 원자들만을 포함하는 하이드로카본 전구체들을 포함할 수 있고, 여기서 전구체는 포화될 수 있거나 (단일 결합들을 가짐) 불포화될 (하나 이상의 이중 또는 삼중 결합들을 가짐), 뿐만 아니라 선형 또는 고리형일 수 있다. 또 다른 전구체들은 하나 이상의 비-탄소 이종 원자들을 포함할 수 있고, 이러한 전구체들은 본 명세서에서 도펀트 전구체들로 지칭된다. 이러한 도펀트 전구체들은 비-탄소 원자들과 조합된 탄소 원자들을 선택 가능하게 포함할 수 있다. 일부 실시 예들에서, 본 명세서의 임의의 하이드로카본 전구체는 도펀트 전구체를 제공하도록 하나 이상의 이종 원자들로 개질될 수 있다. 일반적인 용어 "전구체"는 하이드로카본 전구체 및/또는 도펀트 전구체를 지칭할 수 있다. 이러한 전구체들은, 일부 예들에서, 가스일 수 있어서, 프로세스 챔버 내에서 기상 증착을 허용한다.
하이드로카본 전구체들은 일반적으로 탄소-함유 전구체들을 포함한다. 일부 예들에서, 하이드로카본 전구체는 C 및 H 원자들만을 포함한다. 하이드로카본 전구체는 예를 들어, 화학식 CxHy에 의해 규정된 것일 수도 있고, 여기서 x는 1 내지 10의 정수이고 y는 2 내지 24의 정수이다. 이러한 전구체들의 예들은 메탄 (CH4), 아세틸렌 (C2H2), 에탄 (C2H6), 에틸렌 (C2H4), 프로판 (C3H8), 프로필렌 (C3H6), 프로핀 (C3H4), 알렌 (C3H4), 사이클로프로펜 (C3H4), 부탄 (C4H10), 부틸렌 (C4H8), 부타디엔 (C4H6), 사이클로헥산 (C6H12), 벤젠 (C6H6), 및 톨루엔 (C7H8) 을 포함한다.
하이드로카본 전구체는 지방족 화합물 (예를 들어, C1-10 알칸, C2-10 알켄, C2-10 알킨, 선형 또는 고리형태를 포함함) 또는 방향족 화합물 (예를 들어, 벤젠, 뿐만 아니라 이들의 다환 형태 (polycyclic forms)) 일 수 있다. 하이드로카본 전구체는 포화된 결합들 (단일 결합들, 예를 들어, C-C 결합들 또는 C-H 결합들) 및/또는 불포화 결합들 (이중 또는 삼중 결합들, 예를 들어, C=C, C≡C, 또는 C≡N 결합들) 을 포함할 수 있다.
하부층들에 유용한 전구체들은 또한 하나 이상의 이종 원자들을 포함할 수 있다. 이러한 이종 원자들은 산소 (O), 실리콘 (Si), 질소 (N), 텅스텐 (W), 붕소 (B), 요오드 (I), 염소 (Cl), 및 이의 조합들과 같은 임의의 유용한 비-탄소 원자들일 수 있다. 따라서, 비제한적인 이종 원자-함유 전구체들 (또한 본 명세서에서 도펀트 전구체들로 지칭됨) 은 O-함유 전구체, Si-함유 전구체, N-함유 전구체, W-함유 전구체, B-함유 전구체, I-함유 전구체, 또는 Cl-함유 전구체를 포함할 수 있다. 이러한 도펀트 전구체들은 본 명세서에 기술된 바와 같이, 무기 (탄소 원자들이 없음) 또는 유기 (탄소 원자들을 포함함) 일 수 있다.
O-함유 전구체들은 O 및 C 원자들을 포함하는 옥소카본 전구체를 포함할 수 있다. 특정한 실시 예들에서, 옥소카본 전구체는 수소 (H2) 또는 하이드로카본과 반응하고 선택 가능하게 Si 소스 또는 Si-함유 전구체와 또한 공-반응한다. 또 다른 O-함유 전구체들은 일산화탄소 (CO), 이산화탄소 (CO2), 물 (H2O), 산소 (O2), 오존 (O3), 과산화수소 (H2O2), 알코올 (예를 들어, t-아밀 알코올, 에탄올, 프로판올, 등), 폴리올 (예를 들어, 디올, 예컨대 에틸렌 글리콜), 케톤, 알데하이드, 에테르, 에스테르, 카르복시산, 알콕시실란, 옥솔란, 또는 푸란을 포함할 수 있다.
Si-함유 전구체들은 실란들, 할로실란들, 아미노실란들, 알콕시실란들, 유기실란들, 등을 포함할 수 있다. 특정한 실시 예들에서, Si-함유 전구체는 산화제 (예를 들어, CO 및 CO2를 포함하는, O-함유 전구체 또는 옥소카본 전구체와 같은, 본 명세서에 기술된 임의의 산화제) 와 공-반응한다. 비제한적인 Si-함유 전구체들은 폴리실란들 (H3Si-(SiH2)n-SiH3) 을 포함하고, 여기서 n> 0. 실란들의 예들은 실란 (SiH4), 디실란 (Si2H6), 및 메틸실란, 에틸실란, 아이소프로필실란, t-부틸실란, 디메틸실란, 디에틸실란, 디-t-부틸실란, 아릴실란, sec-부틸실란, 덱실실란, 아이소아밀실란, t-부틸디실란, 디-t-부틸디실란, 등과 같은 유기실란들이다.
할로실란은 적어도 하나의 할로겐기를 포함하고 수소 원자 및/또는 탄소 원자를 포함할 수도 있고 포함하지 않을 수도 있다. 할로실란들의 예들은 요오드실란들 (iodosilanes), 브로모실란들, 클로로실란들 및 플루오로실란들이다. 특정한 클로로실란들은 테트라클로로실란, 트리클로로실란, 디클로로실란, 모노클로로실란, 클로로아릴실란, 클로로메틸실란, 디클로로메틸실란, 클로로디메틸실란, 클로로에틸실란, t-부틸클로로실란, 디-t-부틸클로로실란, 클로로아이소프로필실란, 클로로-sec-부틸실란, t-부틸디메틸클로로실란, 헥실디메틸클로로실란, 등이다. 특정한 요오드실란들은 테트라요오드실란, 트리요오드실란, 디요오드실란, 모노요오드실란, 트리메틸실릴 아이오다이드, 등이다.
아미노실란은 Si 원자에 결합된 적어도 하나의 N 원자를 포함하지만, 또한 H, O, 할로겐, 및/또는 C 원자들을 함유할 수도 있다. 아미노실란들의 예들은 모노-아미노실란, 디-아미노실란, 트리-아미노실란 및 테트라-아미노실란 (각각 H3Si(NH2), H2Si(NH2)2, HSi(NH2)3 및 Si(NH2)4), 뿐만 아니라 치환된 모노-아미노실란, 디-아미노실란, 트리-아미노실란 및 테트라-아미노실란, 예를 들어, t-부틸아미노실란, 메틸아미노실란, t-부틸실란아민, 비스(t-부틸아미노)실란 (SiH2(NHC(CH3)3)2 (BTBAS)), t-부틸실릴카바메이트, SiH(CH3)-(N(CH3)2)2, SiHCl-(N(CH3)2)2, (Si(CH3)2NH)3 등이다. 아미노실란의 다른 예는 트리실릴아민 (N(SiH3)) 이다.
알콕시실란은 Si 원자에 결합된 적어도 하나의 O 원자를 포함하지만, 또한 H, N, 할로겐, 및/또는 C 원자들을 함유할 수도 있다. 알콕시실란들의 예들은 모노-알콕시실란, 디-알콕시실란, 트리-알콕시실란, 및 테트라-알콕시실란 (각각 H3Si(OR), H2Si(OR)2, HSi(OR)3 및 Si(OR)4) 이고, 여기서 R 각각은 독립적으로, 선택 가능하게 치환된 알킬 또는 아릴), 뿐만 아니라 치환된 모노-알콕시실란, 디-알콕시실란, 트리-알콕시실란, 및 테트라-알콕시실란, 예를 들어, 트리메톡시메틸실란 (CH3Si(OCH3)3), (3-아미노프로필) 트리메톡시실란 (NH2(CH2)3Si(OCH3)3), (3-아미노프로필) 트리에톡시실란 (3-aminopropyl) triethoxysilane) (NH2(CH2)3Si(OCH2CH3)3), 트리에톡시비닐실란 (CH2=CHSi(OCH2CH3)3), 트리에톡시에틸실란 (CH3CH2Si(OCH2CH3)3), 트리메톡시페닐실란 (PhSi(OCH3)3), 이소부틸트리에톡시실란 (i-BuSi(OCH2CH3)3), 디아세톡시디메틸실란 ((CH3)2Si(OCOCH3)2), 등이다. 또 다른 예들은 테트라에톡시실란 (Si(OCH2CH3)4), 트리에톡시실란 (HSi(OCH2CH3)3), 테트라메톡시실란 (Si(OCH3)4), 및 트리메톡시실란 (HSi(OCH3)3) 을 포함한다.
N-함유 전구체들은 적어도 하나의 질소 원자, 예를 들어, 질소 가스 (N2), 암모니아 (NH3), 하이드라진 (N2H4), 아민들 (예를 들어, 탄소 베어링 (bearing) 아민들), 예컨대 메틸아민, 디메틸아민, 에틸아민, 이소프로필아민, t-부틸아민, 디-t-부틸아민, 사이클로프로필아민, sec-부틸아민, 사이클로부틸아민, 이소아밀아민, 2-메틸부탄-2-아민, 트리메틸아민, 디이소프로필아민, 디에틸이소프로필아민, 디-t-부틸하이드라진, 뿐만 아니라 아닐린들, 피리딘들, 및 벤질아민들과 같은 방향족 함유 아민들을 포함한다. 또 다른 N-함유 전구체들은 니트릴 (예를 들어, 아세토니트릴), 아미드들, N-함유 헤테로사이클릭 화합물, 또는 아미노 알코올들 (예를 들어, 에탄올아민) 을 포함할 수 있다. 아민들은 1 차, 2 차, 3 차 또는 4 차 (예를 들어, 테트라알킬암모늄 화합물들) 일 수도 있다. N-함유 전구체는 N 이외의 이종 원자들을 함유할 수 있고, 예를 들어, 하이드록실아민, t-부틸옥시카르보닐 아민 및 N-t-부틸 하이드록실아민은 질소-함유 전구체들이다.
W-함유 전구체들은 텅스텐 플루오라이드들, 예컨대 WF6 (tungsten (VI) fluoride); 및 텅스텐 클로라이드들, 예컨대 텅스텐 (VI) 클로라이드 (WCl6), 텅스텐 (V) 클로라이드 (WCl5), 및 텅스텐 (VI) 옥시클로라이드 (WOCl4) 를 포함할 수도 있는, 텅스텐-함유 할라이드 전구체들을 포함한다. 일부 실시 예들에서, 금속-유기 텅스텐-함유 전구체들, 예컨대 텅스텐 헥사카르보닐 (W(CO)6), 메시틸렌 텅스텐 트리카르보닐 ([C6H3(CH3)3]W(CO)3), 비스(t-부틸이미도)비스(디메틸아미노)텅스텐 (VI) ([(CH3)3CN]2W[N(CH3)2]2), 비스(사이클로펜타디에닐)텅스텐(IV) 디하이드라이드 (H2WCp2), 또는 다른 것들이 사용될 수도 있다.
B-함유 전구체들은 붕소 할라이드들 (예를 들어, BCl3), 보란들 (예를 들어, B2H6), 붕산염들 (예를 들어, B(OH)3), 및 유기 붕소 화합물들 (예를 들어, B(CH3)3) 을 포함한다. 비제한적인 B-함유 전구체들은 디보란 (B2H6), 트리메틸보레이트 (B[OCH3]3), 트리에틸보레이트 (B[OCH2CH3]3), 트리이소프로필보레이트 (B[OCH(CH3)2]3), 트리메틸보란 (B(CH3)3), 트리에틸보란 (B(C2H5)3), 트리페닐보란 (BPh3), 테트라키스(디메틸아미노)디보론 (B2(N(CH3)2)4), 보론 트리플루오라이드 (BF3), 보론 트리클로라이드 (BCl3), 보론 트리브로마이드 (BBr3) 및 보론 아이오다이드 (boron iodide) (BI3) 를 포함한다.
I-함유 전구체들은 요오드화된 하이드로카본 화합물들, 예컨대 요오드아세틸렌 (C2HI), 디요오드 아세틸렌 (C2I2), 비닐 아이오다이드 (C2H3I), 요오드 메탄 (CH3I), 디요오드메탄 (CH2I2), 1,1-디요오드에텐 (C2H2I2), (E)-1,2-디요오드에틸렌 (trans-C2H2I2), (Z)-1,2-디요오드에틸렌 (cis-C2H2I2), 아릴 아이오다이드 (C3H5I), 1-아이오도-1-프로핀 (C3H3I), 아이오도사이클로프로판 (C3H5I), 및 1,1-디아이오도사이클로프로판 (C3H4I2) 을 포함한다.
Cl-함유 전구체는 클로로 아세틸렌 (C2HCl), 비닐 클로라이드 (C2H3Cl), 클로로메탄 (CH3Cl), 디클로로 메탄 (CH2Cl2), 1,1-디클로로에텐 (C2H2Cl2), (E)-1,2-디클로로에틸렌 (trans-C2H2Cl2), (Z)-1,2-디클로로에틸렌 (cis-C2H2Cl2), 아릴 클로라이드 (C3H5Cl), 1-클로로-1-프로핀 (C3H3Cl), 클로로사이클로프로판 (C3H5Cl), 및 1,1-디클로로사이클로프로판 (C3H4Cl2) 과 같은 염소화된 하이드로카본 화합물들을 포함한다.
인 (P) 과 같은 다른 이종 원자들이 포함될 수도 있다. P-함유 전구체들은 포스페이트들, 포스핀들, 포스포러스 할라이드들 (phosphorous halides), 유기 포스포러스 화합물, 및 다른 것들을 포함할 수 있다. 비제한적인 P-함유 전구체들은 트리에틸 포스페이트 (PO[OC2H5]3), 트리메틸 포스페이트 (PO[OCH3]3), 트리메틸 포스파이트 (P(OCH3)3), 트리스디메틸아미노포스포러스 (P[N(CH3)2]3), 포스포러스 트리클로라이드 (phosphorous trichloride) (PCl3), 트리스메틸실릴 포스핀 (P[Si(CH3)3]3), 및 포스포러스 옥시클로라이드 (POCl3) 를 포함한다.
하부층들의 특성들
본 명세서의 임의의 프로세스들 및 전구체들은 유용한 하부층들을 제공하도록 채용될 수 있다. 하부층의 조성은 특정한 원자들을 포함하도록 튜닝될 수 있다. 일 실시 예에서, 하부층은 약 0 내지 30 원자%의 O (예를 들어, 1 내지 30 %, 2 내지 30 %, 또는 4 내지 30 %), 약 20 내지 50 원자%의 H (예를 들어, 20 내지 45 %, 30 내지 50 %, 또는 30 내지 45 %), 및/또는 30 내지 70 원자%의 C (예를 들어, 30 내지 60 %, 30 내지 65 %, 또는 30 내지 68 %) 를 포함한다. 다른 실시 예들에서, 하부층은 불포화 결합들 (예를 들어, C=C, C≡C, 및/또는 C≡N 결합들) 의 존재를 포함한다. 또 다른 실시 예들에서, 하부층은 약 0.7 내지 2.9 g/㎤의 밀도를 갖는다.
하부층은 제어 막과 비교하여 상승된 에칭 선택도 및/또는 감소된 언더컷을 특징으로 할 수 있다. 다른 실시 예들에서, 하부층은 제어 막과 비교하여 감소된 라인 에지 및 라인 폭 거칠기 및/또는 감소된 도즈 대 사이즈를 특징으로 할 수 있다. 비제한적인 제어 막들은 포화된 하이드로카본 전구체들로 형성되고, 펄싱된 바이어스 내에 형성되고, 그리고/또는 도펀트 없이 형성된 것을 포함한다. 일 예에서, 제어 막은 메탄으로 형성된 AHM이다. 또 다른 예에서, 제어 막은 아세틸렌으로 형성된 AHM이다.
패터닝 구조체들
본 명세서의 패터닝 구조체들 (또는 막들) 은 하드 마스크 또는 기판의 표면 상의 이미징 층, 뿐만 아니라 이미징 층 아래의 하부층을 포함할 수 있다. 특정한 실시 예들에서, 하부층의 존재는 이미징 층의 상승된 복사 흡수율 및/또는 패터닝 성능을 제공한다.
일반적으로, 층을 통한 광자 흡수는 깊이 종속적이다. 균질한 층 또는 막이 복사선에 노출될 때, 동일한 층의 상부 부분과 비교하여, 보다 적은 광자들이 하부 부분에 도달하기 때문에, 이 층의 하부 부분은 보다 낮은 복사선량에 노출된다. 따라서, 층의 전체 깊이를 관통하여 충분하고 균일한 노출을 보장하기 위해, 층은 복사선의 충분한 투과를 제공해야 한다. 특정한 실시 예들에서, 본 명세서에 기술된 하부층은 이미징 층을 통해 증가된 복사 흡수를 제공한다. 게다가, 일부 예들에서, 하부층은 패터닝 구조체의 하부 부분들을 보다 잘 노출할 수 있는 보다 많은 2 차 전자들을 효과적으로 생성할 수 있다.
하부층 및 이미징 층 중 하나 또는 모두는 고-흡수성 엘리먼트를 포함할 수 있다. 일 예에서, 하부층 및 이미징 층 모두는 EUV 흡수를 위해 1x107 ㎠/mol 이상과 같은 고 흡수성 엘리먼트를 포함한다. 흡수 층 및 이미징 층 각각의 엘리먼트는 동일하거나 상이할 수 있다. 특정한 실시 예들에서, 향상된 접착은 이미징 층 및/또는 하부층 내에 목표된 패터닝된 피처들을 제공하기 위해 필요한 복사선량을 낮출 수 있다.
이미징 층은 본 명세서에 기술된 바와 같이, 임의의 유용한 레지스트, 예컨대 금속-유기 기반 레지스트들을 포함할 수 있다. 채용되는 포토레지스트 재료가 상당한 무기 컴포넌트를 가질 때, 예를 들어, 이는 주로 금속 옥사이드 구조 (framework) 를 나타낼 때, 하부층은 유리하게 탄소-기반 막일 수도 있다. 상당한 토포그래피 (topography) 를 생성하는 패터닝될 기판 상에 디바이스 피처들이 존재하는 경우들에서, 하부층의 또 다른 중요한 기능은 후속하는 패터닝 단계가 포커싱된 패턴의 모든 영역들을 갖는 편평한 표면 상에서 수행될 수도 있도록 기존의 토포그래피를 오버 코팅하고 평탄화하는 것일 수 있다. 이러한 적용 예들에 대해, 하부층 (또는 복수의 하부층들 중 적어도 하나) 은 건식 증착 또는 스핀-코팅 기법들을 사용하여 도포될 수도 있다. 층은 탄소-기반 조성 및 수소-기반 조성을 갖는 다양한 AHM 막들을 포함할 수도 있고, 텅스텐, 붕소, 질소, 또는 불소와 같은 부가적인 원소들로 도핑될 수도 있다.
하부층 및 이미징 층은 단독으로 또는 함께 막으로 간주될 수 있다. 일부 실시 예들에서, 막은 복사선 감응성 막 (예를 들어, EUV-감응 막) 이다. 이 막은 결국 본 명세서에 더 기술된 바와 같이 EUV 레지스트로서 역할을 할 수 있다. 특정한 실시 예들에서, 층 또는 막은 복사선 (예를 들어, EUV 또는 DUV 복사선) 에 의해 제거, 절단, 또는 교차-결합될 수 있는 하나 이상의 리간드들 (예를 들어, EUV 불안정 (labile) 리간드들) 을 포함할 수 있다.
전구체들은 복사선에 민감한 패터닝 가능한 막 (또는 패터닝 복사선-감응 막 또는 포토패터닝 가능한 막) 을 제공하도록 사용될 수 있다. 이러한 복사선은 패터닝된 마스크를 통해 조사함으로써 제공되어 패터닝된 복사선이 되는 EUV 복사선, DUV 복사선, 또는 UV 복사선을 포함할 수 있다. 막 자체는 이러한 복사선에 노출됨으로써, 막이 복사선 감응성 또는 감광성이도록 변경될 수 있다. 특정한 실시 예들에서, 전구체는 적어도 하나의 금속 중심을 포함하는 유기금속 화합물이다.
전구체는 임의의 유용한 수 및 타입의 리간드(들)를 가질 수 있다. 일부 실시 예들에서, 리간드는 대응-반응물질의 존재시 또는 패터닝된 복사선의 존재시 반응하는 능력을 특징으로 할 수 있다. 예를 들어, 전구체는 금속 중심들 사이에 연결들 (linkages) (예를 들어, -O- 연결) 을 도입할 수 있는, 대응-반응물질과 반응하는 리간드를 포함할 수 있다. 또 다른 예에서, 전구체는 패터닝된 복사선의 존재시 제거하는 리간드를 포함할 수 있다. 이러한 EUV 불안정한 리간드는 베타-수소를 갖는 분지형 또는 선형 알킬기들, 뿐만 아니라 화학식 (I) 또는 화학식 (II) 에서 R에 대해 본 명세서에 기술된 임의의 리간드를 포함할 수 있다.
전구체는 (예를 들어, 본 명세서에 기술된 바와 같이) 임의의 유용한 금속-함유 전구체, 예컨대 유기금속제 (organometallic agent), 금속 할라이드, 또는 캡핑제일 수 있다. 비제한적인 예에서, 전구체는 화학식 (I) 을 갖는 구조를 포함한다:
MaRb (I),
여기에서:
M은 높은 EUV 흡수 단면을 갖는 금속 또는 원자이고;
R 각각은 독립적으로 H, 할로, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 사이클로알킬, 선택 가능하게 치환된 사이클로알케닐, 선택 가능하게 치환된 알케닐, 선택 가능하게 치환된 알키닐, 선택 가능하게 치환된 알콕시, 선택 가능하게 치환된 알카노일옥시, 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 비스(트리알킬실릴)아미노, 선택 가능하게 치환된 트리알킬실릴, 옥소, 음이온성 리간드, 중성 리간드, 또는 여러 자리 리간드 (multidentate ligand) 이고;
a ≥ 1; 그리고 b ≥ 1이다.
또 다른 비제한적인 예에서, 전구체는 화학식 (II) 을 갖는 구조를 포함한다:
MaRbLc (II),
여기에서:
M은 높은 EUV 흡수 단면을 갖는 금속 또는 원자이고;
R 각각은 독립적으로 할로, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 알콕시, 또는 L이고;
L 각각은 독립적으로, 리간드, 음이온성 리간드, 중성 리간드, 여러 자리 리간드, 이온, 또는 대응-반응물질과 반응성인 다른 모이어티이고, 여기서 R 및 L은 M과 함께 취해져 선택 가능하게 헤테로사이클릴기 (heterocyclyl group) 를 형성할 수 있거나 R 및 L은 함께 취해져 선택 가능하게 헤테로사이클릴기를 형성할 수 있고;
a ≥ 1; b ≥ 1; 그리고 c ≥ 1이다.
일부 실시 예들에서, 전구체 내 리간드 각각은 대응-반응물질과 반응성인 리간드일 수 있다. 일 예에서, 전구체는 화학식 (II) 을 갖는 구조를 포함하고, 여기서 R 각각은 독립적으로, L이다. 또 다른 예에서, 전구체는 화학식 (IIa) 을 갖는 구조를 포함한다:
MaLc (IIa),
여기에서:
M은 높은 EUV 흡수 단면을 갖는 금속 또는 원자이고;
L 각각은 독립적으로, 리간드, 이온, 또는 대응-반응물질과 반응성인 다른 모이어티이고, 여기서 2 개의 L은 함께 취해져 선택 가능하게 헤테로사이클릴기를 형성할 수 있고;
a ≥ 1; 그리고 c ≥ 1이다.
화학식 (IIa) 의 특정한 실시 예들에서, a는 1이다. 추가 실시 예들에서, c는 2, 3, 또는 4이다.
본 명세서의 임의의 화학식에 대해, M은 고 패터닝 복사선 흡수 단면적 (예를 들어, 1x107 ㎠/mol 이상인 EUV 흡수 단면적) 을 갖는 금속 또는 준금속 또는 원자일 수 있다. 일부 실시 예들에서, M은 주석 (Sn), 비스무트 (Bi), 텔루륨 (Te), 세슘 (Cs), 안티몬 (Sb), 인듐 (In), 몰리브덴 (Mo), 하프늄 (Hf), 요오드 (I), 지르코늄 (Zr), 철 (Fe), 코발트 (Co), 니켈 (Ni), 구리 (Cu), 아연 (Zn), 은 (Ag), 백금 (Pt) 및 납 (Pb) 이다. 추가의 실시 예들에서, 화학식 (I), 화학식 (II), 또는 화학식 (IIa) 에서 M은 Sn이고, a는 1이고, c는 4이다. 다른 실시 예들에서, 화학식 (I), 화학식 (II), 또는 화학식 (IIa) 에서 M은 Sn이고, a는 1이고, c는 2이다. 특정한 실시 예들에서, M은 Sn(II) (예를 들어, 화학식 (I), 화학식 (II), 또는 화학식 (IIa)) 이고, 이에 따라 Sn(II)-기반 화합물인 전구체를 제공한다. 다른 실시 예들에서, M은 (예를 들어, 화학식 (I), 화학식 (II), 또는 화학식 (IIa) 에서) Sn(IV) 이고, 이에 따라 Sn(IV)-기반 화합물인 전구체를 제공한다. 특정한 실시 예들에서, 전구체는 (예를 들어, 페리오데이트 (periodate) 에서와 같이) 요오드를 포함한다.
본 명세서의 임의의 화학식에 대해, R 각각은 독립적으로 H, 할로, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 사이클로알킬, 선택 가능하게 치환된 사이클로알케닐, 선택 가능하게 치환된 알케닐, 선택 가능하게 치환된 알키닐, 선택 가능하게 치환된 알콕시 (예를 들어, OR1, 여기서 R1은 선택 가능하게 치환된 알킬일 수 있음), 선택 가능하게 치환된 알카노일옥시, 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 비스(트리알킬실릴)아미노, 선택 가능하게 치환된 트리알킬실릴, 옥소, 음이온성 리간드 (예를 들어, 옥시도, 클로리도, 하이드리도, 아세테이트, 이미노디아세테이트, 프로파노에이트, 부타노에이트, 벤조에이트, 등), 중성 리간드, 또는 여러 자리 리간드이다.
일부 실시 예들에서, 선택 가능하게 치환된 아미노는 -NR1R2이고, 여기서 R1 및 R2 각각은 독립적으로 H 또는 알킬이고; 또는 여기서 R1 및 R2는 각각이 부착된 질소 원자와 함께 본 명세서에 규정된 바와 같이, 헤테로사이클릴기를 형성한다. 다른 실시 예들에서, 선택 가능하게 치환된 비스(트리알킬실릴)아미노는 -N(SiR1R2R3)2이고, 여기서 R1, R2, 및 R3 각각은 독립적으로, 선택 가능하게 치환된 알킬이다. 또 다른 실시 예들에서, 선택 가능하게 치환된 트리알킬실릴은 -SiR1R2R3이고, 여기서 R1, R2, 및 R3 각각은 독립적으로, 선택 가능하게 치환된 알킬이다.
다른 실시 예들에서, 화학식은 -NR1R2인 제 1 R (또는 제 1 L) 및 -NR1R2인 제 2 R (또는 제 2 L) 을 포함하고, 여기서 R1 및 R2 각각은 독립적으로 H 또는 선택 가능하게 치환된 알킬이고; 또는 질소 원자 및 각각이 부착된 금속 원자와 함께 제 1 R (또는 제 1 L) 로부터의 R1 및 제 2 R (또는 제 2 L) 로부터의 R1은 본 명세서에 규정된 바와 같이, 헤테로사이클릴기를 형성한다. 또 다른 실시 예들에서, 화학식은 -OR1인 제 1 R 및 -OR1인 제 2 R을 포함하고, 여기서 R1 각각은 독립적으로, H 또는 임의적으로 치환된 알킬이고; 또는 제 1 R로부터의 R1 및 제 2 R로부터의 R1은 각각이 부착된 산소 원자 및 금속 원자와 함께, 본 명세서에 정의된 바와 같이, 헤테로사이클릴기를 형성한다.
일부 실시 예들에서, R 또는 L 중 적어도 하나 (예를 들어, 화학식 (I), 화학식 (II), 또는 화학식 (IIa)) 는 선택 가능하게 치환된 알킬이다. 비제한적인 알킬기들은 예를 들어, CnH2n +1을 포함하고, 여기서 n은 1, 2, 3 이상, 예컨대 메틸, 에틸, n-프로필, 이소프로필, n-부틸, 이소부틸, s-부틸, 또는 t-부틸을 포함한다. 다양한 실시예들에서, R 또는 L은 적어도 하나의 베타-수소 또는 베타-불소를 갖는다. 다른 실시 예들에서, R 또는 L 중 적어도 하나는 할로-치환된 알킬 (예를 들어, 플루오로-치환된 알킬) 이다.
일부 실시 예들에서, (예를 들어, 화학식 (I), 화학식 (II), 또는 화학식 (IIa) 에서) R 또는 L 각각 또는 적어도 하나의 R 또는 L은 할로이다. 특히, 전구체는 금속 할라이드일 수 있다. 비제한적인 금속 할라이드들은 SnBr4, SnCl4, SnI4, 및 SbCl3을 포함한다.
일부 실시 예들에서, (예를 들어, 화학식 (I), 화학식 (II), 또는 화학식 (IIa) 에서) R 또는 L 각각 또는 적어도 하나의 R 또는 L은 질소 원자를 포함할 수 있다. 특정한 실시 예들에서, 하나 이상의 R 또는 L은 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 모노알킬아미노 (예를 들어, -NR1H, 여기서 R1은 선택 가능하게 치환된 알킬임), 선택 가능하게 치환된 디알킬아미노 (예를 들어, -NR1R2, 여기서 R1 및 R2 각각은 독립적으로, 선택 가능하게 치환된 알킬임), 또는 선택 가능하게 치환된 비스(트리알킬실릴)아미노이다. 비제한적인 R 및 L 치환기들은 예를 들어, -NMe2, -NHMe, -NEt2, -NHEt, -NMeEt, -N(t-Bu)-[CHCH3]2-N(t-Bu)- (tbba), -N(SiMe3)2, 및 -N(SiEt3)2을 포함할 수 있다.
일부 실시 예들에서, (예를 들어, 화학식 (I), 화학식 (II), 또는 화학식 (IIa) 에서) R 또는 L 각각 또는 적어도 하나의 R 또는 L은 실리콘 원자를 포함할 수 있다. 특정한 실시 예들에서, 하나 이상의 R 또는 L은 선택 가능하게 치환된 트리알킬실릴 또는 선택 가능하게 치환된 비스(트리알킬실릴)아미노일 수 있다. 비제한적인 R 또는 L 치환기들은 예를 들어, -SiMe3, -SiEt3, -N(SiMe3)2, 및 -N(SiEt3)2를 포함할 수 있다.
일부 실시 예들에서, (예를 들어, 화학식 (I), 화학식 (II), 또는 화학식 (IIa) 에서) R 또는 L 각각 또는 적어도 하나의 R 또는 L은 산소 원자를 포함할 수 있다. 특정한 실시 예들에서, 하나 이상의 R 또는 L은 선택 가능하게 치환된 알콕시 또는 선택 가능하게 치환된 알카노일옥시일 수 있다. 비제한적인 R 또는 L 치환기들은 예를 들어, 메톡시, 에톡시, 이소프로폭시 (i-PrO), t-부톡시 (t-BuO), 아세테이트 (-OC(O)-CH3), 및 -O=C(CH3)-CH=C(CH3)-O- (acac) 를 포함한다.
본 명세서의 임의의 화학식들은 하나 이상의 중성 리간드들을 포함할 수 있다. 비제한적인 중성 리간드들은 선택 가능하게 치환된 아민 (예를 들어, NR3 또는 R2N-Ak-NR2, 여기서 R 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 하이드로카빌, 또는 선택 가능하게 치환된 아릴일 수 있고, Ak는 선택 가능하게 치환된 알킬렌임), 선택 가능하게 치환된 포스핀 (예를 들어, PR3 또는 R2P-Ak-PR2, 여기서 R 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 하이드로카빌, 또는 선택 가능하게 치환된 아릴일 수 있고, 그리고 Ak는 선택 가능하게 치환된 알킬렌임), 선택 가능하게 치환된 에테르 (예를 들어, OR2, 여기서 R 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 하이드로카빌, 또는 선택 가능하게 치환된 아릴일 수 있음), 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 알켄, 선택 가능하게 치환된 알킨, 선택 가능하게 치환된 벤젠, 옥소, 또는 일산화탄소를 포함한다.
본 명세서의 임의의 화학식들은 하나 이상의 여러 자리 (예를 들어, 두자리) 리간드들을 포함할 수 있다. 비제한적인 여러 자리 리간드는 디케토네이트 (예를 들어, 아세틸아세토네이트 (acac) 또는 -OC(R1)-Ak-(R1)CO- 또는 -OC(R1)-C(R2)-(R1)CO-), 두자리 킬레이트 이질소 (예를 들어, -N(R1)-Ak-N(R1)- 또는 -N(R3)-CR4-CR2=N(R1)-), 방향족 (예를 들어, -Ar-), 아미디네이트 (예를 들어, -N(R1)-C(R2)-N(R1)-), 아미노알콕시드 (예를 들어, -N(R1)-Ak-O- 또는 -N(R1)2-Ak-O-), 디아자디에닐 (예를 들어, -N(R1)-C(R2)-C(R2)-N(R1)-), 사이클로펜타디에닐, 피라졸레이트, 선택 가능하게 치환된 헤테로사이클릴, 선택 가능하게 치환된 알킬렌, 또는 선택 가능하게 치환된 헤테로알킬렌을 포함한다. 특정한 실시 예들에서, R1 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 할로알킬, 또는 선택 가능하게 치환된 아릴이고; R2 각각은 독립적으로 H 또는 선택 가능하게 치환된 알킬이고; R3 및 R4는 함께 취해질 때 선택 가능하게 치환된 헤테로사이클릴을 형성하고; Ak는 선택 가능하게 치환된 알킬렌이고; Ar은 선택 가능하게 치환된 아릴렌이다.
특정한 실시 예들에서, 전구체는 주석을 포함한다. 일부 실시 예들에서, 주석 전구체는 SnR 또는 SnR2 또는 SnR4 또는 R3SnSnR3을 포함하고, 여기서 R 각각은 독립적으로 H, 할로, 선택 가능하게 치환된 C1-12 알킬, 선택 가능하게 치환된 C1-12 알콕시, 선택 가능하게 치환된 아미노 (예를 들어, -NR1R2), 선택 가능하게 치환된 C2-12 알케닐, 선택 가능하게 치환된 C2-12 알키닐, 선택 가능하게 치환된 C3-8 사이클로알킬, 선택 가능하게 치환된 아릴, 사이클로펜타디에닐, 선택 가능하게 치환된 비스(트리알킬실릴)아미노 (예를 들어, -N(SiR1R2R3)2), 선택 가능하게 치환된 알카노일옥시 (예를 들어, 아세테이트), 디케토네이트 (예를 들어, -OC(R1)-Ak-(R2)CO-), 또는 두자리 킬레이팅 이질소 (예를 들어, -N(R1)-Ak-N(R1)-) 이다. 특정한 실시 예들에서, R1, R2, 및 R3 각각은 독립적으로 H 또는 C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 또는 네오펜틸) 이고; 그리고 Ak는 선택 가능하게 치환된 C1-6 알킬렌이다. 특정한 실시 예들에서, R 각각은 독립적으로 할로, 선택 가능하게 치환된 C1-12 알콕시, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 아릴, 사이클로펜타디에닐, 또는 디케토네이트이다. 비제한적인 주석 전구체들은 SnF2, SnH4, SnBr4, SnCl4, SnI4, 테트라메틸 주석 (SnMe4), 테트라에틸 주석 (SnEt4), 트리메틸 주석 클로라이드 (SnMe3Cl), 디메틸 주석 디클로라이드 (SnMe2Cl2), 메틸 주석 트리클로라이드 (SnMeCl3), 테트라알릴 주석, 테트라비닐 주석, 헥사페닐 이주석 (IV) (Ph3Sn-SnPh3, 여기서 Ph는 페닐임), 디부틸디페닐 주석 (SnBu2Ph2), 트리메틸(페닐) 주석 (SnMe3Ph), 트리메틸(페닐에티닐) 주석, 트리사이클로헥실 주석 하이드라이드, 트리부틸 주석 하이드라이드 (SnBu3H), 디부틸 주석 디아세테이트 (SnBu2(CH3COO)2), 주석(II) 아세틸아세토네이트 (Sn(acac)2), SnBu3(OEt), SnBu2(OMe)2, SnBu3(OMe), Sn(t-BuO)4, Sn(n-Bu)(t-BuO)3, 테트라키스(디메틸아미노)주석 (Sn(NMeEt)4), 테트라키스(에틸메틸아미노)주석 (Sn(NMeEt)4), 테트라키스(디에틸아미노)주석 (IV) (Sn(Me)3(NMe2), Sn(i-Pr)(NMe2)3, Sn(n-Bu)(NMe2)3, Sn(s-Bu)(NMe2)3, Sn(i-Bu)(NMe2)3, Sn(t-Bu)(NMe2)3, Sn(t-Bu)2(NMe2)2, Sn(t-Bu)(NEt2)3, Sn(tbba), Sn(II) (1,3-비스(1,1-디메틸에틸)-4,5-디메틸-(4R,5R)-1,3,2-디아자스탄놀리딘-2-일리덴), 또는 비스[비스(트리메틸실릴)아미노] 주석 (Sn[N(SiMe3)2]2) 을 포함한다.
다른 실시 예들에서, 전구체는 BiR3에서와 같은 비스무트를 포함하고, 여기서 R 각각은 독립적으로 할로, 선택 가능하게 치환된 C1-12 알킬, 모노-C1-12 알킬아미노 (예를 들어, -NR1H), 디-C1-12 알킬아미노 (예를 들어, -NR1R2), 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 비스(트리알킬실릴)아미노 (예를 들어, -N(SiR1R2R3)2), 또는 디케토네이트 (예를 들어, -OC(R4)-Ak-(R5)CO-) 이다. 특정한 실시 예들에서, R1, R2, 및 R3 각각은 독립적으로, C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 또는 네오펜틸); R4 및 R5 각각은 독립적으로 H 또는 선택 가능하게 치환된 C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 또는 네오펜틸) 이다. 비제한적인 비스무트 전구체는 BiCl3, BiMe3, BiPh3, Bi(NMe2)3, Bi[N(SiMe3)2]3, 및 Bi(thd)3을 포함하고, 여기서 thd는 2,2,6,6-테트라메틸-3,5-헵탄디오네이트이다.
다른 실시 예들에서, 전구체는 텔루륨, 예컨대 TeR2 또는 TeR4를 포함하고, 여기서 R 각각은 독립적으로 할로, 선택 가능하게 치환된 C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 및 네오펜틸), 선택 가능하게 치환된 C1-12 알콕시, 선택 가능하게 치환된 아릴, 하이드록실, 옥소, 또는 선택 가능하게 치환된 트리알킬실릴이다. 비제한적인 텔루륨 전구체들은 디메틸 텔루륨 (TeMe2), 디 에틸 텔루륨 (TeEt2), 디(n-부틸) 텔루륨 (Te(n-Bu)2), 디(이소프로필) 텔루륨 (Te(i-Pr)2), 디(t-부틸) 텔루륨 (Te(t-Bu)2), t-부틸 텔루륨 하이드라이드 (Te(t-Bu)(H)), Te(OEt)4, 비스(트리메틸실릴)텔루륨 (Te(SiMe3)2), 및 비스(트리에틸실릴) 텔루륨 (Te(SiEt3)2) 을 포함한다.
전구체는 안티몬, 예컨대 SbR3을 포함할 수 있고, 여기서 R 각각은 독립적으로 할로, 선택 가능하게 치환된 C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 및 네오펜틸), 선택 가능하게 치환된 C1-12 알콕시, 또는 선택 가능하게 치환된 아미노 (예를 들어, -NR1R2, 여기서 R1 및 R2 각각은 독립적으로 H 또는 선택 가능하게 치환된 C1-12 알킬임) 이다. 비제한적인 안티몬 전구체들은 SbCl3, Sb(OEt)3, Sb(On-Bu)3, 및 Sb(NMe2)3을 포함한다.
다른 전구체들은 InR3에서와 같은 인듐 전구체들을 포함하고, 여기서 R 각각은 독립적으로, 할로, 선택 가능하게 치환된 C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 및 네오펜틸), 또는 디케토네이트 (예를 들어, -OC(R4)-Ak-(R5)CO-, 여기서 R4 및 R5 각각은 독립적으로 H 또는 C1-12 알킬임) 이다. 비제한적인 인듐 전구체들은 InCp을 포함하고, Cp는 사이클로펜타디에닐, InCl3, InMe3, In(acac)3, In(CF3COCHCOCH3)3, 및 In(thd)3이다.
전구체는 요오드, 예컨대 RI를 포함할 수 있고, 여기서 R은 요오드 (I) 또는 선택 가능하게 치환된 C1-12 알킬, 또는 페리오데이트이다. 비제한적인 요오드 전구체들은 요오드 가스 (I2), 디요오드메탄 (CH2I2), 및 페리오데이트를 포함한다.
또 다른 전구체들 및 비제한적인 치환체들이 본 명세서에 기술된다. 예를 들어, 전구체들은 상기 기술된 바와 같이, 화학식 (I), 화학식 (II), 또는 화학식 (IIa); 또는 이하에 기술된 바와 같은 화학식 (III), 화학식 (IV), 화학식 (V), 화학식 (VI), 화학식 (VII), 또는 화학식 (VIII) 의 구조를 갖는 임의의 전구체일 수 있다. 본 명세서에 기술된 바와 같은 임의의 치환기들 M, R, X, 또는 L은 임의의 화학식 (I), 화학식 (II), 화학식 (IIa), 화학식 (III), 화학식 (IV), 화학식 (V), 화학식 (VI), 화학식 (VII), 또는 화학식 (VIII) 에 채용될 수 있다.
또 다른 예시적인 EUV-감응 재료들, 뿐만 아니라 프로세싱 방법들 및 장치들이 미국 특허 제 9,996,004 호; 국제 특허 출원 공개 번호 WO 2020/102085; 및 국제 특허 출원 공개 번호 WO 2019/217749에 기술되어 있고, 이들 각각은 전체가 참조로서 본 명세서에 인용된다.
본 명세서에 기술된 바와 같이, 본 명세서의 막들, 층들, 및 방법들은 임의의 유용한 전구체와 함께 채용될 수 있다. 일부 경우들에서, 전구체는 다음 화학식 (III) 을 갖는 금속 할라이드를 포함한다:
MXn (III),
M은 금속이고, X는 할로이고, n은 M의 선택에 따라 2 내지 4이다. M에 대한 예시적인 금속들은 Sn, Te, Bi, 또는 Sb를 포함한다. 예시적인 금속 할라이드들은 SnBr4, SnCl4, SnI4, 및 SbCl3을 포함한다.
또 다른 비제한적인 전구체는 화학식 (IV) 을 갖는 구조를 포함한다:
MRn (IV),
M은 금속이고; R 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 아미노 (예를 들어, -NR2, 여기서 R 각각은 독립적으로 알킬임), 선택 가능하게 치환된 비스(트리알킬실릴)아미노 (예를 들어, -N(SiR3)2, 여기서 R 각각은 독립적으로 알킬임), 또는 선택 가능하게 치환된 트리알킬실릴 (예를 들어, -SiR3, 여기서 R 각각은 독립적으로 알킬임); 그리고 n은 M의 선택에 따라 2 내지 4이다. M에 대한 예시적인 금속들은 Sn, Te, Bi, 또는 Sb를 포함한다. 알킬기는 CnH2n +1일 수도 있고, 여기서 n은 1, 2, 3, 이상이다. 예시적인 유기금속제들은 SnMe4, SnEt4, TeRn, RTeR, t-부틸 텔루륨 하이드라이드 (Te(t-Bu)(H)), 디메틸 텔루륨 (TeMe2), 디(t-부틸) 텔루륨 (Te(t-Bu)2), 디(이소프로필)텔루륨 (Te(i-Pr)2), 비스(트리메틸실릴)텔루륨 (Te(SiMe3)2), 비스(트리에틸실릴) 텔루륨 (Te(SiEt3)2), 트리스(비스(트리메틸실릴)아미도) 비스무트 (Bi[N(SiMe3)2]3), Sb(NMe2)3, 등을 포함한다.
또 다른 비제한적인 전구체는 다음 화학식 (V) 을 갖는 캡핑제를 포함할 수 있다:
MLn (V),
M은 금속이고; L 각각은 독립적으로 선택 가능하게 치환된 알킬, 아미노 (예를 들어, -NR1R2, 여기서 R1 및 R2 각각은 본 명세서에 기술된 바와 같이 H 또는 알킬일 수 있음), 알콕시 (예를 들어, -OR, 여기서 R은 본 명세서에 기술된 바와 같이, 알킬임) 할로, 또는 다른 유기 치환기를 포함하고; 그리고 n은 M의 선택에 따라 2 내지 4이다. M에 대한 예시적인 금속들은 Sn, Te, Bi, 또는 Sb를 포함한다. 예시적인 리간드들은 디알킬아미노 (예를 들어, 디메틸아미노, 메틸에틸아미노, 및 디에틸아미노), 알콕시 (예를 들어, t-부톡시 및 이소프로폭시), 할로 (예를 들어, F, Cl, Br, 및 I), 또는 다른 유기 치환기들 (예를 들어, 아세틸아세톤 또는 N 2 ,N 3-디-터트부틸-부탄-2,3-디아미노) 을 포함한다. 비제한적인 캡핑제들은 SnCl4; SnI4; Sn(NR2)4을 포함하고, 여기서 R 각각은 독립적으로 메틸 또는 에틸이고; 또는 Sn(t-BuO)4이다. 일부 실시 예들에서, 복수의 타입들의 리간드들이 존재한다.
전구체는 다음 화학식 (VI) 을 갖는 하이드로카빌-치환된 캡핑제를 포함할 수 있다:
RnMXm (VI),
여기서 M은 금속이고, R은 C2-10 알킬 또는 베타-수소를 갖는 치환된 알킬이고, X는 노출된 하이드록실기들의 하이드록실기와 반응 시 적합한 이탈기이다. 다양한 실시 예들에서, n = 1 내지 3, 그리고, m > 0 (또는 m ≥ 1) 인 동안, m = 4 - n, 3 - n, 또는 2 - n이다. 예를 들어, R은 t-부틸, t-펜틸, t-헥실, 사이클로헥실, 이소프로필, 이소부틸, sec-부틸, n-부틸, n-펜틸, 또는 n-헥실, 베타 위치에 이종 원자 치환기를 갖는 이의 유도체들일 수도 있다. 적합한 이종 원자들은 할로겐 (F, Cl, Br, 또는 I), 또는 산소 (-OH 또는 -OR) 를 포함한다. X는 디알킬아미노 (예를 들어, 디메틸아미노, 메틸에틸아미노, 또는 디에틸아미노), 알콕시 (예를 들어, t-부톡시, 이소프로폭시), 할로 (예를 들어, F, Cl, Br, 또는 I), 또는 또 다른 유기 리간드일 수도 있다. 하이드로카빌-치환된 캡핑제들의 예들은 t-부틸트리스(디메틸아미노) 주석 (Sn(t-Bu)(NMe2)3), n-부틸트리스(디메틸아미노) 주석 (Sn(n-Bu)(NMe2)3), t-부틸트리스(디에틸아미노) 주석 (Sn(t-Bu)(NEt2)3), 디(t-부틸)디(디메틸아미노) 주석 (Sn(t-Bu)2(NMe2)2), sec-부틸트리스(디메틸아미노) 주석 (Sn(s-Bu)(NMe2)3), n-펜틸트리스(디메틸아미노) 주석 (Sn(n-pentyl)(NMe2)3), i-부틸트리스(디메틸아미노) 주석 (Sn(i-Bu)(NMe2)3), i-프로필트리스(디메틸아미노) 주석 (Sn(i-Pr)(NMe2)3), t-부틸트리스(t-부톡시) 주석 (Sn(t-Bu)(t-BuO)3), n-부틸(트리스(t-부톡시) 주석 (Sn(n-Bu)(t-BuO)3), 또는 이소프로필트리스(t-부톡시) 주석 (Sn(i-Pr)(t-BuO)3) 을 포함한다.
다양한 실시예들에서, 전구체는 증기-상 반응에서 살아남을 수 있는 금속 원자 각각 상에 적어도 하나의 알킬기를 포함하지만, 금속 원자에 배위결합된 다른 리간드들 (ligands) 또는 이온들은 대응-반응물질에 의해 대체될 수 있다. 따라서, 또 다른 비제한적인 전구체는 화학식 (VII) 을 갖는 유기금속제를 포함한다:
MaRbLc (VII),
M은 금속이고; R은 선택 가능하게 치환된 알킬이고; L은 대응-반응물질과 반응성인 리간드, 이온, 또는 다른 모이어티이고; a ≥ 1; b ≥ 1; 그리고 c ≥ 1이다. 특정한 실시 예들에서, a = 1, 그리고 b + c = 4이다. 일부 실시 예들에서, M은 Sn, Te, Bi, 또는 Sb이다. 특정한 실시 예들에서, L 각각은 독립적으로 아미노 (예를 들어, -NR1R2, 여기서 R1 및 R2 각각은 본 명세서에 기술된 임의의, H 또는 알킬일 수 있음), 알콕시 (예를 들어, -OR, 여기서 R은 본 명세서에 기술된 임의의, 알킬), 또는 할로 (예를 들어, F, Cl, Br, 또는 I) 이다. 예시적인 제제들은 SnMe3Cl, SnMe2Cl2, SnMeCl3, SnMe(NMe2)3, SnMe2(NMe2)2, SnMe3(NMe2), 등을 포함한다.
다른 실시 예들에서, 비제한적인 전구체는 화학식 (VIII) 을 갖는 유기금속제를 포함한다:
MaLc (VIII),
M은 금속이고; L은 대응-반응물질과 반응성인 리간드, 이온, 또는 다른 모이어티이고; a ≥ 1; 그리고 c ≥ 1이다. 특정한 실시 예들에서, c = n - 1이고, n은 2, 3, 또는 4이다. 일부 실시 예들에서, M은 Sn, Te, Bi, 또는 Sb이다. 대응-반응물질들은 바람직하게 화학적 결합을 통해 적어도 2 개의 금속 원자들을 연결하도록 (link) 반응성 모이어티들, 리간드들 또는 이온들 (예를 들어, 본 명세서의 화학식들에서 L) 을 대체하는 능력을 갖는다.
본 명세서의 임의의 실시 예에서, R은 선택 가능하게 치환된 알킬 (예를 들어, C1-10 알킬) 일 수 있다. 일 실시 예에서, 알킬은 하나 이상의 할로 (예를 들어, F, Cl, Br, 또는 I과 같은 1, 2, 3, 4 또는 그 이상의 할로를 포함하는 할로-치환된 C1-10 알킬) 로 치환된다. 예시적인 R 치환기들은 CnH2n +1, 바람직하게 n ≥ 3; 및 CnFxH(2n+1-x), 여기서 2n+1 ≤ x ≤ 1이다. 다양한 실시 예들에서, R은 적어도 하나의 베타-수소 또는 베타-불소를 갖는다. 예를 들어, R은 i-프로필, n-프로필, t-부틸, i-부틸, n-부틸, sec-부틸, n-펜틸, i-펜틸, t-펜틸, sec-펜틸, 및 이들의 혼합물들로 구성된 그룹으로부터 선택될 수도 있다.
본 명세서의 임의의 실시 예에서, L은 아미노 (예를 들어, -NR1R2, 여기서 R1 및 R2 각각은 임의의 본 명세서에 기술된 바와 같은 H 또는 알킬일 수 있음), 알콕시 (예를 들어, -OR, 여기서 R은 임의의 본 명세서에 기술된 바와 같은 알킬), 카르복실레이트들, 할로 (예를 들어, F, Cl, Br, 또는 I), 및 이들의 혼합물로 구성된 그룹으로부터 선택된 모이어티와 같은, M-OH 모이어티를 생성하기 위해 대응-반응물질에 의해 용이하게 치환될 수도 있다.
대응-반응물질들은 바람직하게 화학적 결합을 통해 적어도 2 개의 금속 원자들을 연결하도록 (link) 반응성 모이어티들, 리간드들 또는 이온들 (예를 들어, 본 명세서의 화학식들에서 L) 을 대체하는 능력을 갖는다. 예시적인 대응-반응물질들은 산소 (O2), 오존 (O3), 물, 페록사이드들 (예를 들어, 수소 페록사이드 (hydrogen peroxide)), 산소 플라즈마, 물 플라즈마, 알코올들, 디하이드록시 알코올들, 폴리하이드록시 알코올들, 플루오르화된 디하이드록시 알코올, 플루오르화된 폴리하이드록시 알코올들, 플루오르화된 글리콜들, 포름산, 및 하이드록실 모이어티들의 다른 소스들, 뿐만 아니라 이들의 조합들과 같은 산소-함유 대응-반응물질들을 포함한다. 다양한 실시 예들에서, 대응-반응물질은 이웃하는 금속 원자들 사이에 산소 브리지들 (bridges) 을 형성함으로써 전구체와 반응한다. 다른 잠재적인 대응-반응물질들은 황 브리지들을 통해 금속 원자들을 교차 결합시킬 수 있는 수소 설파이드 및 수소 디설파이드 및 텔루륨 브리지들을 통해 금속 원자들을 교차 결합할 수 있는 비스(트리메틸실릴)텔루륨을 포함한다. 이에 더하여, 수소 아이오다이드는 요오드를 막 내로 통합시키기 위해 활용될 수도 있다.
또 다른 비제한적인 대응-반응물질들은 화학식 ZR2를 갖는 칼코게나이드 전구체를 포함하고, 여기서: Z는 황, 셀레늄 또는 텔루륨이고; 그리고 R 각각은 독립적으로 H, 선택 가능하게 치환된 알킬 (예를 들어, 메틸, 에틸, n-프로필, 이소프로필, n-부틸, t-부틸, 등), 선택 가능하게 치환된 알케닐, 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 알콕시, 또는 선택 가능하게 치환된 트리알킬실릴이다.
예시적인 유기금속제들은 SnMeCl3, (N 2,N 3-디-t-부틸-부탄-2,3-디아미도) 주석(II) (Sn (tbba)), 비스(비스(트리메틸실릴)아미도) 주석(II), 테트라키스(디메틸아미노) 주석(IV) (Sn(NMe2)4), t-부틸 트리스(디메틸아미노) 주석 (Sn(t-butyl)(NMe2)3), i-부틸 트리스(디메틸아미노) 주석 (Sn(i-Bu)(NMe2)3), n-부틸 트리스(디메틸아미노) 주석 (Sn (n-Bu) (NMe2)3), sec-부틸 트리스 (디메틸아미노) 주석 (Sn(n-Bu)(NMe2)3), i-프로필(트리스)디메틸아미노 주석 (Sn(i-Pr)(NMe2)3), n-프로필 트리스(디에틸아미노) 주석 (Sn(n-Pr)(NEt2)3), 및 유사한 알킬(트리스)(t-부톡시) 주석 화합물들, 예컨대 t-부틸 트리스(t-부톡시) 주석 (Sn(t-Bu)(t-BuO)3) 를 포함한다. 일부 실시 예들에서, 유기금속 전구체들은 부분적으로 플루오르화된다.
일부 실시 예들에서, 패터닝 구조체는 노출된 하이드록실기들 또는 하이드록실-종단된 SnOx를 포함하는 표면 층 또는 막을 포함할 수 있다. 본 기술의 메커니즘, 기능 또는 실용성을 제한하지 않고, 하이드록실-종단된 SnOx 층은 패터닝 동안 기판의 표면 상에 증착된 재료들의 개선된 접착 및 EUV (또는 다른 복사선) 의 향상된 흡수와 같은 이점들을 제공할 수도 있다고 여겨진다. EUV 또는 다른 조사에 대한 감도 및 해상도는 두께, 밀도 및 단거리 전하 전달 특징들과 같은 SnOx 층의 특성들에 종속될 수도 있다. 다양한 실시 예들에서, SnOx 층은 0.1 ㎚ 내지 20 ㎚, 또는 0.2 ㎚ 내지 10 ㎚, 또는 0.5 ㎚ 내지 5 ㎚의 두께를 갖는다.
일부 실시 예들에서, 하이드록실-종단된 SnOx 층은 기상 증착에 의해 기판의 표면 상에 증착된다. 이러한 방법들에서, 증착은 Sn-Xn을 산소-함유 대응-반응 물질과 반응시키는 것을 포함하고, 여기서 X는 디알킬아미노 (예를 들어, 디메틸아미노, 메틸에틸아미노, 및 디에틸아미노), 알코올 (예를 들어, t-부톡시, 및 이소프로폭시), 할로겐 (예를 들어, F, Cl, Br, 및 I), 또는 다른 유기 치환기 (예를 들어, 아세틸아세톤, N 2 , N 3-디-터트부틸-부탄-2,3-디아미노) 와 같은 리간드이다. 예를 들어, Sn-Xn은 SnCl4, SnI4, 또는 Sn(NR2)4일 수도 있고, 여기서 R은 메틸 또는 에틸, 또는 Sn(t-BuO)4이다. 일부 실시 예들에서, 복수의 타입들의 리간드들이 존재한다. 산소-함유 대응-반응 물질은 물, 수소 페록사이드, 포름산, 알코올들, 산소, 오존, 및 이들의 조합들로 구성된 그룹으로부터 선택될 수도 있다.
적합한 기상 증착 프로세스들은 화학적 기상 증착 (Chemical Vapor Deposition; CVD), 원자 층 증착 (Atomic Layer Deposition; ALD), 플라즈마-향상된 화학적 기상 증착 (Plasma-Enhanced Chemical Vapor Deposition; PECVD), 또는 플라즈마-향상된 원자 층 증착 (Plasma-Enhanced Atomic Layer Deposition; PEALD) 를 포함한다. 일부 실시 예들에서, 증착은 Sn-Xn을 증착하고 산소-함유 대응-반응 물질을 증착하는 순환적 프로세스의 ALD이다. 일부 실시 예들에서, 증착은 Sn-Xn 및 산소-함유 대응-반응 물질을 동시에 흘림으로써 CVD이다. 이들 중에서 SnOx 층들을 증착하기 위해 본 명세서에 유용한 재료들 및 프로세스들은 Nazarov 등의, Atomic Layer Deposition of Tin Dioxide Nanofilms: A Review, 40 Rev. Adv. Mater. Sci . 262 (2015) 에 기술된다. SnOx 기판은 본 명세서에 기술된 바와 같이 CVD 또는 ALD 프로세스에 의해 증착될 수도 있다.
표면 활성화 동작은 미래의 동작들을 위해 표면을 활성화하도록 사용될 수도 있다. 예를 들어, SiOx 표면에 대해, 물 또는 산소/수소 플라즈마가 표면 상에 하이드록실기들을 생성하도록 사용될 수도 있다. 탄소-기반 표면 또는 하이드로카본-기반 표면에 대해, 물, 수소/산소 또는 CO2 플라즈마 또는 오존 처리가 카르복시산/또는 하이드록실기를 생성하도록 사용될 수도 있다. 이러한 방법들은 기판에 대한 레지스트 피처들의 접착을 개선하는데 중요하다는 것을 증명할 수 있고, 그렇지 않으면 현상을 위해 사용된 용매에서 디라미네이트되거나 (delaminate) 리프트 오프될 수도 있다.
접착은 또한 상호 작용에 이용 가능한 표면적을 증가시키기 위해 기판 표면에 조면화 (roughness) 를 유도함으로써 향상될 수도 있을뿐만 아니라 기계적 접착을 직접적으로 개선할 수도 있다. 예를 들어, 먼저 Ar 또는 다른 비반응성 이온 충격을 사용하는 스퍼터링 프로세스가 거친 표면들을 생성하도록 사용될 수 있다. 이어서, 표면은 상기 기술된 바와 같이 목표된 표면 작용기 (예를 들어, 하이드록실기 및/또는 카르복시산기) 로 종단될 수 있다. 탄소 상에서, CO2, O2, H2O (또는 H2 및 O2의 혼합물들) 과 같은 화학적으로 반응성인 산소-함유 플라즈마가 국소 불균일성을 갖는 막의 박층을 에칭하고 동시에 -OH, -OOH, 또는 -COOH 기들로 종단하도록 사용될 수 있는 조합 방법이 채용될 수 있다. 이는 바이어스를 사용하거나 사용하지 않고 행해질 수도 있다. 상기 언급된 표면 개질 전략들과 함께, 이 방법은 무기 금속-옥사이드 기반 레지스트에 대한 직접적인 접착을 위해 또는 추가의 기능화를 위한 중간 표면 개질로서 기판 표면의 표면 조면화 및 화학적 활성화의 이중 목적을 제공할 수 있다.
패터닝 구조체는 임의의 유용한 기판을 포함할 수 있다. 예를 들어, 인입 웨이퍼는 목표된 재료의 기판 표면으로 준비될 수 있고, 최상부 재료는 레지스트 패턴이 전사되는 층이다. 재료 선택은 집적에 따라 가변할 수도 있지만, 일반적으로 EUV 레지스트 또는 이미징 층에 대해 높은 선택도로 (즉, 훨씬 보다 빨리) 에칭될 수 있는 재료를 선택되도록 목표된다. 일부 실시 예들에서, 기판은 하부 반도체 재료의 리소그래피 에칭에 사용되는 하드 마스크이다. 하드 마스크는 비정질 탄소 (a-C), 주석 옥사이드 (예를 들어, SnOx), 실리콘 옥사이드 (예를 들어, SiO2를 포함하는 SiOx), 실리콘 옥시나이트라이드 (예를 들어, SiOxNy), 실리콘 옥시카바이드 (예를 들어, SiOxCy), 실리콘 나이트라이드 (예를 들어, Si3N4), 티타늄 옥사이드 (예를 들어, TiO2), 티타늄 나이트라이드 (예를 들어, TiN), 텅스텐 (예를 들어, W), 도핑된 탄소 (예를 들어, W-도핑된 C), 텅스텐 옥사이드 (예를 들어, WOx), 하프늄 옥사이드 (예를 들어, HfO2), 지르코늄 옥사이드 (예를 들어, ZrO2), 및 알루미늄 옥사이드 (예를 들어, Al2O3) 를 포함하는, 임의의 다양한 재료들을 포함할 수도 있다. 적합한 기판 재료들은 다양한 탄소-기반 막들 (예를 들어, AHM (ashable hardmask)), 실리콘-기반 막들 (예를 들어, SiOx, SiCx, SiOxCy, SiOxNy, SiOxCyNz, a-Si:H, 폴리-Si, 또는 SiN), 또는 패터닝 프로세스를 용이하게 하도록 적용된 임의의 다른 (일반적으로 희생적인) 막을 포함할 수 있다. 예를 들어, 기판은 바람직하게 SnO2와 같은 SnOx를 포함할 수도 있다. 다양한 실시 예들에서, 층은 1 ㎚ 내지 100 ㎚ 두께, 또는 2 ㎚ 내지 10 ㎚ 두께일 수도 있다.
다양한 실시 예들에서, (예를 들어, 기판 및/또는 막의) 표면은 표면 상에 노출된 하이드록실기들을 포함한다. 일반적으로, 표면은 노출된 하이드록실 표면을 포함하거나 생성하도록 처리된 임의의 표면일 수도 있다. 이러한 하이드록실기는 산소 플라즈마, 물 플라즈마, 또는 오존을 사용한 기판의 표면 처리에 의해 표면 상에 형성될 수도 있다. 다른 실시 예들에서, 막의 표면은 노출된 하이드록실기들을 제공하도록 처리될 수 있고, 그 위에 캡핑 층이 도포될 수 있다. 다양한 실시 예들에서, 하이드록시-종단된 금속 옥사이드 층은 0.1 ㎚ 내지 20 ㎚, 또는 0.2 ㎚ 내지 10 ㎚, 또는 0.5 ㎚ 내지 5 ㎚의 두께를 갖는다.
본 명세서에 개시된 구현 예들은 웨이퍼, 기판, 또는 다른 워크피스와 같은 기판 상의 재료의 증착을 기술한다. 워크피스는 다양한 형상들, 크기들, 및 재료들일 수도 있다. 본 명세서에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판", 및 "부분적으로 제조된 집적 회로"는 상호 교환 가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 상부에서 집적 회로 제조의 많은 단계들 중 임의의 단계 동안의 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업계에서 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 달리 언급되지 않는 한, 본 명세서에 인용된 프로세싱 상세들 (예를 들어, 플로우 레이트들, 전력 레벨들, 등) 은 300 ㎜ 직경 기판들을 프로세싱하는 것, 또는 300 ㎜ 직경 기판들을 프로세싱하도록 구성된 챔버들을 처리하는 것에 관련되고, 그리고 다른 사이즈들의 기판들 또는 챔버들에 대해 적절하게 스케일링될 수 있다. 반도체 웨이퍼들에 더하여, 본 명세서에 개시된 구현예들에 사용될 수도 있는 다른 워크피스들은 인쇄 회로 기판들, 등과 같은 다양한 물품들을 포함한다. 프로세스들 및 장치들은 반도체 디바이스들, 디스플레이들, 등의 제조에 사용될 수 있다.
리소그래피 프로세스들
EUV 리소그래피는 액체-기반 스핀-온 기법들에 의해 생성된 중합체-기반 화학적으로 증폭된 레지스트들 또는 건식 기상-증착된 기법들에 의해 생성된 금속 옥사이드-기반 레지스트들일 수도 있는 EUV 레지스트들을 사용한다. 이러한 EUV 레지스트들은 본 명세서에 기술된 임의의 EUV-감응 막 또는 재료를 포함할 수 있다. 리소그래피 방법들은, 예를 들어, 포토 패턴을 형성하기 위해 EUV 복사선으로 EUV 레지스트의 노출에 의해 레지스트를 패터닝하고, 이어서 마스크를 형성하기 위해 포토 패턴에 따라 레지스트의 일부를 제거함으로써 패턴을 현상하는 것을 포함할 수 있다.
본 개시가 EUV 리소그래피에 의해 예시된 리소그래피 패터닝 기법들 및 재료들에 관한 것이지만, 이는 또한 다른 차세대 리소그래피 기법들에 적용 가능하다는 것이 이해되어야 한다. 현재 사용 및 개발 중인 표준 13.5 ㎚ EUV 파장을 포함하는 EUV에 더하여, 이러한 리소그래피와 가장 관련이 있는 복사선 소스들은, 일반적으로 248 ㎚ 또는 193 ㎚ 엑시머 레이저 소스들의 사용을 지칭하는 DUV (Deep-UV), X-선 범위의 보다 낮은 에너지 범위의 EUV를 공식적으로 포함하는 X-선, 뿐만 아니라 넓은 에너지 범위를 커버할 수 있는 e-빔이다. 이러한 방법들은 기판의 표면 상의 이미징/PR 층으로서 금속 옥사이드 (예를 들어, 다른 비금속 및 비-산소기를 포함할 수도 있는 금속 옥사이드 결합들의 네트워크를 포함하는 층) 막을 형성하기 위해 (예를 들어, 선택 가능하게 노출된 하이드록실기들을 갖는) 기판이 전구체 (예를 들어, 본 명세서에 기술된 임의의 전구체) 와 콘택트한다. 특정한 방법들은 반도체 기판 및 궁극적인 반도체 디바이스에 사용된 특정한 재료들 및 적용 예들에 종속될 수도 있다. 따라서, 본 적용 예에 기술된 방법들은 단지 본 기술 (technology) 에서 사용될 수도 있는 방법들 및 재료들의 예시이다. 일부 실시 예들에서, 리소그래피는 10 ㎚ 내지 400 ㎚인 파장을 갖는 복사 소스의 사용을 포함한다.
직접 포토패터닝 가능한 EUV 레지스트들은 금속들 및/또는 금속 옥사이드들로 구성되거나 이를 함유할 수도 있다. 금속들/금속 옥사이드들은 EUV 광자 흡수를 향상시키고 2 차 전자들을 생성할 수 있고 그리고/또는 아래에 놓인 막 스택 및 디바이스 층들에 대해 상승된 에칭 선택도를 나타낼 수 있다는 점에서 매우 유망하다. 현재까지, 이들 레지스트들은 웨이퍼가 현상 용매에 노출되고, 건조되고, 소성되는 (bake), 트랙으로 이동하는 것을 필요로 하는, 습식 (용매) 방법을 사용하여 현상되었다. 습식 현상 (wet development) 은 생산성을 제한할 뿐만 아니라 미세 피처들 사이의 용매의 증발 동안 표면 장력 효과들로 인해 라인 붕괴를 야기할 수 있다.
기판 박리 및 계면 고장들을 제거함으로써 이들 문제들을 극복하기 위해 건식 현상 기법들이 제안되었다. 건식 현상은 습식 현상과 비교할 때 효과적인 레지스트 노출을 위해 보다 높은 도즈 대 사이즈 요건을 야기할 수 있는 노출되지 않은 레지스트 재료와 EUV 노출된 레지스트 재료 사이의 에칭 선택도를 포함하여, 고유의 문제들을 갖는다. 차선의 선택도는 또한 에칭 가스 하에서 보다 긴 노출들로 인해 PR 코너 라운딩을 유발할 수 있고, 이는 후속하는 이송 에칭 단계에서 라인 CD 변동을 증가시킬 수도 있다. 리소그래피 동안 채용된 부가적인 프로세스들은 이하에 상세히 기술된다.
건식 증착을 포함한 증착 프로세스들
본 명세서에 논의된 바와 같이, 본 개시는 EUV 또는 다른 차세대 리소그래피 기법들을 사용하여 패터닝될 수도 있는, 반도체 기판들 상에 하부층들 및 이미징 층들을 제조하기 위한 방법들을 제공한다. 일부 실시 예들에서, 건식 증착은 하부층 및 이미징 층을 제공하기 위해 임의의 유용한 전구체 (예를 들어, 본 명세서에 기술된 하이드로카본 전구체들, 도펀트 전구체들, 금속 할라이드들, 캡핑제들, 또는 유기금속제들) 를 채용할 수 있다. 방법들은 중합된 유기금속 재료들이 증기로 생성되고, 하부층 위에 증착되는 것을 포함한다. 다른 실시 예들에서, 스핀-온 제제가 사용될 수도 있다. 증착 프로세스들은 레지스트 막 또는 EUV-감응 막으로서 EUV-감응 재료를 도포하는 것을 포함할 수 있다.
이러한 EUV-감응 막들은 EUV 노출 시, 보다 치밀한 M-O-M 결합된 금속 산화물 재료들에 이들의 교차 결합 (crosslinking) 을 허용하여, 저밀도 M-OH 풍부 재료들의 금속 원자들에 본딩된 벌키 (bulky) 펜던트 리간드들의 손실과 같은 변화들을 겪는 재료들을 포함한다. 다른 실시 예들에서, EUV 노출은 금속 원자들에 결합된 리간드들 사이에 추가의 교차-결합을 발생시켜, 보다 치밀한 M-L-M 결합된 유기금속 재료들을 제공하고, L은 리간드이다. 또 다른 실시 예들에서, EUV 노출은 포지티브 톤 (positive tone) 현상제들에 의해 제거될 수 있는 M-OH 재료들을 제공하기 위해 리간드들의 손실을 발생시킨다.
EUV 패터닝을 통해, 비노출 영역들에 대해 물리적 또는 화학적 특성들이 변경된 막들의 영역들이 생성된다. 이들 특성들은 후속 프로세싱에서, 예컨대 노출된 영역 또는 비노출 영역을 용해시키도록, 또는 노출된 영역 또는 비노출 영역 상에 재료들을 선택적으로 증착하도록 활용될 수도 있다. 일부 실시 예들에서, 이러한 후속 프로세싱이 수행되는 조건들 하에 비노출 막은 소수성 표면을 갖고, 노출된 막은 친수성 표면을 갖는다 (노출된 영역 및 비노출 영역의 친수성 특성들이 서로 상대적인 것이 인식된다). 예를 들어, 재료의 제거는 막의 화학 조성, 밀도 및 교차 결합의 차이들을 활용함으로써 (leveraging) 수행될 수도 있다. 제거는 본 명세서에 더 기술된 바와 같이 습식 프로세싱 또는 건식 프로세싱에 의한 것일 수도 있다.
기판의 표면 상에 형성된 EUV-패터닝 가능한 막의 두께는 표면 특징들, 사용된 재료들, 및 프로세싱 조건들에 따라 가변할 수도 있다. 다양한 실시 예들에서, 막 두께는 약 0.5 ㎚ 내지 약 100 ㎚ 범위일 수도 있다. 바람직하게, 막은 EUV 패터닝의 조건들 하에서 대부분의 EUV 광을 흡수하기에 충분한 두께를 갖는다. 예를 들어, 레지스트 막의 전체 흡수는 레지스트 막의 하단의 레지스트 재료가 충분히 노출되도록 30 % 이하 (예를 들어, 10 % 이하, 또는 5 % 이하) 일 수도 있다. 일부 실시 예들에서, 막 두께는 10 내지 20 ㎚이다. 본 개시의 메커니즘, 기능 또는 실용성을 제한하지 않고, 당업계의 습식 프로세스, 스핀-코팅 프로세스와 달리, 본 개시의 프로세스들은 기판의 표면 접착 특성들에 대해 보다 적은 제한들을 갖고, 따라서 폭넓고 다양한 범위의 기판들에 적용될 수 있다고 여겨진다. 게다가, 상기 논의된 바와 같이, 증착된 막들은 표면 피처들을 엄밀히 컨폼할 (conform) 수도 있어, 하부 피처들을 갖는 기판들과 같은 기판들 위에, 이러한 피처들을 "충진"하거나 달리 평탄화하지 않고 마스크들을 형성하는 이점들을 제공한다.
막 (예를 들어, 하부층 및/또는 이미징 층) 은 임의의 유용한 방식으로 증착된 금속 옥사이드 층으로 구성될 수도 있다. 이러한 금속 옥사이드 층은 대응-반응물질과 조합된 전구체 (예를 들어, 금속-함유 전구체, 금속 할라이드, 캡핑제, 또는 유기금속제) 와 같은, 본 명세서에 기술된 임의의 EUV-감응성 재료를 사용함으로써 증착되거나 도포될 수 있다. 예시적인 프로세스들에서, 중합된 유기금속 재료는 금속 옥사이드 층을 제공하기 위해 기판의 표면 상에 기상 (vapor phase) 또는 인 시츄 (in situ) 로 형성된다. 금속 옥사이드 층은 막, 접착 층, 또는 캡핑 층으로서 채용될 수도 있다.
선택 가능하게, 금속 옥사이드 층은 하이드록실-종단된 금속 옥사이드 층을 포함할 수 있고, 이는 산소-함유 대응-반응물질과 함께 캡핑제 (예를 들어, 본 명세서에 기술된 임의의 캡핑제) 를 채용함으로써 증착될 수 있다. 이러한 하이드록실-종단된 금속 옥사이드 층은 예를 들어, 2 개의 다른 층들 사이, 예컨대 기판과 막 사이 및/또는 포토레지스트 층과 하부층 사이의 접착 층으로서 채용될 수 있다.
예시적인 증착 기법들 (예를 들어, 막, 하부층, 또는 이미징 층에 대한) 은 ALD (예를 들어, 열적 ALD 및 플라즈마-강화된 ALD), 스핀-코트 증착, PVD 공-스퍼터링을 포함하는 PVD, CVD (예를 들어, PE-CVD 또는 LP-CVD), 스퍼터링 증착, e-빔 공-증착을 포함하는 e-빔 증착, 등, 또는 이들의 조합, 예컨대 CVD 컴포넌트를 갖는 ALD, 예컨대전구체들 및 대응-반응물질들이 시간 또는 공간에서 분리되는, 불연속적인 ALD-유사 프로세스를 포함한다.
본 개시에 적용 가능한 EUV 포토레지스트 막들로서 전구체들 및 이들의 증착을 위한 방법들의 추가의 기술은 WO2019/217749로 공개되고, 2019 년 5 월 9 일 출원되고, 명칭이 METHODS FOR MAKING EUV PATTERNABLE HARD MASKS인, 국제 특허 출원 PCT/US19/31618에서 찾을 수 있다. 박막들은 막의 화학적 또는 물리적 특성들을 개질하도록, 예컨대 EUV에 대한 막의 감도를 수정하거나 에칭 내성을 향상시키기 위해 전구체 및 대응-반응물질에 더하여 선택 가능한 재료들을 포함할 수도 있다. 이러한 선택 가능한 재료들은 기판 상에 증착 전, 막의 증착 후, 또는 둘 모두에 기상 형성 동안 도핑에 의해서와 같이 도입될 수도 있다. 일부 실시 예들에서, 순한 리모트 H2 플라즈마는 일부 Sn-L 결합들을 예를 들어, Sn-H로 대체하도록 도입될 수도 있고, 이는 EUV 하에 레지스트의 반응성을 상승시킬 수 있다.
일반적으로, 방법들은 중합된 유기금속 재료를 형성하기 위해 전구체 (예를 들어, 유기금속 전구체와 같은, 금속-함유 전구체) 의 증기 스트림을 선택가능한 대응-반응물질의 증기 스트림과 혼합하는 단계 및 반도체 기판의 표면 상에 유기금속 재료를 증착하는 단계를 포함한다. 일부 실시 예들에서, 전구체와 선택 가능한 대응-반응물질을 혼합하여 중합된 유기금속 재료를 형성할 수 있다. 당업자에 의해 이해될 바와 같이, 프로세스의 혼합 및 증착 양태들은 실질적으로 연속 프로세스에서 동시에 발생할 수도 있다.
일 예시적인 연속 CVD 프로세스에서, 기판 상에 (예를 들어, 금속-산소-금속 결합 형성을 통해) 응집된 (agglomerated) 중합 재료들 또는 막을 형성하기 위해, 전구체 및 선택가능한 대응-반응물질의 소스들의, 개별적인 유입구 경로들 내의, 2 개 이상의 가스 스트림들은, 이들이 가스상 (gas phase) 으로 혼합되고 반응하는, CVD 장치의 증착 챔버에 도입된다. 가스 스트림들은 예를 들어, 개별적인 주입 유입구들 또는 듀얼-플레넘 (dual-plenum) 샤워헤드를 사용하여 도입될 수도 있다. 장치는 전구체 및 선택 가능한 대응-반응물질의 스트림들이 챔버 내에서 혼합되도록 구성되어, 전구체 및 선택 가능한 대응-반응물질이 반응하여 중합된 유기금속 재료 또는 막 (예를 들어, 금속-산소-금속 결합 형성을 통한 것과 같은, 금속 옥사이드 코팅 또는 응집된 중합 재료들) 을 형성한다.
금속 옥사이드를 증착하기 위해, CVD 프로세스는 일반적으로 감소된 압력들, 예컨대 0.1 Torr 내지 10 Torr에서 수행된다. 일부 실시 예들에서, 프로세스는 1 Torr 내지 2 Torr에서 수행된다. 기판의 온도는 바람직하게 반응물질 스트림들의 온도 이하이다. 예를 들어, 기판 온도는 0 ℃ 내지 250 ℃ 또는 주위 온도 (예를 들어, 23 ℃) 내지 150 ℃일 수도 있다.
응집된 중합 재료들을 증착하기 위해, CVD 프로세스는 일반적으로 10 mTorr 내지 10 Torr와 같은 감소된 압력에서 수행된다. 일부 실시 예들에서, 프로세스는 0.5 내지 2 Torr에서 수행된다. 기판의 온도는 바람직하게 반응물질 스트림들의 온도 또는 이하이다. 예를 들어, 기판 온도는 0 ℃ 내지 250 ℃ 또는 주위 온도 (예를 들어, 23 ℃) 내지 150 ℃일 수도 있다. 다양한 프로세스들에서, 기판 상에 중합된 유기금속 재료의 증착은 표면 온도에 반비례하는 레이트들로 발생한다. 본 기술의 메커니즘, 기능 또는 실용성을 제한하지 않고, 금속 원자들이 대응-반응물질에 의해 교차 결합되기 때문에 이러한 기상 반응으로부터의 생성물이 분자량이 보다 무거워지고, 이어서 응결되거나 그렇지 않으면 기판 상에 증착되는 것으로 여겨진다. 다양한 실시 예들에서, 벌키 알킬기들의 입체 장애 (steric hindrance) 는 치밀하게 패킹된 네트워크의 형성을 더 방지하고, 상승된 다공성을 갖는 저밀도 막들을 생성한다.
건식 증착 방법들을 사용하는 잠재적인 이점은 막이 성장함에 따라 막의 조성을 튜닝하기 쉽다는 것이다. CVD 프로세스에서, 이는 증착 동안 제 1 전구체 및 제 2 전구체의 상대적인 플로우들을 변화시킴으로써 달성될 수도 있다. 증착은 0.01 Torr 내지 100 Torr, 그러나 보다 일반적으로 약 0.1 Torr 내지 10 Torr의 압력에서 30 ℃ 내지 200 ℃에서 발생할 수도 있다.
막 (예를 들어, 금속-산소-금속 결합 형성을 통한 것과 같은, 금속 옥사이드 코팅 또는 응집된 중합 재료들) 이 또한 ALD 프로세스에 의해 증착될 수도 있다. 예를 들어, 전구체(들) 및 선택 가능한 대응-반응물질은 ALD 사이클을 나타내는 별도의 시간에 도입된다. 전구체들은 표면 상에서 반응하여, 사이클 각각에 대해 한 번에 재료의 단층 (monolayer) 까지 형성한다. 이는 표면에 걸친 막 두께의 균일성에 대한 우수한 제어를 허용할 수도 있다. ALD 프로세스는 일반적으로 감소된 압력들, 예컨대 0.1 Torr 내지 10 Torr에서 수행된다. 일부 실시 예들에서, 프로세스는 1 Torr 내지 2 Torr에서 수행된다. 기판 온도는 0 ℃ 내지 250 ℃ 또는 주위 온도 (예를 들어, 23 ℃) 내지 150 ℃일 수도 있다. 프로세스는 열적 프로세스 또는 바람직하게, 플라즈마-보조된 증착일 수도 있다.
본 명세서의 임의의 증착 방법들은 2 개 이상의 상이한 전구체들의 사용을 허용하도록 수정될 수 있다. 일 실시 예에서, 전구체들은 동일한 금속이지만 상이한 리간드들을 포함할 수 있다. 또 다른 실시 예에서, 전구체들은 상이한 금속 그룹들을 포함할 수 있다. 일 비제한적인 예에서, 다양한 휘발성 전구체들의 교번하는 플로우들은 상이한 제 2 금속 (예를 들어, Te) 을 갖는 실릴-기반 전구체와 함께 제 1 금속 (예를 들어, Sn) 을 갖는 금속 알콕사이드 전구체의 사용과 같은 혼합된 금속-함유 층을 제공할 수 있다.
본 명세서의 프로세스들은 표면 개질을 달성하기 위해 사용될 수 있다. 일부 반복들에서, 전구체의 증기가 웨이퍼 위를 통과할 수도 있다. 웨이퍼는 반응이 진행되도록 열 에너지를 제공하도록 가열될 수도 있다. 일부 반복들에서, 가열은 약 50 ℃ 내지 약 250 ℃일 수 있다. 일부 경우들에서, 전구체의 펄스들이 펌프 및/또는 퍼지 단계들에 의해 분리되어 사용될 수도 있다. 예를 들어, 제 1 전구체는 ALD 또는 ALD-유사 성장을 발생시키는 제 2 전구체 펄스들의 펄스들 사이에서 펄싱될 수도 있다. 다른 경우들에서, 두 전구체들은 동시에 흐를 수도 있다. 표면 개질에 유용한 원소들의 예들은 I, F, Sn, Bi, Sb, Te, 및 이들 화합물들의 옥사이드들 또는 합금들을 포함한다.
본 명세서의 프로세스들은 ALD 또는 CVD에 의해 박형 금속 옥사이드 또는 금속을 증착하도록 사용될 수 있다. 예들은 주석 옥사이드 (SnOx), 비스무트 옥사이드 (BiOx), 및 Te를 포함한다. 증착 후에, 막은 본 명세서의 다른 곳에 기술된 바와 같이, MaRbLc 형태의 알킬 치환된 전구체로 캡핑될 수도 있다. 대응-반응물질은 리간드들을 보다 잘 제거하기 위해 사용될 수도 있고, 기판 표면의 완전한 포화를 보장하기 위해 복수의 사이클들이 반복될 수도 있다. 이어서 표면은 EUV-감응 막이 증착될 준비가 될 수 있다. 일 가능한 방법은 SnOx의 박막을 생성하는 것이다. 가능한 화학 물질들은 테트라키스(디메틸아미노)주석 및 물 또는 O2 플라즈마와 같은 대응-반응물질을 순환시킴으로써 SnO2의 성장을 포함한다. 성장 후, 캡핑제가 사용될 수 있다. 예를 들어, 이소프로필트리스(디메틸아미노)주석 증기가 표면 위로 흐를 수도 있다.
증착 프로세스들은 임의의 유용한 표면 상에 채용될 수 있다. 본 명세서에 참조된 바와 같이, "표면"은 상부에 본 기술의 막이 증착되거나 프로세싱 동안 EUV에 노출되는 표면이다. 이러한 표면은 (예를 들어, 그 위에 막이 증착될) 기판 상에, (예를 들어, 그 위에 캡핑 층이 증착될 수 있는) 막 상에, 하드 마스크 상에, 또는 하부층 상에 존재할 수 있다.
리소그래픽 프로세싱, 구체적으로 집적 회로들 및 다른 반도체 디바이스들의 생산에 적합한 임의의 재료 구성을 포함하여, 임의의 유용한 기판이 채용될 수 있다. 일부 실시 예들에서, 기판들은 실리콘 웨이퍼들이다. 기판들은 그 위에 불규칙한 표면 토포그래피를 갖는, 피처들 ("하부 토포그래픽 피처들") 이 생성되는 실리콘 웨이퍼들일 수도 있다.
이러한 하부 토포그래픽 피처들은 이 기술의 방법을 수행하기 전 프로세싱 동안 (예를 들어, 에칭에 의해) 재료가 제거된 영역들 또는 (예를 들어, 증착에 의해) 재료들이 부가된 영역들을 포함할 수도 있다. 이러한 사전 프로세싱은 이 기술의 방법들 또는 2 개 이상의 피처들의 층들이 기판 상에 형성되는 반복 프로세스의 다른 프로세싱 방법들을 포함할 수도 있다. 본 기술의 메커니즘, 기능 또는 실용성을 제한하지 않고, 일부 실시 예들에서, 본 기술의 방법들은 포토리소그래픽 막이 스핀 캐스팅 방법들을 사용하여 기판들의 표면 상에 증착되는 당업계에 공지된 방법들 중의 방법들에 대한 이점들을 제공하는 것으로 여겨진다. 이러한 이점들은 하부 피처들을 "충진 (filling in)"하거나 달리 이러한 피처들을 평탄화하지 않고 하부 피처들에 대한 본 기술의 막들의 컨포먼스 (conformance) 로부터, 그리고 폭넓고 다양한 범위의 재료 표면들 상에 막들을 증착하는 능력으로부터 유도될 수도 있다.
EUV 노출 프로세스들
막의 EUV 노출은 EUV-매개된 절단 이벤트들에 의해 생성되는 금속 원자 (M) 를 포함하는 활성화된 반응성 중심들을 갖는 EUV 노출된 영역들을 제공할 수 있다. 이러한 반응성 중심들은 댕글링 금속 결합들, M-H 기들, 절단된 M-리간드 기들, 이량체화된 M-M 결합들, 또는 M-O-M 브리지들을 포함할 수 있다.
EUV 노출은 진공 분위기 (vacuum ambient) 에서 약 10 ㎚ 내지 약 20 ㎚의 범위의 파장, 예컨대 10 ㎚ 내지 15 ㎚, 예를 들어, 13.5 ㎚의 파장을 가질 수 있다. 특히, 패터닝은 패턴을 형성하기 위해 EUV 노출된 영역들 및 EUV 노출되지 않은 영역들을 제공할 수 있다.
본 기술은 EUV, 뿐만 아니라 DUV 또는 e-빔을 사용한 패터닝을 포함할 수 있다. 이러한 패터닝에서, 복사선은 이미징 층의 하나 이상의 영역들 상에 포커싱된다. 노출은 통상적으로 이미징 층 막이 복사선에 노출되지 않은 하나 이상의 영역들을 포함하도록 수행된다. 발생하는 이미징 층은 반도체 디바이스의 트랜지스터 또는 다른 피처들의 생성과 일치하는 패턴을 생성하고, 기판의 후속 프로세싱에서 기판으로부터 재료의 추가 또는 제거에 의해 형성된, 복수의 노출된 영역 및 노출되지 않은 영역을 포함할 수도 있다. 본 명세서에서 유용한 EUV, DUV 및 e-빔 복사 방법들 및 장비는 당업계에 공지된 방법들 및 장비를 포함한다.
일부 EUV 리소그래피 기법들에서, 유기 하드 마스크 (예를 들어, PECVD 비정질 수소화된 탄소의 애시 가능 하드 마스크) 가 종래의 포토레지스트 프로세스를 사용하여 패터닝된다. 포토레지스트 노출 동안, EUV 복사선은 레지스트 및 아래의 기판에서 흡수되어, 고 에너지 광전자들 (예를 들어, 약 100 eV) 을 생성하고 결국 수 나노 미터만큼 측면으로 확산하는 저에너지 2 차 전자들의 캐스케이드 (예를 들어, 약 10 eV) 를 생성한다. 이들 전자들은 레지스트에서 EUV 도즈 감도를 상승시키는 화학 반응의 정도를 상승시킨다. 그러나, 본질적으로 랜덤한 2 차 전자 패턴이 광학 이미지 상에 중첩된다 (superimpose). 이 원치 않은 2 차 전자 노출은 패터닝된 레지스트에서 해상도 손실, LER (observable line edge roughness) 및 라인 폭 변동을 발생시킨다. 이들 결함들은 후속하는 패턴 전사 에칭 동안 패터닝될 재료에 복제된다.
막 형성 (증착/응결) 및 광학 리소그래피를 결합하여 크게 개선된 EUV 리소그래피 (EUVL) 성능-예를 들어 감소된 라인 에지 거칠기-의 결과를 갖는 진공-통합된 금속 하드 마스크 프로세스 및 관련된 진공-통합된 하드웨어가 본 명세서에 개시된다.
본 명세서에 기술된 다양한 실시 예들에서, 증착 (예를 들어, 응결) 프로세스 (예를 들어, Lam Vector®와 같은 PECVD 툴에서 수행된 ALD 또는 MOCVD) 가 (예를 들어, 대략 10 ㎚ 내지 20 ㎚의 파장들의) EUV에서, 예를 들어 EUVL 광원의 파장 (예를 들어, 13.5 ㎚ = 91.8 eV) 에서 강한 흡수로, 감광성 금속 염 또는 금속-함유 유기 화합물 (유기금속 화합물) 과 같은, 금속-함유 막의 박막을 형성하도록 사용될 수 있다. 이 막은 EUV 노출시 광분해되고 후속 에칭 동안 (예를 들어, 도전체 에칭 툴, 예컨대 Lam 2300® Kiyo®에서) 패턴 전사 층인 금속 마스크를 형성한다.
증착 후에, EUV-패터닝 가능한 박막은 통상적으로 상대적으로 고 진공 하에서, EUV 광의 빔으로 노출에 의해 패터닝된다. EUV 노출을 위해, 금속-함유 막이 나중에 리소그래피 플랫폼 (예를 들어, NL, Veldhoven 소재의 ASML에 의해 공급된 TWINSCAN NXE:3300B® 플랫폼과 같은 웨이퍼 스텝퍼) 과 통합된 챔버 내에 증착되고 노출 전에 반응하지 않도록 진공 하에서 이송될 수 있다. 리소그래피 툴과 증착 모듈들의 통합은 EUVL이 또한 H2O, O2, 등과 같은 주변 가스들에 의한 입사 광자들의 강한 광 흡수를 고려하면 상당히 감소된 압력을 필요로 한다는 사실에 의해 용이해진다. 다른 실시 예들에서, 감광성 금속 막 증착 및 EUV 노출은 동일한 챔버에서 수행될 수도 있다.
건식 현상을 포함한 현상 프로세스들
EUV 노출된 영역 또는 노출되지 않은 영역은 모든 유용한 현상 프로세스에 의해 제거될 수 있다. 일 실시 예에서, EUV 노출된 영역은 댕글링 금속 결합들, M-H 기들, 또는 이량체화된 M-M 결합들과 같은 활성화된 반응 중심들을 가질 수 있다. 특정한 실시 예들에서, M-H 기들은 하나 이상의 건식 현상 프로세스들 (예를 들어, 할라이드 화학 물질) 을 채용함으로써 선택적으로 제거될 수 있다. 다른 실시 예들에서, M-M 결합들은 습식 현상 프로세스, 예를 들어, 가용성 M(OH)n기들을 제공하기 위해 고온 에탄올 및 물의 사용을 채용함으로써 선택적으로 제거될 수 있다. 또 다른 실시 예들에서, EUV 노출된 영역들은 습식 현상의 사용에 의해 (예를 들어, 포지티브 톤 현상액을 사용함으로써) 제거된다. 일부 실시 예들에서, EUV 미노출 영역들은 건식 현상의 사용에 의해 제거된다.
건식 현상 프로세스들은 HCl-기반 프로세스 또는 HBr-기반 프로세스와 같은 할라이드들의 사용을 포함할 수 있다. 본 개시는 임의의 특정한 이론 또는 동작 메커니즘으로 제한되지 않지만, 이 방법은 증기 또는 플라즈마를 사용하여 휘발성 생성물들을 형성하기 위해 세정 화학 물질 (예를 들어, HCl, HBr, 및 BCl3) 과 건식 증착된 EUV 포토레지스트 막들의 화학적 반응성을 활용하는 것으로 이해된다. 건식 증착된 EUV 포토레지스트 막들은 최대 1 ㎚/s의 에칭 레이트들로 제거될 수 있다. 이들 화학 물질들에 의한 건식 증착된 EUV 포토레지스트 막들의 신속한 제거는 챔버 세정, 배면 세정, 베벨 세정 및 PR 현상에 적용 가능하다. 막들이 다양한 온도들의 증기들 (예를 들어, -10 ℃보다 높은 온도의 HCl 또는 HBr, 또는 예를 들어, 80 ℃보다 높은 온도의 BCl3) 을 사용하여 제거될 수 있지만, 플라즈마는 또한 반응성을 가속화하거나 향상시키도록 사용될 수 있다.
플라즈마 프로세스들은 당업계에 공지된 장비 및 기법들을 채용하는, TCP (Transformer Coupled Plasma), ICP (Inductively Coupled Plasma) 또는 CCP (Capacitively Coupled Plasma) 를 포함한다. 예를 들어, 프로세스는 0.5 mTorr보다 높은 (예를 들어, 1 mTorr 내지 100 mTorr) 의 압력, 1000 W보다 낮은 (예를 들어, 500 W 미만) 의 전력 레벨에서 수행될 수도 있다. 온도들은 1 내지 3000 초 (예를 들어, 10 초 내지 600 초) 동안 100 내지 1000 sc㎝ (standard cubic centimeters per minute), 예를 들어, 약 500 sc㎝의 플로우 레이트로 30 ℃ 내지 300 ℃ (예를 들어, 30 ℃ 내지 120 ℃) 일 수도 있다.
할라이드 반응 물질 플로우들이 수소 가스 및 할라이드 가스인 경우, 리모트 플라즈마/UV 복사선이 H2 및 Cl2 및/또는 Br2로부터 라디칼들을 생성하도록 사용되고, 수소 및 할라이드 라디칼들은 웨이퍼의 기판 층 상의 패터닝된 EUV 포토레지스트와 콘택트하도록 반응 챔버로 흐른다. 적합한 플라즈마 전력은 바이어스 없이 100 W 내지 500 W의 범위일 수도 있다. 이들 조건들은 일부 프로세싱 반응기들, 예를 들어, 캘리포니아 프레몬트 소재의 Lam Research Corporation으로부터 입수 가능한 Kiyo 에칭 툴에 적합하지만, 프로세싱 반응기의 능력들에 따라 보다 넓은 범위의 프로세스 조건들이 사용될 수도 있다는 것이 이해되어야 한다.
열 현상 프로세스들에서, 기판은 진공 챔버 (예를 들어, 오븐) 의 건식 현상 화학물질 (예를 들어, 루이스 산) 에 노출된다. 적합한 챔버들은 진공 라인, 건식 현상 수소 할라이드 화학물질 가스 (예를 들어, HBr, HCl) 라인, 및 온도 제어를 위한 가열기들을 포함할 수 있다. 일부 실시 예들에서, 챔버 내부는 유기 중합체들 또는 무기물 코팅들과 같은 부식 내성 막들로 코팅될 수 있다. 이러한 코팅 중 하나는 폴리테트라플루오로에틸렌 ((PTFE), 예를 들어, TeflonTM) 이다. 이러한 재료들은 플라즈마 노출에 의한 제거 위험 없이 이 개시의 열 프로세스들에서 사용될 수 있다.
건식 현상을 위한 프로세스 조건들은 포토레지스트 막 및 이들의 조성 및 특성들에 따라, 약 10 초 내지 1 분의 시간 동안, 플라즈마를 사용하지 않고 100 sc㎝ 내지 500 sc㎝ (예를 들어, 500 sc㎝의 HBr 또는 HCl) 의 반응 물질 플로우, -10 ℃ 내지 120 ℃ (예를 들어, -10 ℃) 의 온도, 1 mTorr 내지 500 mTorr (예를 들어, 300 mTorr) 의 압력일 수도 있다.
다양한 실시 예들에서, 본 개시의 방법들은 기상 증착, (EUV) 리소그래픽 포토패터닝 (photopatterning) 및 건식 현상에 의한 막 증착, 형성의 모든 건식 단계들을 결합한다. 이러한 프로세스들에서, 기판은 EUV 스캐너의 포토패터닝에 이어서 건식 현상/에칭 챔버로 직접 이동할 수도 있다. 이러한 프로세스들은 습식 현상과 연관된 재료 및 생산성 비용들을 방지할 수도 있다. 건식 프로세스는 또한 보다 많은 튜닝 가능성을 제공하고, 추가 임계 치수 (Critical Dimension; CD) 제어 및/또는 스컴 (scum) 제거를 제공할 수 있다.
다양한 실시 예들에서, 일정량의 금속, 금속 옥사이드 및 유기 컴포넌트들을 함유하는 포토레지스트는 화학식 RxZy (R = B, Al, Si, C, S, SO 및 x > 0이고, Z = Cl, H, Br, F, CH4 및 y > 0) 의 화합물을 포함하는 건식 현상 가스를 흘리는 동안, 열, (예를 들어, 가능하게 광 활성화된 예컨대, 램프-가열 UV 램프 가열된) 플라즈마 또는 열과 플라즈마 방법들의 혼합에 의해 건식 현상될 수 있다. 건식 현상은 RxZy 종이 노출되지 않은 대응물을 마스크로서 남기는, 노출된 재료를 선택적으로 제거하는 포지티브 톤을 발생시킬 수 있다. 일부 실시 예들에서, 유기 주석 옥사이드-기반 포토레지스트 막들의 노출된 부분들은 본 개시에 따른 건식 현상에 의해 제거된다. 포지티브 톤 건식 현상은 라디칼들을 생성하기 위해 플라즈마를 스트라이킹하지 않고 HCl 및/또는 HBr을 포함하는 수소 할라이드들 또는 수소 및 할라이드들을 포함하는 플로우들, 또는 플라즈마로부터 생성된 리모트 플라즈마 또는 UV 복사선과 함께 H2 및 Cl2 및/또는 Br2의 플로우들에 노출된 EUV 노출된 영역들의 선택적인 건식 현상 (제거) 에 의해 달성될 수도 있다.
습식 현상 방법들이 또한 채용될 수 있다. 특정한 실시 예들에서, 이러한 습식 현상 방법들은 포지티브 톤 포토레지스트 또는 네거티브 톤 레지스트를 제공하기 위해 EUV 노출된 영역들을 제거하도록 사용된다. 예시적인, 비제한적인 습식 현상은, 예컨대 암모늄, 예를 들어, 암모늄 하이드록사이드 (NH4OH); 암모늄-기반 이온성 액체들, 예를 들어, 테트라메틸암모늄 하이드록사이드 (TMAH), 테트라에틸암모늄 하이드록사이드 (TEAH), 테트라프로필암모늄 하이드록사이드 (TPAH), 테트라부틸암모늄 하이드록사이드 (TBAH), 또는 다른 4 차 알킬암모늄 하이드록사이드들; 유기아민, 예컨대 모노-유기 아민, 디-유기 아민, 및 트리-유기 아민 (예를 들어, 디메틸아민, 디에틸아민, 에틸렌디아민, 트리에틸렌테트라민); 또는 모노에탄올아민, 디에탄올아민, 트리에탄올아민, 또는 디에틸렌글리콜아민과 같은 알칸올아민을 포함하는, 알칼리 현상액 (예를 들어, 수성 알칼리 현상액) 의 사용을 포함할 수 있다. 다른 실시 예들에서, 알칼리 현상액은 질소-함유 염기들, 예를 들어, 화학식 RN1NH2, RN1RN2NH, RN1RN2RN3N, 또는 RN1RN2RN3RN4N+XN1를 갖는 화합물들을 포함할 수 있고, RN1, RN2, RN3, 및 RN4 각각은 독립적으로, 유기 치환기 (예를 들어, 선택가능하게 치환된 알킬 또는 본 명세서에 기술된 임의의 치환기), 또는 함께 결합될 수 있는 2 개 이상의 유기, 또는 다른 당업계에 공지된 4 차 암모늄 양이온 종 치환기를 포함할 수도 있다. 이들 염기들은 또한 당업계에 공지된 헤테로사이클릴 질소 화합물들을 포함할 수도 있고, 이들 중 일부는 본 명세서에 기술된다.
다른 현상 방법론들은 할라이드 (예를 들어, HCl 또는 HBr), 유기산 (예를 들어, 포름산, 아세트산, 또는 시트르산), 또는 유기 불소 화합물 (예를 들어, 트리플루오로아세트산) 을 포함하는 산 현상액 (예를 들어, 수성 산 현상액 또는 유기 용매의 산 현상액) 의 사용; 또는 유기 현상액, 예컨대 케톤 (예를 들어, 2-헵타논, 사이클로헥사논, 또는 아세톤), 에스테르 (예를 들어, γ부티로락톤 또는 에틸 3-에톡시프로피오네이트 (EEP)), 알코올 (예를 들어, 이소프로필 알코올 (IPA)), 또는 에테르, 예컨대 글리콜 에테르 (예를 들어, 프로필렌 글리콜 메틸 에테르 (PGME) 또는 프로필렌 글리콜 메틸 에테르 아세테이트 (PGMEA)), 뿐만 아니라 이들의 조합의 사용을 포함할 수 있다.
특정한 실시 예들에서, 포지티브 톤 현상액은 수성 알칼리 현상액 (예를 들어, NH4OH, TMAH, TEAH, TPAH, 또는 TBAH를 포함) 이다. 다른 실시 예들에서, 네거티브 톤 현상액은 수성 산 현상액, 유기 용매 내의 산 현상액, 또는 유기 현상액 (예를 들어, HCl, HBr, 포름산, 트리플루오로아세트산, 2-헵타논, IPA, PGME, PGMEA, 또는 이들의 조합) 이다.
도포 후 (post-application) 프로세스들
본 명세서의 방법들은 이하에 기술된 바와 같이, 임의의 유용한 도포-후 프로세스들을 포함할 수 있다.
배면 및 베벨 세정 프로세스를 위해, 증기 및/또는 플라즈마는 웨이퍼의 전면 상의 어떠한 막 열화도 없이, 배면 및 베벨만이 제거되는 것을 보장하도록 웨이퍼의 특정한 영역으로 제한될 수 있다. 제거될 건식-증착된 EUV 포토레지스트 막들은 일반적으로 Sn, O 및 C로 구성되지만, 동일한 세정 방법들이 다른 금속 옥사이드 레지스트들 및 재료들의 막들로 확장될 수 있다. 이에 더하여, 이 방법은 또한 막 스트립 및 PR 재작업을 위해 사용될 수 있다.
건식 베벨 에지 및 배면 세정을 위한 적합한 프로세스 조건들은 포토레지스트 막 및 조성 및 특성들에 따라 100 sc㎝ 내지 500 sc㎝ (예를 들어, 500 sc㎝의 HCl, HBr, 또는 H2 및 Cl2 또는 Br2, BCl3 또는 H2), -10 ℃ 내지 120 ℃ (예를 들어, 20 ℃) 의 온도, 20 mTorr 내지 500 mTorr (예를 들어, 300 mTorr) 의 압력, 고 주파수 (예를 들어, 13.56 ㎒) 의 0 내지 500 W의 플라즈마 전력, 그리고 약 10 초 내지 20 초 동안일 수도 있다. 이들 조건들은 일부 프로세싱 반응기들, 예를 들어, 캘리포니아 프레몬트 소재의 Lam Research Corporation으로부터 입수 가능한 Kiyo® 에칭 툴에 적합하지만, 프로세싱 반응기의 능력들에 따라 보다 넓은 범위의 프로세스 조건들이 사용될 수도 있다는 것이 이해되어야 한다.
포토리소그래피 프로세스들은 통상적으로 포토레지스트의 노출된 영역과 노출되지 않은 영역 사이의 화학적 콘트라스트를 생성하도록 요구되는 화학적 반응들을 용이하게 하도록, 하나 이상의 소성 단계들을 수반한다. 대량 제작 (high volume manufacturing; HVM) 을 위해, 이러한 소성 단계들은 통상적으로 웨이퍼들이 대기 공기 또는 일부 경우들에서 N2 플로우 하에서 미리 설정된 온도의 핫-플레이트 상에서 소성되는 트랙들 상에서 수행된다. 이들 소성 단계들 동안 분위기에 부가적인 반응성 가스 컴포넌트의 도입뿐만 아니라 소성 분위기의 보다 신중한 제어는 도즈 요건을 더 감소시키고 그리고/또는 패턴 충실도를 개선하는 것을 도울 수 있다.
본 개시의 다양한 양태들에 따라, 증착 후 (예를 들어, PAB (post-applicationbake)) 및/또는 노출 후 (예를 들어, PEB (post-exposure bake)) 및/또는 현상 후 (예를 들어, PDB (post-development bake)), 금속 및/또는 금속 옥사이드-기반 포토레지스트에 대한 하나 이상의 후 처리들은 노출된 포토레지스트와 노출되지 않은 포토레지스트 사이의 재료 특성 차들을 증가시킬 수 있고 따라서 후속 건식 현상 후 DtS (dose to size) 를 감소시키고, PR 프로파일을 개선하고, 라인 에지 및 폭 거칠기 (LER/LWR) 를 개선할 수 있다. 이러한 프로세싱은 온도, 주변 가스, 및 수분의 제어를 갖는 열적 프로세스를 수반할 수 있어, 후속하는 프로세싱에서 개선된 건식 현상 성능을 발생시킨다. 일부 예들에서, 리모트 플라즈마가 사용될 수도 있다.
도포 후 프로세싱 (예를 들어, PAB) 의 경우, 온도, 가스 분위기 (예를 들어, 공기, H2O, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, Ar, He, 또는 이들의 혼합물) 또는 진공 하에서, 및 수분을 제어하는 열적 프로세스가 노출되지 않은 금속 및/또는 금속 옥사이드 포토레지스트의 조성을 변화시키기 위해 증착 후 그리고 노출 전에 사용될 수 있다. 변화는 재료의 EUV 감도를 상승시킬 수 있고, 따라서 보다 낮은 도즈 대 사이즈 및 에지 거칠기가 노출 및 건식 현상 후에 달성될 수 있다.
노출 후 프로세싱 (예를 들어, PEB) 의 경우, 온도, 가스 분위기 (예를 들어, 공기, H2O, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, Ar, He, 또는 이들의 혼합물) 또는 진공 하에서, 그리고 수분은 노출되지 않은 포토레지스트와 노출된 포토레지스트 모두의 조성을 변화시키도록 사용될 수 있다. 변화는 노출되지 않은 포토레지스트와 노출된 포토레지스트 사이의 조성/재료 특성 차 및 노출되지 않은 포토레지스트와 노출된 포토레지스트 사이의 건식 현상 에칭 가스의 에칭 레이트 차를 증가시킬 수 있다. 이에 따라 보다 높은 에칭 선택도가 달성될 수 있다. 개선된 선택 도로 인해, 개선된 표면 거칠기, 및/또는 보다 적은 포토레지스트 잔류물/스컴을 갖는 보다 정돈된 (squarer) PR 프로파일이 획득될 수 있다. 특정한 실시 예들에서, PEB는 공기 중에서 그리고 수분 및 CO2의 선택 가능한 존재 하에 수행될 수 있다.
현상 후 프로세싱 (예를 들어, 현상 후 소성 (post development bake) 또는 PDB) 의 경우, 온도, 가스 분위기 (예를 들어, 공기, H2O, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, Ar, He, 또는 이들의 혼합물) 또는 진공 하에서 (예를 들어, UV를 사용하여), 그리고 수분은 노출되지 않은 포토레지스트의 조성을 변화시키도록 사용될 수 있다. 특정한 실시 예들에서, 조건은 또한 플라즈마 (예를 들어, O2, O3, Ar, He, 또는 이들의 혼합물들을 포함함) 의 사용을 포함한다. 변화는 재료의 경도를 상승시킬 수 있고, 이는 아래에 놓인 기판을 에칭할 때 막이 레지스트 마스크로서 사용될 경우 유리할 수 있다.
이들 경우들에서, 대안적인 구현 예들에서, 열적 프로세스는 반응에 대한 에너지 배리어를 낮추고 생산성을 상승시키기 위해 반응성 종을 증가시키도록 리모트 플라즈마 프로세스로 대체될 수 있다. 리모트 플라즈마는 보다 많은 반응성 라디칼들을 생성할 수 있고 따라서 처리를 위한 반응 온도/시간을 낮춰 생산성을 상승시킨다.
따라서, 건식 현상 선택도를 상승시키도록 포토레지스트 자체를 개질하도록 하나 또는 복수의 프로세스들이 적용될 수도 있다. 이 열적 또는 라디칼 개질은 노출되지 않은 재료와 노출된 재료 사이의 콘트라스트를 상승시킬 수 있고 따라서 후속하는 건식 현상 단계의 선택도를 상승시킬 수 있다. 노출되지 않은 재료와 노출된 재료 사이의 결과적인 차이는 온도, 가스 플로우, 수분, 압력, 및/또는 RF 전력을 포함하는 프로세스 조건들을 조정함으로써 튜닝될 수 있다. 습식 현상액 용매에서 재료 용해도에 의해 제한되지 않는, 건식 현상에 의해 가능하게 된 큰 프로세스 자유 범위 (latitude) 는 달성될 수 있는 재료 콘트라스트를 더 향상시키는 보다 공격적인 조건들이 적용되게 한다. 발생되는 높은 재료 콘트라스트는 건식 현상을 위해 보다 넓은 프로세스 윈도우를 피드백하고 따라서 상승된 생산성, 보다 낮은 비용 및 보다 우수한 결함 성능을 가능하게 한다.
습식 현상된 레지스트 막들의 실질적인 한계는 제한된 온도 소성들이다. 습식 현상은 재료 용해도에 의존하기 때문에, 예를 들어 220 ℃ 이하로 가열하면 금속-함유 PR 막의 노출된 영역과 노출되지 않은 영역 모두에서 교차 결합 정도를 크게 증가시켜 습식 현상에 불용성이 되어, 막은 더 이상 확실하게 습식 현상될 수 없다. PR의 노출된 영역과 노출되지 않은 영역 사이의 에칭 레이트 차 (즉, 선택도) 가 레지스트의 노출된 부분 또는 노출되지 않은 부분의 제거에 의존하는 건식-현상된 레지스트 막들에 대해, PAB, PEB, 또는 PDB의 처리 온도는 처리 프로세스를 튜닝하고 최적화하기 위해 훨씬 보다 넓은 윈도우에 걸쳐, 예를 들어, 약 90 ℃ 내지 250 ℃, 예컨대 PAB에 대해 90 ℃ 내지 190 ℃, 약 170 ℃ 내지 250 ℃ 이상, 예컨대 PEB 및/또는 PDB에 대해 190 ℃ 내지 240 ℃에서 가변될 수 있다. 감소하는 에칭 레이트 및 보다 큰 에칭 선택도가 언급된 범위들의 보다 높은 처리 온도들에서 발생하는 것으로 밝혀졌다.
특정한 실시 예들에서, PAB, PEB, 및/또는 PDB 처리들은 100 sc㎝ 내지 10000 sc㎝ 범위의 가스 분위기 플로우, 수 퍼센트 내지 100 %의 양의 수분 함량 (예를 들어, 20 % 내지 50 %) 의 수분 함량으로, 대기압과 진공 사이의 압력에서, 그리고 약 1 내지 15 분, 예를 들어 약 2 분의 지속 기간 동안 수행될 수도 있다.
이들 발견들은 특정한 재료들 및 상황들에 대해 프로세싱을 조정하거나 최적화하도록 처리 조건들을 튜닝하는데 사용될 수 있다. 예를 들어, 약 2 분 동안 약 20 % 습도에서 공기 중에서 220 ℃ 내지 250 ℃, PEB 열 처리를 사용하여 미리 결정된 EUV 도즈에 대해 달성된 선택도는 이러한 열 처리 없이 약 30 %보다 높은 EUV 도즈에 대한 선택도와 유사하게 이루어질 수 있다. 따라서, 반도체 프로세싱 동작의 선택도 요건들/제약 조건들에 따라, 본 명세서에 기술된 바와 같은 열적 처리가 필요한 EUV 도즈를 낮추기 위해 사용될 수 있다. 또는, 보다 높은 선택도가 요구되고 보다 높은 도즈가 용인될 수 있다면, 습식 현상 맥락에서 가능한 것보다 훨씬 높은 선택도, 최대 100 배 노출 vs. 노출되지 않음이 획득될 수 있다.
또 다른 단계들은 물리적 및 구조적 특징들 (예를 들어, 임계 치수, 막 두께, 등) 이 포토리소그래피 프로세스 동안 평가될 수 있는 인 시츄 계측을 포함할 수 있다. 인 시츄 계측을 구현하기 위한 모듈들은, 예를 들어, 산란계, 타원계, 다운스트림 질량 분광법, 및/또는 플라즈마 강화된 다운스트림 광학 방출 분광법 모듈들을 포함한다.
장치
본 개시는 또한 본 명세서에 기술된 임의의 방법들을 수행하도록 구성된 임의의 장치를 포함한다. 일 실시 예에서, 막을 증착하기 위한 장치는 하부층 및/또는 이미징 층을 제공하기 위해 하나 이상의 전구체(들)를 증착하기 위한 챔버를 포함하는 증착 모듈; 30 ㎚ 이하의 파장 복사선의 소스를 갖는 EUV 포토리소그래피 툴을 포함하는 패터닝 모듈; 및 이러한 층들을 포함하는 막을 현상하기 위한 챔버를 포함하는 현상 모듈을 포함한다.
장치는 이러한 모듈들을 위한 인스트럭션들을 갖는 제어기를 더 포함할 수 있다. 일 실시 예에서, 제어기는 하나 이상의 메모리 디바이스들, 하나 이상의 프로세서들, 및 막의 증착을 수행하기 위한 인스트럭션들로 코딩된 시스템 제어 소프트웨어를 포함한다. 이는 증착 모듈에서, 하부층 및/또는 이미징 층을 제공하기 위해 하나 이상의 전구체(들)를 증착하는 단계; 패터닝 모듈에서, EUV 노출에 의해 직접적으로 30 ㎚ 이하 분해능으로 층(들)을 패터닝하여, 막 내에 패턴을 형성하는 단계; 및 현상 모듈에서, 막을 현상하는 단계를 포함할 수 있다. 특정한 실시 예들에서, 현상 모듈은 EUV 노출되거나 EUV 노출되지 않은 영역(들)의 제거를 제공하여, 막 내에 패턴을 제공한다.
도 8은 본 명세서에 기술된 바와 같은 건식 현상 실시 예들 및 기술된 기상 증착의 구현 예에 적합한 저압 분위기를 유지하기 위한 프로세스 챔버 바디 (302) 를 갖는 프로세스 스테이션 (300) 의 실시 예의 개략적인 예시를 도시한다. 복수의 프로세스 스테이션들 (300) 이 공통 저압 프로세스 툴 분위기에 포함될 수도 있다. 예를 들어, 도 9는 CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 VECTOR® 프로세싱 툴과 같은 멀티-스테이션 프로세싱 툴 (400) 의 실시 예를 도시한다. 일부 실시 예들에서, 이하에 상세히 논의된 것들을 포함하는, 프로세스 스테이션 (300) 의 하나 이상의 하드웨어 파라미터들이 하나 이상의 컴퓨터 제어기들 (350) 에 의해 프로그램적으로 조정될 수도 있다.
프로세스 스테이션은 클러스터 툴의 모듈로서 구성될 수도 있다. 도 11은 본 명세서에 기술된 실시 예들의 구현에 적합한 진공-통합된 증착 및 패터닝 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 이러한 클러스터 프로세스 툴 아키텍처는 도 10 및 도 11을 참조하여 상기 및 이하에 더 기술된 바와 같이, PR 및 하부층 증착, 레지스트 노출 (EUV 스캐너), 레지스트 건식 현상 및 에칭 모듈들을 포함할 수 있다.
일부 실시 예들에서, 특정한 프로세싱 기능들은 동일한 모듈에서, 예를 들어 기상 증착 (예를 들어, PECVD), 건식 현상 및 에칭이 연속적으로 수행될 수 있다. 그리고 본 개시의 실시 예들은 기판을 프로세싱하기 위한 장치에 관한 것이고, 장치는 기판 지지부를 포함하는 프로세스 챔버, 프로세스 챔버 및 연관된 플로우-제어 하드웨어와 연결된 프로세스 가스 소스, 프로세스 챔버와 연결된 기판 핸들링 하드웨어, 및 프로세서 및 메모리를 갖는 제어기를 갖는다. 일부 구현 예들에서, 프로세서 및 메모리는 서로 통신 가능하게 연결되고, 프로세서는 적어도 플로우 제어 하드웨어 및 기판 핸들링 하드웨어와 동작 가능하게 연결되고, 그리고 메모리는 본 명세서에 기술된 패터닝 구조체를 제조하는 방법들의 동작들을 수행하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장한다.
예를 들어, 메모리는 예를 들어, 화학적 기상 증착 (예를 들어, PECVD) 에 의해 기판 상에 배치된 하드 마스크를 제공하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다. 상기 기술된 바와 같이, 적합한 하드 마스크들은 예를 들어, 도핑되지 않거나 B 또는 W로 도핑된 비정질 탄소 애시 가능 하드 마스크 막들일 수도 있다.
메모리는 기판 및/또는 하드 마스크 상에 하부층을 증착하기 위한 인스트럭션들을 더 저장할 수도 있고, 하부층은 기판 및/또는 하드 마스크와 후속하여 형성된 EUV-감응성 무기 포토레지스트 사이의 접착을 상승시키고, 포토레지스트의 효과적인 EUV 노출을 위해 EUV 도즈를 감소시키도록 구성된다. 예를 들어, 상기 기술된 바와 같이, 하부층은 비-탄소 이종 원자 (예를 들어, O, Si, N, W, B, I, Cl, 등과 같은, 본 명세서에서 임의의 원자) 로 도핑된 수소화된 탄소의 기상 증착된 막이거나 이를 포함할 수도 있고, 막은 약 25 ㎚ 이하의 두께를 갖고, 약 0 내지 30 %의 O를 포함할 수도 있다. 일부 구현 예들에서, 하부층은 하이드로카본 전구체 및/또는 도펀트 전구체를 사용하는 PECVD 또는 ALD에 의해 기판 및/또는 하드 마스크 상에 기상 증착될 수도 있다. 다른 구현 예들에서, 하부층은 H2 또는 하이드로카본과 공-반응하는 옥소카본 전구체를 사용하는 PECVD 또는 ALD에 의해 기판 및/또는 하드 마스크 상에 기상 증착될 수도 있다. 이 구현 예의 변형들에서, 옥소카본 전구체는 증착 동안 Si 소스 도펀트와 더 공-반응할 수도 있다. 다른 구현 예들에서, 하부층은 산화제와 공-반응하는 Si-함유 전구체 (예를 들어, 본 명세서에 기술된 임의의 O-함유 전구체) 를 사용하여 PECVD 또는 ALD에 의해 기판 및/또는 하드 마스크 상에 기상 증착될 수도 있다. 이 구현 예의 변형들에서, Si-함유 전구체는 C 소스 도펀트와 더 공-반응한다. 일부 구현 예들에서, 하부층은 기판 상의 기상 증착 또는 기판 상의 하드 마스크의 기상 증착의 종료 동작으로서, 예를 들어 하부층의 목표된 조성을 달성하기 위해 PECVD 프로세스 챔버로 들어가는 전구체 플로우들을 조정함으로써 PECVD에 의해 기판 및/또는 하드 마스크 상에 기상 증착될 수도 있다.
메모리는 포토레지스트 하부층 상에 형성된 EUV-감응 무기 포토레지스트를 형성하기 위한 인스트럭션들을 더 저장할 수도 있다. 적합한 EUV-감응 무기 포토레지스트는 상기 기술된 바와 같이, EUV-감응 주석 옥사이드-기반 포토레지스트와 같은 금속 옥사이드 막일 수도 있다.
도 8을 다시 참조하면, 프로세스 스테이션 (300) 은 분배 샤워헤드 (306) 로 프로세스 가스들을 전달하기 위해 반응 물질 전달 시스템 (301a) 과 유체로 연통한다. 반응 물질 전달 시스템 (301a) 은 샤워헤드 (306) 로의 전달을 위해, 프로세스 가스들을 블렌딩 (blending) 및/또는 컨디셔닝하기 (conditioning) 위한 혼합 용기 (mixing vessel) (304) 를 선택 가능하게 포함한다. 하나 이상의 혼합 용기 유입구 밸브들 (320) 은 프로세스 가스들의 혼합 용기 (304) 로의 도입을 제어할 수도 있다. 플라즈마 노출이 사용되면, 플라즈마는 또한 샤워헤드 (306) 로 전달될 수도 있고 또는 프로세스 스테이션 (300) 에서 생성될 수도 있다. 상기 주지된 바와 같이, 적어도 일부 실시 예들에서, 비플라즈마 열 노출이 유리하다.
도 8은 혼합 용기 (304) 로 공급될 액체 반응 물질을 기화시키기 위한 선택 가능한 기화 지점 (303) 을 포함한다. 일부 실시 예들에서, 기화 지점 (303) 의 업스트림의 LFC (Liquid Flow Controller) 가 기화 및 프로세스 스테이션 (300) 으로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 열적 MFM (thermal Mass Flow Meter) 을 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (Proportional-Integral-Derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다.
샤워헤드 (306) 는 기판 (312) 을 향해 프로세스 가스들을 분배한다. 도 3에 도시된 실시 예에서, 기판 (312) 은 샤워헤드 (306) 밑에 위치되고, 페데스탈 (308) 상에 놓인 것으로 도시된다. 샤워헤드 (306) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (312) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 실시 예들에서, 페데스탈 (308) 은 기판 (312) 과 샤워헤드 (306) 사이의 볼륨에 기판 (312) 을 노출시키도록 상승되거나 하강될 수도 있다. 일부 실시 예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (350) 에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다.
일부 실시 예들에서, 페데스탈 (308) 은 히터 (310) 를 통해 온도 제어될 수도 있다. 일부 실시 예들에서, 페데스탈 (308) 은 개시된 실시 예들에 기술된 바와 같이, HBr 또는 HCl와 같은, 수소 할라이드 건식 현상 화학 물질에 대한 포토패터닝된 레지스트의 비플라즈마 열 노출 동안, 0 ℃ 초과 및 최대 300 ℃ 이상, 예를 들어 약 65 내지 80 ℃와 같은, 50 내지 120 ℃의 온도로 가열될 수도 있다.
또한, 일부 실시 예들에서, 프로세스 스테이션 (300) 에 대한 압력 제어가 버터플라이 밸브 (318) 에 의해 제공될 수도 있다. 도 8의 실시 예에 도시된 바와 같이, 버터플라이 밸브 (318) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 스로틀한다 (throttle). 그러나, 일부 실시 예들에서, 프로세스 스테이션 (300) 의 압력 제어는 또한 프로세스 스테이션 (300) 으로 도입된 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조정될 수도 있다.
일부 구현 예들에서, 샤워헤드 (306) 의 위치는 기판 (312) 과 샤워헤드 (306) 사이의 볼륨을 가변시키도록 페데스탈 (308) 에 대해 조정될 수도 있다. 또한, 페데스탈 (308) 및/또는 샤워헤드 (306) 의 수직 위치는 본 개시의 범위 내의 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 인식될 것이다. 일부 실시 예들에서, 페데스탈 (308) 은 기판 (312) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시 예들에서, 이들 예시적인 조정들 중 하나 이상이 하나 이상의 적합한 컴퓨터 제어기들 (350) 에 의해 프로그램적으로 수행될 수도 있다는 것이 인식될 것이다.
플라즈마가 사용될 수도 있는 경우, 예를 들어 동일한 챔버에서 수행된 약한 플라즈마-기반 건식 현상 실시 예들 및/또는 에칭 동작들에서, 샤워헤드 (306) 및 페데스탈 (308) 은 플라즈마에 전력을 공급하기 위해 RF 전력 공급부 (314) 및 매칭 네트워크 (316) 와 전기적으로 통신한다. 일부 실시 예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (314) 및 매칭 네트워크 (316) 는 목표된 조성의 라디칼 종을 갖는 플라즈마를 형성하도록 임의의 적합한 전력에서 동작될 수도 있다. 적합한 전력들의 예들은 최대 약 500 W이다. 유사하게, RF 전력 공급부 (314) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시 예들에서, RF 전력 공급부 (314) 는 고주파수 RF 전력 소스 및 저주파수 RF 전력 소스를 서로 독립적으로 제어하도록 구성될 수도 있다. 저주파수 RF 주파수들의 예들은, 이로 제한되는 것은 아니지만, 50 ㎑ 내지 1000 ㎑의 주파수들을 포함할 수도 있다. 고주파수 RF 주파수들의 예들은, 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓ (예를 들어, 약 13.56 ㎒) 의 주파수들을 포함할 수도 있다. 임의의 적합한 파라미터들은 표면 반응들을 위한 플라즈마 에너지를 제공하도록 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 인식될 것이다. 일 비제한적인 예에서, 플라즈마 전력은 연속적으로 전력 공급된 플라즈마들에 대해 기판 표면과의 이온 충돌을 감소시키도록 간헐적으로 펄싱될 수도 있다. RF 전력 공급부는 임의의 적합한 듀티 사이클로 동작될 수도 있다. 적합한 듀티 사이클들의 예들은 이로 제한되는 것은 아니지만, 약 5 % 내지 90 %의 듀티 사이클들을 포함한다. 허용 가능한 프로세스 압력은 약 20 mTorr 내지 5 Torr이다.
일부 예들에서, RF 전력은 연속적이거나 하나 이상의 레벨들 사이에서 펄싱될 수 있다. 펄싱된 동작이 사용된다면, 펄싱은 1 ㎐ 내지 1 ㎒ 범위의 주파수에서 수행될 수 있다. 일부 예들에서, 챔버 압력은 5 mTorr 내지 450 mTorr 범위의 미리 결정된 압력으로 유지된다. 다른 예들에서, 증착 및 처리는 5 mTorr 내지 150 mTorr 범위의 압력에서 수행된다. 또 다른 예들에서, 증착 및 처리는 5 mTorr 내지 35 mTorr 범위의 압력에서 수행된다.
일부 증착 프로세스들에서, 플라즈마 스트라이크들 (plasma strikes) 은 대략 수 초 이상 지속 기간으로 지속된다. 특정한 구현 예들에서, 훨씬 보다 짧은 플라즈마 스트라이크들이 사용될 수도 있다. 이들은 대략 10 ㎳ 내지 1 초, 통상적으로 약 20 내지 80 ㎳일 수도 있고, 50 ㎳가 특정한 예이다. 이러한 매우 짧은 RF 플라즈마 스트라이크들은 플라즈마의 매우 신속한 안정화를 필요로 한다. 이를 달성하기 위해, 플라즈마 생성기는 임피던스 매칭이 특정한 전압으로 미리 설정되는 한편, 주파수가 플로팅되도록 구성될 수도 있다. 통상적으로, 고 주파수 플라즈마들은 약 13.56 ㎒의 RF 주파수에서 생성된다. 본 명세서에 개시된 다양한 실시 예들에서, 주파수는 이 표준 값과 상이한 값으로 플로팅되게 된다. 임피던스 매칭을 미리 결정된 전압으로 고정하는 동안 주파수가 플로팅하게 함으로써, 플라즈마는 일부 타입들의 증착 사이클들과 연관된 매우 짧은 플라즈마 스트라이크들을 사용할 때 중요할 수도 있는 결과를 훨씬 보다 신속하게 안정화될 수 있다.
일부 실시 예들에서, 제어기 (350) 에 대한 인스트럭션들은 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 통해 제공될 수도 있다. 일 예에서, 프로세스 페이즈를 위한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 페이즈에 대한 모든 인스트럭션들이 그 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시 예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들은 레시피 페이즈에 포함될 수도 있다. 예를 들어, 레시피 페이즈는 포토레지스트 하부층 옥소카본 전구체 및 H2 또는 하이드로카본 공-반응물질들 및 선택 가능한 도펀트들의 플로우 레이트를 설정하기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시 예들에서, 제어기 (350) 는 도 9의 시스템 제어기 (450) 에 대해 이하에 기술된 임의의 피처들을 포함할 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들이 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 9는 인바운드 로드록 (402) 및 아웃바운드 로드록 (404) 을 갖는 멀티-스테이션 프로세싱 툴 (400) 의 실시 예의 개략도를 도시하고, 인바운드 로드록 (402) 및 아웃바운드 로드록 (404) 중 하나 또는 모두는 리모트 플라즈마 소스를 포함할 수도 있다. 대기압에서 로봇 (406) 은, 카세트로부터 포드 (pod) (408) 를 통해 인바운드 로드록 (402) 으로 로딩된 웨이퍼들을 대기 포트 (410) 를 통해 이동시키도록 구성된다. 웨이퍼는 로봇 (406) 에 의해 인바운드 로드록 (402) 내의 페데스탈 (412) 상에 배치되고, 대기 포트 (410) 는 폐쇄되고, 그리고 로드록은 펌프 다운된다 (pump down). 인바운드 로드록 (402) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (414) 내로 도입되기 전에 로드록 내에서 표면을 처리하기 위해 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드록 (402) 내에서도 가열될 수도 있다. 다음에, 프로세싱 챔버 (414) 로의 챔버 이송 포트 (416) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위한 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 9에 도시된 실시 예는 로드록들을 포함하지만, 일부 실시 예들에서, 프로세스 스테이션 내로 웨이퍼의 직접 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (414) 는 도 9에 도시된 실시 예에서 1부터 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 418로 도시됨), 및 가스 라인 유입구들을 갖는다. 일부 실시 예들에서, 프로세스 스테이션 각각이 상이한 목적들 또는 복수의 목적들을 가질 수도 있다는 것이 인식될 것이다. 예를 들어, 일부 실시 예들에서, 프로세스 스테이션은 건식 현상 모드와 에칭 프로세스 모드 사이에서 스위칭 가능할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시 예들에서, 프로세싱 챔버 (414) 는 건식 현상 및 에칭 프로세스 스테이션들의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (414) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시 예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시 예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 9는 프로세싱 챔버 (414) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (490) 의 실시 예를 도시한다. 일부 실시 예들에서, 웨이퍼 핸들링 시스템 (490) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 인식될 것이다. 비-제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 9는 또한 프로세스 툴 (400) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (450) 의 실시 예를 도시한다. 시스템 제어기 (450) 는 하나 이상의 메모리 디바이스들 (456), 하나 이상의 대용량 저장 디바이스들 (454), 및 하나 이상의 프로세서들 (452) 을 포함할 수도 있다. 프로세서 (452) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (450) 는 프로세스 장치 (400) 의 모든 액티비티들을 제어한다. 시스템 제어기 (450) 는 대용량 저장 디바이스 (454) 에 저장되고 메모리 디바이스 (456) 내로 로딩되고, 그리고 프로세서 (452) 상에서 실행되는 시스템 제어 소프트웨어 (458) 를 실행한다. 대안적으로, 제어 로직은 제어기 (450) 에 하드코딩될 수도 있다. ASIC들 (Applications Specific Integrated Circuits), PLD들 (Programmable Logic Devices) (예를 들어, field-programmable gate arrays, 또는 FPGA들) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 비슷한 하드코딩된 로직이 그 자리에 사용될 수도 있다. 시스템 제어 소프트웨어 (458) 는 타이밍, 가스의 혼합물, 가스 플로우 레이트들, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타겟 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 위치를 제어하기 위한 인스트럭션들, 및 프로세스 툴 (400) 에 의해 수행되는 특정한 프로세스의 다른 파라미터들을 포함할 수도 있다. 시스템 제어 소프트웨어 (458) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 프로세스들을 수행하는데 사용된 프로세스 툴 컴포넌트들의 동작을 제어하기 위해 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (458) 는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시 예들에서, 시스템 제어 소프트웨어 (458) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (450) 와 연관된 대용량 저장 디바이스 (454) 및/또는 메모리 디바이스 (456) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시 예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (418) 상에 기판을 로딩하고 기판과 프로세스 툴 (400) 의 다른 부분들 사이의 간격을 제어하도록 사용되는 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램이 수소 할라이드 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같은 HBr 또는 HCl 가스) 및 플로우 레이트들을 제어하고, 선택 가능하게 프로세스 스테이션 내 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한, 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 스로틀 밸브를 조절함으로써, 프로세스 스테이션 내 압력, 프로세스 스테이션 내로 가스 플로우, 등을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하도록 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 (헬륨과 같은) 열 전달 가스의 기판으로의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시 예들에 따라 하나 이상의 프로세스 스테이션들의 프로세스 전극들로 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시 예들에 따라 반응 챔버 내 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (450) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (450) 에 의해 조정된 파라미터들은 프로세스 조건들에 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들, 주파수, 및 노출 시간과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는 레시피의 형태로 사용자들에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (450) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (400) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비한정적인 예들은 질량 플로우 레이트 제어기들, (마노미터들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouples), 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터 데이터로 사용될 수도 있다.
시스템 제어기 (450) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC (direct current) 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시 예들에 따라 포토레지스트 하부층 증착 프로세스들을 동작시키도록 파라미터들을 제어할 수도 있다.
시스템 제어기 (450) 는 통상적으로 장치가 개시된 실시 예들에 따른 방법을 수행하도록 인스트럭션들을 실행하게 구성된, 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 개시된 실시 예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션을 포함하는 머신-판독 가능 매체가 시스템 제어기 (450) 에 커플링될 수도 있다.
일부 구현 예들에서, 시스템 제어기 (450) 는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는, "제어기"로서 지칭될 수도 있다. 시스템 제어기 (450) 는, 시스템의 프로세싱 조건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기 (450) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 가능하게 하고, 엔드 포인트 측정들을 가능하게 하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (450) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (450) 는, 일부 구현 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (450) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 가능하게 할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달되는 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (450) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 시스템 제어기 (450) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 시스템 제어기 (950) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동되는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, EUV 리소그래피 챔버 (스캐너) 또는 모듈, 건식 현상 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (450) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접한 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
특정한 실시 예들에서, 일부 실시 예들의 구현에 적합한 에칭 동작들에 적합할 수도 있는 ICP (Inductively Coupled Plasma) 반응기들이 이제 기술된다. ICP 반응기들이 본 명세서에 기술되었지만, 일부 실시 예들에서, 용량 결합 플라즈마 반응기들이 또한 사용될 수도 있다는 것이 이해되어야 한다.
도 10은 기상 (건식) 현상, 건식 현상 및/또는 에칭과 같은 특정한 실시 예들 또는 실시 예들의 양태들을 구현하기 위해 적절한 유도 결합 플라즈마 장치 (500) 의 단면도를 개략적으로 도시하고, 이의 예는 CA, Fremont 소재의 Lam Research Corp.에 의해 생산된 Kiyo® 반응기이다. 다른 실시 예들에서, 본 명세서에 기술된 건식 증착, 현상 및/또는 에칭 프로세스들을 수행하기 위한 기능성을 갖는 다른 툴들 또는 툴 타입들이 구현을 위해 사용될 수도 있다.
유도 결합 플라즈마 장치 (500) 는 챔버 벽들 (501) 및 윈도우 (511) 에 의해 구조적으로 규정된 전체 프로세스 챔버 (524) 를 포함한다. 챔버 벽들 (501) 은 스테인리스 스틸 또는 알루미늄으로 제조될 수도 있다. 윈도우 (511) 는 석영 또는 다른 유전체 재료로 제조될 수도 있다. 선택 가능한 내부 플라즈마 그리드 (550) 가 전체 프로세스 챔버를 상부 서브챔버 (502) 및 하부 서브챔버 (503) 로 분할한다. 대부분의 실시 예들에서, 플라즈마 그리드 (550) 는 제거될 수도 있고, 이에 따라 서브챔버들 (502 및 503) 로 이루어진 챔버 공간을 활용한다. 척 (517) 이 하단 내측 표면 근방의 하부 서브챔버 (503) 내에 위치된다. 척 (517) 은 에칭 프로세스 및 증착 프로세스가 수행되는 반도체 웨이퍼 (519) 를 수용하고 홀딩하도록 구성된다. 척 (517) 은 존재한다면 웨이퍼 (519) 를 지지하기 위한 정전 척일 수 있다. 일부 실시 예들에서, 에지 링 (미도시) 이 척 (517) 을 둘러싸고, 척 (517) 위에 존재한다면 웨이퍼 (519) 의 상단 표면과 거의 평면인 상부 표면을 갖는다. 척 (517) 은 또한 웨이퍼 (519) 를 척킹 및 디척킹하기 위한 정전 전극들을 포함한다. 필터 및 DC 클램프 전력 공급부 (미도시) 가 이 목적을 위해 제공될 수도 있다. 척 (517) 으로부터 웨이퍼 (519) 를 리프팅하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (517) 은 RF 전력 공급부 (523) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (523) 는 연결부 (527) 를 통해 매칭 회로 (521) 에 연결된다. 매칭 회로 (521) 는 연결부 (525) 를 통해 척 (517) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (523) 는 척 (517) 에 연결된다. 다양한 실시 예들에서, 정전 척의 바이어스 전력은 약 50 V로 설정될 수도 있고, 또는 개시된 실시 예들에 따라 수행된 프로세스에 따라 상이한 바이어스 전력으로 설정될 수도 있다. 예를 들어, 바이어스 전력은 약 20 V 내지 약 100 V, 또는 약 30 V 내지 약 150 V일 수도 있다.
플라즈마 생성을 위한 엘리먼트들은 윈도우 (511) 위에 위치된 코일 (533) 을 포함한다. 일부 실시 예들에서, 코일은 개시된 실시 예들에서 사용되지 않는다. 코일 (533) 은 전기적으로 도전성 재료로 제조되고, 적어도 하나의 완전한 턴을 포함한다. 도 10에 도시된 코일 (533) 의 예는 3 개의 턴들을 포함한다. 코일 (533) 의 단면들은 심볼들로 도시되고, "×"를 갖는 코일들은 페이지 내로 회전하여 연장하는 한편, "●"를 갖는 코일들은 페이지 밖으로 회전하여 연장한다. 플라즈마 생성을 위한 엘리먼트들은 또한 코일 (533) 에 RF 전력을 공급하도록 구성된 RF 전력 공급부 (541) 를 포함한다. 일반적으로, RF 전력 공급부 (541) 는 연결부 (545) 를 통해 매칭 회로 (539) 에 연결된다. 매칭 회로 (539) 는 연결부 (543) 를 통해 코일 (533) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (541) 는 코일 (533) 에 연결된다. 선택 가능한 패러데이 차폐부 (549a) 가 코일 (533) 과 윈도우 (511) 사이에 위치된다. 패러데이 차폐부 (549a) 는 코일 (533) 에 대해 이격된 관계로 유지될 수도 있다. 일부 실시 예들에서, 패러데이 차폐부 (549a) 는 윈도우 (511) 바로 위에 배치된다. 일부 실시 예들에서, 패러데이 차폐부 (549b) 는 윈도우 (511) 와 척 (517) 사이에 있다. 일부 실시 예들에서, 패러데이 차폐부 (549b) 는 코일 (533) 에 대해 이격된 관계로 유지되지 않는다. 예를 들어, 패러데이 차폐부 (549b) 는 갭 없이 윈도우 (511) 바로 아래에 있을 수도 있다. 코일 (533), 패러데이 차폐부 (549a), 및 윈도우 (511) 는 각각 서로 실질적으로 평행하도록 구성된다. 패러데이 차폐부 (549a) 는 금속 또는 다른 종이 프로세스 챔버 (524) 의 윈도우 (511) 상에 증착되는 것을 방지할 수도 있다.
프로세스 가스들은 상부 서브챔버 (502) 내에 위치된 하나 이상의 주 가스 플로우 유입구들 (560) 을 통해 그리고/또는 하나 이상의 측면 가스 플로우 유입구들 (570) 을 통해 프로세스 챔버 내로 흐를 수도 있다. 유사하게, 명시적으로 도시되지 않지만, 유사한 가스 플로우 유입구들이 용량 결합 플라즈마 프로세싱 챔버에 프로세스 가스들을 공급하도록 사용될 수도 있다. 진공 펌프, 예를 들어, 1 단계 또는 2 단계 기계적 건조 펌프 및/또는 터보분자 펌프 (540) 가, 프로세스 챔버 (524) 밖으로 프로세스 가스들을 인출하고 프로세스 챔버 (524) 내의 압력을 유지하도록 사용될 수도 있다. 예를 들어, 진공 펌프는 ALD의 퍼지 동작 동안 하부 서브챔버 (503) 를 배기하도록 사용될 수도 있다. 밸브-제어된 도관이 진공 펌프에 의해 제공된 진공 분위기의 적용을 선택적으로 제어하기 위해 진공 펌프를 프로세스 챔버 (524) 에 유체적으로 연결하도록 사용될 수도 있다. 이는 동작 중인 플라즈마 프로세싱 동안 스로틀 밸브 (미도시) 또는 펜듈럼 (pendulum) 밸브 (미도시) 와 같은 폐루프-제어된 플로우 제한 디바이스를 채용하여 이루어질 수도 있다. 유사하게, 진공 펌프 및 용량 결합된 플라즈마 프로세싱 챔버로의 밸브 제어된 유체 연결이 또한 채용될 수도 있다.
장치 (500) 의 동작 동안, 하나 이상의 프로세스 가스들은 가스 플로우 유입구들 (560 및/또는 570) 을 통해 공급될 수도 있다. 특정한 실시 예들에서, 프로세스 가스는 주 가스 플로우 유입구 (560) 를 통해서만, 또는 측면 가스 플로우 유입구 (570) 를 통해서만 공급될 수도 있다. 일부 경우들에서, 도면에 도시된 가스 플로우 유입구들은 보다 복잡한 가스 플로우 유입구들, 예를 들어 하나 이상의 샤워헤드들로 대체될 수도 있다. 패러데이 차폐부 (549a) 및/또는 선택 가능한 그리드 (550) 는 프로세스 챔버 (524) 로의 프로세스 가스들의 전달을 허용하는 내부 채널들 및 홀들을 포함할 수도 있다. 패러데이 차폐부 (549a) 및 선택 가능한 그리드 (550) 중 하나 또는 모두는 프로세스 가스들의 전달을 위한 샤워헤드로서 역할할 수도 있다. 일부 실시 예들에서, 액체 기화 및 전달 시스템은 액체 반응 물질 또는 전구체가 기화되면, 기화된 반응 물질 또는 전구체가 가스 플로우 유입구 (560 및/또는 570) 를 통해 프로세스 챔버 (524) 내로 도입되도록, 프로세스 챔버 (524) 의 업스트림에 위치될 수도 있다.
RF 전류로 하여금 코일 (533) 을 통해 흐르게 하도록, RF 전력 공급부 (541) 로부터 코일 (533) 로 무선 주파수 전력이 공급된다. 코일 (533) 을 통해 흐르는 RF 전류는 코일 (533) 주위에 전자기장을 생성한다. 전자기장은 상부 서브챔버 (502) 내에 유도 전류를 생성한다. 웨이퍼 (519) 와 다양한 생성된 이온들 및 라디칼들의 물리적 상호작용 및 화학적 상호작용은 웨이퍼 (519) 의 피처들을 에칭하고 웨이퍼 (519) 상의 층들을 선택적으로 증착한다.
상부 서브챔버 (502) 및 하부 서브챔버 (503) 모두가 있도록 플라즈마 그리드 (550) 가 사용된다면, 유도 전류는 상부 서브챔버 (502) 내에 전자-이온 플라즈마를 생성하기 위해 상부 서브챔버 (502) 내에 존재하는 가스에 작용한다. 선택 가능한 내부 플라즈마 그리드 (550) 는 하부 서브챔버 (503) 내의 핫 (hot) 전자들의 양을 제한한다. 일부 실시 예들에서, 장치 (500) 는 하부 서브챔버 (503) 내에 존재하는 플라즈마가 이온-이온 플라즈마이도록 설계되고 동작된다.
상부 전자-이온 플라즈마 및 하부 이온-이온 플라즈마 모두는 양이온 및 음이온을 함유할 수도 있지만, 이온-이온 플라즈마는 양이온들에 대해 보다 큰 비의 음이온들을 가질 것이다. 휘발성 에칭 및/또는 증착 부산물들은 포트 (522) 를 통해 하부 서브챔버 (503) 로부터 제거될 수도 있다. 본 명세서에 개시된 척 (517) 은 약 10 ℃ 내지 약 250 ℃ 범위의 상승된 온도들에서 동작할 수도 있다. 온도는 프로세스 동작 및 특정한 레시피에 종속될 것이다.
장치 (500) 는 클린 룸 또는 제조 설비 내에 설치될 때 설비들 (미도시) 에 커플링될 수도 있다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 및 분위기 입자 제어를 제공하는 배관을 포함한다. 이들 설비들은 타겟 제조 설비 내에 설치될 때 장치 (500) 에 커플링된다. 부가적으로, 장치 (500) 는 로봇들로 하여금 통상적인 자동화를 사용하여 장치 (500) 내외로 반도체 웨이퍼들을 이송하게 하는 이송 챔버에 커플링될 수도 있다.
일부 실시 예들에서, (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기 (530) 가 프로세스 챔버 (524) 의 일부 또는 모든 동작들을 제어한다. 시스템 제어기 (530) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 일부 실시 예들에서, 장치 (500) 는 개시된 실시 예들이 수행될 때 플로우 레이트들 및 지속 기간들을 제어하기 위한 스위칭 시스템을 포함한다. 일부 실시 예들에서, 장치 (500) 는 최대 약 500 ㎳, 또는 최대 약 750 ㎳의 스위칭 시간을 가질 수도 있다. 스위칭 시간은 플로우 화학물질, 선택된 레시피, 반응기 아키텍처, 및 다른 인자들에 종속될 수도 있다.
일부 구현 예들에서, 시스템 제어기 (530) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는, 시스템 제어기 (530) 로 통합될 수도 있다. 시스템 제어기는, 시스템의 프로세싱 파라미터들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기 (530) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 또는 제거 동안 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (530) 는, 일부 구현 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 가능하게 할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달되는 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (530) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 시스템 제어기 (530) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동되는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD 챔버 또는 모듈, CVD (예를 들어, PECVD) 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 챔버 또는 모듈, EUV 리소그래피 챔버 (스캐너) 또는 모듈, 건식 현상 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 주 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
EUVL 패터닝은 종종 스캐너로 지칭되는 임의의 적합한 툴, 예를 들어 NL, Veldhoven 소재의 ASML에 의해 공급된 TWINSCAN NXE: 3300B® 플랫폼을 사용하여 수행될 수도 있다. EUVL 패터닝 툴은 기판이 본 명세서에 기술된 바와 같이 증착 및 에칭을 위해 내외로 이동되는 독립형 디바이스일 수도 있다. 또는 이하에 기술된 바와 같이, EUVL 패터닝 툴은 보다 큰 멀티-컴포넌트 툴 상의 모듈일 수도 있다. 도 11은 본 명세서에 기술된 프로세스들의 구현에 적합한, 진공 이송 모듈과 인터페이싱하는 진공-통합된 증착, EUV 패터닝 및 건식 현상/에칭 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처 (600) 를 도시한다. 프로세스들이 이러한 진공 통합된 장치 없이 수행될 수도 있지만, 이러한 장치는 일부 구현 예들에서 유리할 수도 있다.
도 11은 본 명세서에 기술된 프로세스들의 구현에 적합한, 진공 이송 모듈과 인터페이싱하는 진공-통합된 증착 및 패터닝 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 복수의 저장 설비들 및 프로세싱 모듈들 사이에서 웨이퍼들을 "이송"하기 위한 이송 모듈들의 배열은 "클러스터 툴 아키텍처" 시스템으로 지칭될 수도 있다. 증착 및 패터닝 모듈들은 특정한 프로세스의 요건들에 따라 진공-통합된다. 에칭을 위한 것과 같은 다른 모듈들이 또한 클러스터 상에 포함될 수도 있다.
진공 이송 모듈 (Vacuum Transport Module; VTM) (638) 이 다양한 제조 프로세스들을 수행하도록 개별적으로 최적화될 수도 있는 4 개의 프로세싱 모듈들 (620a 내지 620d) 과 인터페이싱한다. 예로서, 프로세싱 모듈들 (620a 내지 620d) 은 증착, 증발, ELD, 건식 현상, 에칭, 스트립 (strip), 및/또는 다른 반도체 프로세스들을 수행하도록 구현될 수도 있다. 예를 들어, 모듈 (620a) 은 본 명세서에 기술된 바와 같이 비플라즈마, 열적 원자 층 증착들을 수행하도록 동작될 수도 있는, CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 Vector 툴과 같은 ALD 반응기일 수도 있다. 그리고 모듈 (620b) 은 Lam Vector®와 같은 PECVD 툴일 수도 있다. 도면이 반드시 축척대로 도시된 것은 아니라는 것이 이해되어야 한다.
로드록들 또는 이송 모듈들로 또한 공지된 에어록들 (airlocks) (642 및 646) 은 VTM (638) 및 패터닝 모듈 (640) 과 인터페이싱한다. 예를 들어, 상기 주지된 바와 같이, 적합한 패터닝 모듈은 NL, Veldhoven 소재의 ASML에 의해 공급된 TWINSCAN NXE: 3300B® 플랫폼일 수도 있다. 이 툴 아키텍처는 반도체 기판들 또는 웨이퍼들과 같은 워크피스들로 하여금 노출 전에 반응하지 않도록 진공 하에서 이송되게 한다. 리소그래피 툴과 증착 모듈들의 통합은 EUVL가 또한 H2O, O2, 등과 같은 주변 가스들에 의한 입사 광자들의 강한 광 흡수를 고려하면 상당히 감소된 압력을 필요로 한다는 사실에 의해 용이해진다.
상기 주지된 바와 같이, 이 통합된 아키텍처는 단지 기술된 프로세스들의 구현을 위한 툴의 일 가능한 실시 예이다. 프로세스들은 또한 예를 들어, 도 11을 참조하여 기술된 바와 같지만 통합된 패터닝 모듈 없는 모듈들과 같이, 독립형 또는 다른 툴들, 예컨대 에칭, 스트립, 등 (예를 들어, Lam Kiyo 또는 Gamma 툴들) 과 함께 클러스터 아키텍처에 통합된, Lam Vector 툴과 같은 보다 통상적인 독립형 EUVL 스캐너 및 증착 반응기로 구현될 수도 있다.
에어록 (642) 은 증착 모듈 (620a) 을 서비스하는 VTM (638) 으로부터 패터닝 모듈 (640) 로의 기판의 이송을 지칭하는 "인출 (outgoing)" 로드록일 수도 있고, 에어록 (646) 은 패터닝 모듈 (640) 로부터 VTM (638) 으로 다시 기판의 이송을 지칭하는 "인입 (ingoing)" 로드록일 수도 있다. 인입 로드록 (646) 은 또한 기판들의 액세스 및 진출 (egress) 을 위해 툴의 외부로의 인터페이스를 제공할 수도 있다. 프로세스 모듈 각각은 모듈을 VTM (638) 에 인터페이싱하는 패싯 (facet) 을 갖는다. 예를 들어, 증착 프로세스 모듈 (620a) 은 패싯 (636) 을 갖는다. 패싯 각각의 내부에서, 센서들, 예를 들어, 도시된 바와 같이 센서 1 내지 센서 18은 각각의 스테이션들 사이에서 이동할 때 웨이퍼 (626) 의 통과를 검출하도록 사용된다. 패터닝 모듈 (640) 및 에어록들 (642 및 646) 은 도시되지 않은 부가적인 패싯들 및 센서들을 유사하게 구비할 수도 있다.
메인 VTM 로봇 (622) 은 에어록들 (642 및 646) 을 포함하는 모듈들 사이에서 웨이퍼 (626) 를 이송한다. 일 실시 예에서, 로봇 (622) 은 하나의 암을 갖고, 또 다른 실시 예에서, 로봇 (622) 은 2 개의 암들을 갖고, 암 각각은 이송을 위해 웨이퍼 (626) 와 같은 웨이퍼들을 픽킹하기 (pick) 위한 엔드 이펙터 (624) 를 갖는다. 프론트 엔드 로봇 (644) 은 인출 에어록 (642) 으로부터 패터닝 모듈 (640) 내로, 패터닝 모듈 (640) 로부터 인입 에어록 (646) 내로 웨이퍼들 (626) 을 이송하도록 사용된다. 프론트 엔드 로봇 (644) 은 또한 기판들의 액세스 및 진출을 위해 인입 로드록과 툴의 외부 사이에서 웨이퍼들 (626) 을 이송할 수도 있다. 인입 에어록 모듈 (646) 이 대기와 진공 사이의 분위기를 매칭하는 능력을 갖기 때문에, 웨이퍼 (626) 는 손상되지 않고 2 개의 압력 분위기들 사이에서 이동할 수 있다.
EUVL 툴이 통상적으로 증착 툴보다 높은 진공에서 동작한다는 것을 주의해야 한다. 이것이 사실이라면, 기판이 패터닝 툴 내로 진입하기 전에 탈기되게 하도록 EUVL 툴로의 증착 사이의 이송 동안 기판의 진공 분위기를 상승시키는 것이 바람직하다. 인출 에어록 (642) 은 패터닝 툴 (640) 의 광학계 (optics) 가 기판으로부터 가스 배출 (off-gassing) 에 의해 오염되지 않도록, 일정 기간 동안 패터닝 모듈 (640) 내의 압력보다 높지 않은, 보다 낮은 압력으로 이송된 웨이퍼들을 홀딩하고 모든 가스 배출을 배기함으로써 이 기능을 제공할 수도 있다. 인출, 가스 배출 에어록을 위한 적합한 압력은 1E-8 Torr 이하이다.
일부 실시 예들에서, (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기 (650) 가 클러스터 툴 및/또는 이의 분리된 모듈들의 일부 또는 모든 동작들을 제어한다. 제어기가 클러스터 아키텍처에 국부적일 수 있거나, 제작 현장에서 클러스터 아키텍처 외부에, 또는 원격 위치에 위치될 수 있고, 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것을 주의해야 한다. 시스템 제어기 (650) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 프로세서는 CPU (Central Processing Unit) 또는 컴퓨터, 아날로그 입력/출력 연결부들 및/또는 디지털 입력/출력 연결부들, 스텝퍼 (stepper) 모터 제어기 보드들, 및 다른 유사한 컴포넌트들을 포함할 수도 있다. 적절한 제어 동작들을 구현하기 위한 인스트럭션들이 프로세서 상에서 실행된다. 이들 인스트럭션들은 제어기와 연관된 메모리 디바이스들 상에 저장될 수도 있고, 또는 이들이 네트워크를 통해 제공될 수도 있다. 특정한 실시 예들에서, 시스템 제어기는 시스템 제어 소프트웨어를 실행한다.
시스템 제어 소프트웨어는 툴 또는 모듈 동작의 임의의 양태의 적용의 타이밍 및/또는 크기를 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 (subroutines) 또는 제어 객체들은 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작들을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어가 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다. 일부 실시 예들에서, 시스템 제어 소프트웨어는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 포함한다. 예를 들어, 반도체 제조 프로세스의 페이즈 (phase) 각각은 시스템 제어기에 의한 실행을 위한 하나 이상의 인스트럭션들을 포함할 수도 있다. 응결, 증착, 증발, 패터닝 및/또는 에칭 페이즈를 위한 프로세스 조건들을 설정하기 위한 인스트럭션들은 예를 들어, 대응하는 레시피 페이즈에 포함될 수도 있다.
다양한 실시 예들에서, 네거티브 패턴 마스크를 형성하기 위한 장치가 제공된다. 장치는 패터닝, 증착 및 에칭을 위한 프로세싱 챔버, 및 네거티브 패턴 마스크를 형성하기 위한 인스트럭션들을 포함하는 제어기를 포함할 수도 있다. 인스트럭션들은 프로세싱 챔버에서, 기판의 표면을 노출하도록 EUV 노출에 의해 반도체 기판 상의 CAR (chemically amplified resist) 의 피처를 패터닝하고, 포토패터닝된 레지스트를 건식 현상하고, 그리고 패터닝된 레지스트를 마스크로서 사용하여 아래에 놓인 층 또는 층 스택을 에칭하기 위한 코드를 포함할 수도 있다.
웨이퍼 이동을 제어하는 컴퓨터는 클러스터 아키텍처에 국부적일 수 있거나, 제작 현장에서 클러스터 아키텍처 외부에, 또는 원격 위치에 위치될 수 있고 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것을 주의해야 한다. 도 8, 도 9, 또는 도 10 중 임의의 하나에 대해 상기 기술된 바와 같은 제어기가 도 11의 툴을 사용하여 구현될 수도 있다.
도 12는 증착 챔버의 예를 도시한다 (예를 들어, 증기-기반 증착, 예컨대 이미징 층 및/또는 하부층). 알 수 있는 바와 같이, 장치 (700) 는 리드 (708) 및 기판 (722) 이 통과하고 웨이퍼 지지부 (724) 상에 배치되게 하도록 사이즈가 정해진 웨이퍼 이송 통로 (704) 를 갖는 프로세싱 챔버 (702) 를 포함한다. 웨이퍼 이송 통로 (704) 는 웨이퍼 이송 통로를 시일링하거나 시일링 해제하도록 (unseal) 동작될 수도 있는 게이트 밸브 (706) 또는 유사한 도어 메커니즘을 가질 수도 있다. 예를 들어, 프로세싱 챔버 (702) 는 인접한 이송 챔버 내에 위치된 웨이퍼 핸들링 로봇을 통해 기판들 (722) 이 제공될 수도 있다.
웨이퍼 지지부 (724) 는 기판 (722) 을 위한 웨이퍼 지지 표면을 제공하기 위해 ESC (726) 를 포함할 수도 있다. ESC (726) 는 상단 플레이트 (728) 의 상단 표면에 본딩되는 베이스 플레이트 (734) 를 포함할 수도 있다. 도시된 예에서, 상단 플레이트 (728) 는 내부에 임베딩된 2 개의 분리된 전기 시스템들을 갖는다. 하나의 이러한 시스템은 기판 (722) 으로 하여금 상단 플레이트 (728) 의 웨이퍼 지지 표면에 대해 당겨지게 하는 기판 (722) 내에 전하를 생성하도록 하나 이상의 클램핑 전극들 (732) 을 갖는 정전 클램핑 전극 시스템이다.
다른 시스템은 프로세싱 조건들 동안 기판 (722) 의 온도를 제어하기 위한 열 제어 시스템 (thermal control system) 이다. 도 12에서, 열 제어 시스템은 클램핑 전극들 (732) 아래에 위치된 4 개의 환형 저항 히터 트레이스들 (730a, 730b, 730c, 및 730d) 을 특징으로 한다. 저항 히터 트레이스 (730a, 730b, 730c, 및 730d) 각각은, 일부 경우들에서, 예를 들어, ± 0.5 ℃의 온도 균일도를 갖도록 기판 (722) 을 유지하기 위해 상단 플레이트 (728) 내에 다양한 방사상 가열 프로파일들을 제공하도록 개별적으로 제어될 수도 있다. 다른 구현 예들은 4 개보다 많거나 보다 적은 존들을 갖는 단일-존 또는 멀티-존 가열 시스템들을 사용할 수도 있다. 예를 들어, 상기 논의된 온도 제어 메커니즘들의 일부 구현 예들에서, 열 펌프들 또는 Peltier 접합부들이 저항 가열 트레이스들 대신 사용될 수도 있다.
ESC (726) 는 또한 상단 플레이트 (728) 의 아랫면에 구조적 지지를 제공하도록 베이스 플레이트 (734) 를 포함할 수도 있고, 이는 또한 열 분산 시스템으로서 작용할 수도 있다. 예를 들어,베이스 플레이트 (734) 는 하나 이상의 열 교환 통로들 (736) 을 포함할 수도 있고; 열 교환 매체, 예를 들어, 물 또는 불활성 플루오르화된 액체가 사용 동안 열 교환 통로들 (736) 을 통해 순환될 수도 있다.
ESC (726) 는 웨이퍼 지지 컬럼 (744) 과 연결되고 웨이퍼 지지 컬럼 (744) 에 의해 지지되는 웨이퍼 지지 하우징 (742) 에 의해 지지될 수도 있다. 웨이퍼 지지 컬럼 (744) 은 (예를 들어, 전력을 제공하기 위한) 케이블링, (예를 들어, 열 교환 매체를 전달하기 위한) 유체 플로우 도관들, 및 베이스 플레이트 (734) 및/또는 상단 플레이트 (728) 의 아랫면으로 다른 장비를 라우팅하기 위한 라우팅 통로 (748) 또는 다른 패스-스루들 (pass-throughs) 을 가질 수도 있다.
도 12의 장치 (700) 는 또한 웨이퍼 지지 컬럼 (744) 에 이동식 지지부를 제공할 수도 있는 웨이퍼 지지부 z-액추에이터 (746) 를 포함한다. 웨이퍼 지지부 z-액추에이터 (746) 는 웨이퍼 지지 컬럼 (744) 및 이에 의해 지지된 웨이퍼 지지부 (724) 로 하여금 프로세싱 챔버 (702) 의 반응 공간 (720) 내에서 수직으로, 예를 들어, 수 인치까지 위로 또는 아래로 이동하게 하도록 작동될 수도 있다. 그렇게함에 있어서, 기판 (722) 과 샤워헤드 (710) 의 아랫면 사이의 갭 거리 X는 다양한 프로세스 조건들에 따라 튜닝될 수도 있다.
웨이퍼 지지부 (724) 는 또한 다양한 프로세스 조건들을 제어 및/또는 미세-튜닝하도록 사용될 수도 있는 하나 이상의 에지 링들을 포함할 수도 있다. 도 12에서, 예를 들어, 하부 에지 링들 (740a 및 740b) 의 상단 상에 놓이는 상부 에지 링 (738) 이 제공되고, 이는 결국 웨이퍼 지지 하우징 (742) 및 제 3 하부 에지 링 (740c) 에 의해 지지된다.
장치 (700) 는 또한 프로세싱이 종료되는 동안 그리고 프로세싱이 종료된 후 프로세싱 챔버 (702) 로부터 프로세스 가스들을 제거하기 위한 시스템을 포함할 수도 있다. 예를 들어, 프로세싱 챔버 (702) 는 웨이퍼 지지 컬럼 (744) 을 둘러싸는 환형 플레넘 (756) 을 포함할 수도 있다. 환형 플레넘 (756) 은 결국 진공 펌프와 연결될 수도 있는 진공 포어라인 (foreline) (752) 과 유체로 연결될 수도 있다. 레귤레이터 밸브 (754) 가 진공 포어라인 (752) 과 프로세싱 챔버 (702) 사이에 제공될 수도 있고 진공 포어라인 (752) 내로의 플로우를 제어하도록 작동될 수도 있다. 일부 구현 예들에서, 웨이퍼 지지 컬럼 (744) 의 원주 둘레에 보다 고르게 분포된 환형 플레넘 (756) 내로의 플로우를 만들도록 기능할 수도 있는 배플 (750), 예를 들어, 환형 플레이트 또는 다른 구조체가 기판 (722) 을 가로 질러 흐르는 반응 물질들에서 플로우 불균일도들의 발생 기회들을 감소시키도록 제공될 수도 있다.
도시된 바와 같이, 샤워헤드 (710) 는 듀얼-플레넘 샤워헤드 (710) 이고 제 1 유입구 (716) 를 통해 프로세스 가스가 제공되는 제 1 플레넘 (712) 및 제 2 유입구 (718) 를 통해 프로세스 가스가 제공되는 제 2 플레넘 (714) 을 포함한다. 2 개 이상의 플레넘들이 전구체와 대응-반응물질의 방출 전에 전구체(들)와 대응-반응물질(들) 사이의 분리를 유지하도록 채용될 수 있다. 일부 예들에서, 프로세싱 챔버 (702) 의 반응 공간 (720) 내로 전구체(들)를 전달하기 위해 단일 플레넘이 사용된다. 플레넘 각각은 샤워헤드 (710) 의 대면 플레이트를 통해 반응 공간 (720) 과 각각의 플레넘을 유체로 연결하는 가스 분배 포트들의 대응하는 세트를 가질 수도 있다 (대면 플레이트는 최하부 플레넘과 반응 공간 (720) 사이에 개재되는 샤워헤드 (710) 의 부분이다).
샤워헤드 (710) 의 제 1 유입구 (716) 및 제 2 유입구 (718) 는 본 명세서에 논의된 바와 같이, 하나 이상의 전구체(들) 및/또는 역-반응 물질(들)을 제공하도록 구성될 수도 있는, 가스 공급 시스템을 통해 프로세싱 가스들이 제공될 수도 있다. 제 1 밸브 매니 폴드 (768a) 는 제 1 유입구 (716) 에 하나 이상의 전구체(들)를 제공하도록 구성될 수도 있는 한편, 제 2 밸브 매니 폴드 (768b) 는 다른 전구체(들) 또는 다른 반응 물질을 제 2 유입구 (718) 에 제공하도록 구성될 수도 있다. 이 예에서, 제 1 밸브 매니 폴드 (768a) 는 예를 들어, 복수의 밸브들 (A1 내지 A5) 을 포함한다. 밸브 A2는, 예를 들어, 제 1 기화기 (772a) 와 유체로 연결된 일 포트, 바이패스 라인 (770a) 과 유체로 연결된 또 다른 포트, 및 또 다른 3-방향 밸브 A3 상의 포트와 유체로 연결된 제 3 포트를 갖는 3-방향 밸브일 수도 있다. 유사하게, 밸브 A4는 제 2 기화기 (772b) 와 유체로 연결된 일 포트, 바이패스 라인 (770a) 과 유체로 연결된 또 다른 포트, 및 또 다른 3-방향 밸브 A5 상의 포트와 유체적으로 연결된 제 3 포트를 갖는 또 다른 3-방향 밸브일 수도 있다. 밸브 A5 상의 다른 포트들 중 하나는 제 1 유입구 (716) 와 유체로 연결될 수도 있는 한편, 밸브 A5 상의 나머지 포트는 밸브 A3 상의 나머지 포트들 중 하나와 유체로 연결될 수도 있다. 밸브 A3 상의 나머지 포트는 결국 밸브 A3와 퍼지 가스 소스 (774), 예를 들어 질소, 아르곤, 또는 (전구체(들) 및/또는 대응-반응물질(들) 에 대해) 다른 적합하게 불활성인 가스 사이에 유체로 개재될 수도 있는 밸브 A1와 유체로 연결될 수도 있다. 일부 실시 예들에서, 제 1 밸브 매니 폴드만이 채용된다.
본 개시의 목적들을 위해, 용어 "유체적으로 연결된 (fluidically connected)"은 용어 "전기적으로 연결된"이 전기적 연결을 형성하도록 함께 연결되는 컴포넌트들에 대해 사용되는 방법과 유사하게, 유체 연결을 형성하기 위해 서로 연결될 수도 있는, 볼륨들, 플레넘들, 홀들, 등에 대해 사용된다. 용어 "유체적으로 개재된 (fluidically interposed)"은, 사용된다면, 적어도 2 개의 다른 컴포넌트들, 볼륨들, 플레넘들, 또는 홀들과 유체적으로 연결된 컴포넌트, 볼륨, 플레넘, 또는 홀을 지칭하도록 사용될 수도 있어서, 이들 다른 컴포넌트들, 볼륨들, 플레넘들, 또는 홀들 중 하나로부터 이들 컴포넌트들, 볼륨들, 플레넘들, 또는 홀들 중 다른 것 또는 또 다른 것으로 흐르는 유체가 이들 컴포넌트들, 볼륨들, 플레넘들, 또는 홀들 중 다른 것 또는 또 다른 것에 도달하기 전에 "유체적으로 개재된" 컴포넌트를 통해 먼저 흐를 것이다. 예를 들어, 펌프가 저장부와 유출구 사이에 유체적으로 개재된다면, 저장부로부터 유출구로 흐르는 유체는 유출구에 도달하기 전에 먼저 펌프를 통해 흐를 것이다.
제 1 밸브 매니 폴드 (768a) 는, 예를 들어, 기화기들 (772a 및 772b) 중 하나 또는 모두로부터의 증기들로 하여금 프로세싱 챔버 (702) 로 또는 제 1 바이패스 라인 (770a) 을 통해 그리고 밸브 A1 내지 밸브 A5의 제어된 작동에 의해 진공 포어라인 (752) 내로 흐르게 하도록 제어 가능할 수도 있다. 제 1 밸브 매니 폴드 (768a) 는 또한 퍼지 가스로 하여금 퍼지 가스 소스 (774) 로부터 제 1 유입구 (716) 내로 흐르게 하도록 제어 가능할 수도 있다.
제 2 밸브 매니 폴드 (768b) 는 유사한 방식으로, 예를 들어, 기화기들 (772c 및 772d) 로부터 제 2 유입구 (718) 또는 제 2 바이패스 라인 (770b) 으로 증기들을 제공하도록 밸브들 (B1 내지 B5) 을 제어함으로써 제어될 수도 있다는 것이 이해될 것이다. 제 1 유입구 (716) 및 제 2 유입구 (718) 로의 전구체(들), 대응-반응물질(들), 또는 다른 반응물질들의 플로우를 제어하기 위한 밸브들을 포함하는, 상이한 매니폴드 배열들이 또한 활용될 수도 있다는 것이 또한 인식될 것이다.
앞서 언급된 바와 같이, 일부 장치들 (700) 은 보다 적은 수의 증기 소스들, 예를 들어, 단지 2 개의 기화기들 (772) 을 특징으로 할 수도 있고, 이 경우 밸브 매니 폴드(들) (768) 는 보다 적은 수의 밸브들, 예를 들어, 밸브들 (A1 내지 A3) 만을 갖도록 수정될 수도 있다.
상기 논의된 바와 같이, 막들의 건식 증착을 제공하도록 사용될 수도 있는 장치 (700) 와 같은 장치들은 프로세싱 챔버 (702) 내에서 특정한 온도 프로파일들을 유지하도록 구성될 수도 있다. 특히, 이러한 장치들 (700) 은 전구체(들) 및/또는 대응-반응물질(들)과 직접적으로 콘택트하는 장치 (702) 의 대부분의 장비보다 낮은 온도, 예를 들어, 적어도 25 ℃ 내지 50 ℃ 낮은 온도로 기판 (722) 을 유지하도록 구성될 수도 있다.
온도 제어를 제공하기 위해, 다양한 가열 시스템들이 장치 (700) 에 포함될 수도 있다. 예를 들어, 프로세싱 챔버 (702) 는 카트리지 히터들 (758) 을 수용하기 위한 리셉터클들을 가질 수도 있고, 예를 들어, 카트리지 히터들 (758) 을 수용하기 위한 수직 홀들은 챔버 (702) 하우징의 4 개의 코너들 내로 구멍을 뚫을 수도 있다. 일부 구현 예들에서, 샤워헤드 (710) 는 상승된 샤워헤드 온도를 유지하기 위해 샤워헤드 (710) 의 노출된 상부 표면에 걸쳐 열을 인가하도록 사용될 수도 있는, 히터 블랭킷들 (760) 로 커버될 수도 있다. 기화기들 (772) 로부터 샤워헤드 (710) 로 기화된 반응 물질들을 보내도록 (conduct) 사용되는 다양한 가스 라인들을 가열하는 것이 또한 유리할 수도 있다. 예를 들어, 저항성 히터 테이프는 이러한 가스 라인들 둘레에 감겨져 (wound) 이들을 상승된 온도로 가열하도록 사용될 수도 있다. 도 12의 임의의 가스 라인들 및 심지어 게이트 밸브 (706) 는 능동적으로 또는 간접적으로 가열될 수 있다.
장치 (700) 의 다양한 동작 시스템들은 제어기 (784) 에 의해 제어될 수도 있고, 제어기 (784) 는 서로 동작 가능하게 연결되고 장치 (700) 의 다양한 시스템들 및 서브 시스템들과 통신 가능하게 연결되어 이들 시스템들의 시스템들에 대한 제어 기능을 제공하는, 하나 이상의 프로세서들 (786) 및 하나 이상의 메모리 디바이스들 (788) 을 포함할 수도 있다. 예를 들어, 제어기 (784) 는 밸브들 (A1 내지 A5 및 B1 내지 B5), 다양한 히터들 (758, 760), 기화기들 (772), 레귤레이터 밸브 (754), 게이트 밸브 (706), 웨이퍼 지지부 z-액추에이터, 및 등을 제어하도록 구성될 수도 있다.
장치 (700) 가 포함할 수도 있는 또 다른 피처가 도 13에 도시되고, 도 12의 기판 (722), 상단 플레이트 (728), 및 상부 에지 링 (738) 의 일부의 확대된 측단면도 및 평면도들을 도시한다. 알 수 있는 바와 같이, 일부 구현 예들에서, 기판 (722) 은, 기판 (722) 의 아랫면과 상단 플레이트 (728) 의 대부분 사이에 배면 갭 (778) 을 제공하도록 작은 거리 상단 플레이트 (728) 의 공칭 상부 표면으로부터 돌출된 얕은 보스들 (bosses) 일 수도 있는 복수의 작은 메사들 (776) 에 의해 상단 플레이트 (728) 의 대부분으로부터 상승될 수도 있다. 원주형 벽 피처 (777) 가 상단 플레이트 (728) 의 주변부에 제공될 수도 있다. 원주형 벽 피처 (777) 는 상단 플레이트 (728) 의 전체 주변부 둘레로 연장할 수도 있고 메사들 (776) 과 명목상 동일한 높이일 수도 있다. 프로세싱 동작들 동안, 일반적으로 불활성 가스, 예컨대 헬륨이 하나 이상의 가스 포트들 (782) 을 통해 배면 갭 (778) 내로 흐를 수도 있다. 이어서 이 가스는 원주형 벽 피처 (777) 와 만나기 전에 방사상 외측으로 흐를 수도 있고, 이는 이어서 이러한 방사상 외측 플로우를 제한하고 가스의 보다 높은 압력 영역으로 하여금 기판 (722) 과 상단 플레이트 (728) 사이에 트랩되게 한다. 원주형 벽 (777) 을 지나 누설되는 불활성 가스는 결국 기판 (722) 의 외측 에지와 상부 에지 링 (738) 의 일부 사이의 방사상 갭 (780) 을 통해 흐를 수도 있다. 이러한 가스는 샤워헤드 (710) 에 의해 방출된 가스들이 기판 (722) 의 아랫면에 도달하는 것을 방지하도록 작용함으로써 수행될 프로세싱 동작들에 의해 바람직하지 않게 영향을 받는 것으로부터 기판의 하부를 보호하도록 기능할 수도 있다. 동시에, 배면 갭 (778) 영역 내로 방출된 가스는 또한 기판 (722) 과 상단 플레이트 (728) 사이의 열적 커플링을 상승시키도록 작용할 수도 있고, 이에 따라 상단 플레이트 (728) 로 하여금 기판 (722) 을 보다 효과적으로 가열하거나 냉각하게 한다. 원주형 벽에 의해 제공된 보다 높은 압력으로 인해, 배면 갭 (778) 영역 내에 있는 가스는 또한 챔버의 나머지 부분의 가스보다 보다 높은 밀도일 수도 있고, 따라서 기판 (722) 과 상단 플레이트 (728) 사이에 보다 효과적인 열적 커플링을 제공할 수도 있다.
제어기 (784) 는 예를 들어, 컴퓨터-실행 가능 인스트럭션들의 실행을 통해, 장치 (700) 로 하여금 상기 제공된 개시와 일치하는 다양한 동작들을 수행하게 하도록 구성될 수도 있다.
일단 이미징 층 및/또는 하부층이 기판 (722) 상에 증착되면, 기판 (722) 은 상기 주지된 바와 같이, 부가적인 동작들 (예를 들어, 본 명세서에 기술된 임의의 동작) 을 위해 하나 이상의 후속 프로세싱 챔버들 또는 툴로 이송될 수도 있다. 추가의 증착 장치들은 전체가 참조로서 본 명세서에 인용된, 명칭이 "APPARATUS FOR PHOTORESIST DRY DEPOSITION"인 2020 년 6 월 22 일 출원된 국제 특허 출원 번호 PCT/US2020/038968에 기술된다.
정의들
본 명세서에서 상호 교환 가능하게 사용된 바와 같이, "아실옥시 (acyloxy)" 또는 "알카노일옥시 (alkanoyloxy)"는 옥시기를 통해 모 분자기에 부착된, 본 명세서에 정의된 바와 같은 아실 또는 알카노일기를 의미한다. 특정한 실시 예들에서, 알카노일옥시는 -O-C(O)-Ak이고, 여기서 Ak는 본 명세서에 정의된 바와 같은 알킬기이다. 일부 실시 예들에서, 치환되지 않은 알카노일옥시는 C2-7 알카노일옥시기이다. 예시적인 알카노일옥시기들은 아세톡시를 포함한다.
"지방족 (aliphatic)"은 적어도 하나의 탄소 원자 내지 50 개의 탄소 원자들 (C1-50), 예컨대 1 내지 25 개의 탄소 원자들 (C1-25), 또는 1 내지 10 개의 탄소 원자들 (C1-10) 을 갖는 하이드로카본기를 의미하고, 알칸들 (또는 알킬), 알켄들 (또는 알케닐), 알킨들 (또는 알키닐), 이들의 고리형 버전들을 포함하고, 직쇄 및 분지쇄 배열들을 더 포함하고, 또한 모든 입체 및 위치 이성질체들을 포함한다. 이러한 지방족은 알킬기에 대해 본 명세서에 기술된 기들과 같은 하나 이상의 기들로 치환되거나 치환되지 않을 수 있다.
"알케닐 (alkenyl)"은 하나 이상의 이중 결합을 갖는 선택 가능하게 치환된 C2-24 알킬기를 의미한다. 알케닐기는 고리형 (예를 들어, C3-24 사이클로알케닐) 또는 비고리형일 수 있다. 알케닐기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 알케닐기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"알케닐렌 (alkenylene)"은 하나 이상의 이중 결합을 갖는 선택 가능하게 치환된 C2-24 알킬기인, 알케닐기의 다가 (예를 들어, 2가) 형태를 의미한다. 알케닐렌기는 고리형 (예를 들어, C3-24 사이클로알케닐) 또는 비고리형일 수 있다. 알케닐렌기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 알케닐렌기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다. 예시적인, 비제한적인 알케닐렌기들은 -CH=CH- 또는 -CH=CHCH2-를 포함한다.
"알콕시 (alkoxy)"는 -OR를 의미하고, 여기서 R은 본 명세서에 기술된 바와 같이 선택 가능하게 치환된 알킬기이다. 예시적인 알콕시기들은 메톡시, 에톡시, 부톡시, 트리할로알콕시, 예컨대 트리플루오로메톡시, 등을 포함한다. 알콕시기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 알콕시기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다. 예시적인 치환되지 않은 알콕시기들은 C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, 또는 C1-24 알콕시기들을 포함한다.
"알킬 (alkyl)" 및 접두사 "alk"는 1 내지 24 개의 탄소 원자들의 분지형 또는 비분지형 포화 하이드로카본기, 예컨대 메틸 (Me), 에틸 (Et), n-프로필 (n-Pr), 이소프로필 (i-Pr), 사이클로프로필, n-부틸 (n-Bu), 이소부틸 (i-Bu), s-부틸 (s-Bu), t-부틸 (t-Bu), 사이클로부틸, n-펜틸, 이소펜틸, s-펜틸, 네오펜틸, 헥실, 헵틸, 옥틸, 노닐, 데실, 도데실, 테트라데실, 헥사데실, 에이코실, 테트라코실, 등을 의미한다. 알킬기는 고리형 (예를 들어, C3-24 사이클로알킬) 또는 비고리형일 수 있다. 알킬기는 분지되거나 분지되지 않을 수 있다. 알킬기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 알킬기는 본 명세서에 기술된 바와 같이, 알킬기가 하나 이상의 할로기들에 의해 치환된 할로알킬을 포함할 수 있다. 또 다른 예에서, 알킬기는 1, 2, 3 또는 2 개 이상의 탄소들의 알킬기들의 경우, 다음으로 구성된 그룹으로부터 독립적으로 선택된 4 개의 치환기들로 치환될 수 있다: (1) C1-6 알콕시 (예를 들어, -O-Ak, 여기서 Ak는 선택 가능하게 치환된 C1-6 알킬임); (2) 아미노 (예를 들어, -NRN1RN2, 여기서 RN1 및 RN2 각각은 독립적으로 H 또는 선택 가능하게 치환된 알킬이거나, RN1 및 RN2는 각각이 부착된 질소 원자와 함께, 헤테로사이클릴기를 형성함); (3) 아릴; (4) 아릴알콕시 (예를 들어, -O-Lk-Ar, 여기서 Lk는 선택 가능하게 치환된 알킬의 2가 형태이고 Ar은 선택 가능하게 치환된 아릴이다); (5) 아릴로일 (예를 들어, -C(O)-Ar, 여기서 Ar은 선택 가능하게 치환된 아릴); (6) 시아노 (예를 들어, -CN); (7) 카르복시알데하이드 (예를 들어, -C(O)H); (8) 카르복실 (예를 들어, -CO2H); (9) C3-8 사이클로알킬 (예를 들어, 1가 포화 또는 불포화 비방향족 고리형 C3-8 하이드로카본기); (10) 할로 (예를 들어, F, Cl, Br, 또는 I); (11) 헤테로사이클릴 (예를 들어, 달리 명시되지 않는 한, 질소, 산소, 인, 황, 또는 할로와 같은 1, 2, 3 또는 4 개의 비-탄소 이종 원자를 함유하는, 5-원 (membered) 고리, 6-원 고리 또는 7-원 고리); (12) 헤테로사이클릴옥시 (예를 들어, -O-Het, 여기서 Het는 본 명세서에 기술된 바와 같이, 헤테로사이클릴임); (13) 헤테로사이클릴로일 (예를 들어, -C(O)-Het, 여기서 Het는 본 명세서에 기술된 바와 같이, 헤테로사이클릴임); (14) 하이드록실 (예를 들어, -OH); (15) n-보호된 아미노; (16) 니트로 (예를 들어, -NO2); (17) 옥소 (예를 들어, =O); (18) -CO2RA, 여기서 RA는 (a) C1-6 알킬, (b) C4-18 아릴, 및 (c) (C4-18 아릴) C1-6 알킬 (예를 들어, -Lk-Ar, 여기서 Lk는 선택 가능하게 치환된 알킬기의 2가 형태이고 Ar은 선택 가능하게 치환된 아릴) 로 구성된 그룹으로부터 선택됨; (19) -C(O)NRBRC, 여기서 RB 및 RC 각각은 독립적으로 (a) 수소, (b) C1-6 알킬, (c) C4-18 아릴, 및 (d) (C4-18 아릴) C1-6 알킬 (예를 들어, -Lk-Ar, 여기서 Lk는 선택 가능하게 치환된 알킬기의 2가 형태이고 Ar은 선택 가능하게 치환된 아릴) 로 구성된 그룹으로부터 선택됨; 및 (20) -NRGRH, 여기서 RG 및 RH 각각은 독립적으로, (a) 수소, (b) N-보호기, (c) C1-6 알킬, (d) C2-6 알케닐 (예를 들어, 하나 이상의 이중 결합들을 갖는 선택 가능하게 치환된 알킬), (e) C2-6 알키닐 (예를 들어, 하나 이상의 삼중 결합들을 갖는 선택 가능하게 치환된 알킬), (f) C4-18 아릴, (g) (C4-18 아릴) C1-6 알킬 (예를 들어, Lk-Ar, 여기서 Lk는 선택 가능하게 치환된 알킬기의 2가 형태이고 Ar은 선택 가능하게 치환된 아릴이다), (h) C3-8 사이클로알킬, 및 (i) (C3-8 사이클로알킬) C1-6 알킬 (예를 들어, -Lk-Cy, 여기서 Lk는 본 명세서에 기술된 바와 같이, 선택 가능하게 치환된 알킬기의 2가 형태이고, Cy는 선택 가능하게 치환된 사이클로알킬임) 로 구성된 그룹으로부터 선택되고, 일 실시 예에서, 2 개의 기가 카르보닐기를 통해 질소 원자에 바인딩되지 않는다. 알킬기는 하나 이상의 치환기들 (예를 들어, 하나 이상의 할로 또는 알콕시) 로 치환된 1 차, 2 차, 또는 3 차 알킬기일 수 있다. 일부 실시 예들에서, 치환되지 않은 알킬기는 C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, 또는 C1-24 알킬기이다.
"알킬렌 (alkylene)"은 본 명세서에 기술된 바와 같이, 알킬기의 다가 (예를 들어, 2가) 형태를 의미한다. 예시적인 알킬렌기들은 메틸렌, 에틸렌, 프로필렌, 부틸렌, 등을 포함한다. 일부 실시 예들에서, 알킬렌기는 C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, C1-24, C2-3, C2-6, C2-12, C2-16, C2-18, C2-20, 또는 C2-24 알킬렌기이다. 알킬렌기는 분지되거나 분지되지 않을 수 있다. 알킬렌기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 알킬렌기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"알키닐 (alkynyl)"은 하나 이상의 삼중 결합들을 갖는 선택 가능하게 치환된 C2-24 알킬기를 의미한다. 알키닐기는 고리형 또는 비고리형일 수 있고 에티닐, 1-프로피닐, 등으로 예시된다. 알키닐기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 알키닐기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"알키닐렌 (alkynylene)"은 하나 이상의 삼중 결합들을 갖는 선택 가능하게 치환된 C2-24 알킬기인, 알키닐기의 다가 (예를 들어, 2가) 형태를 의미한다. 알키닐렌기는 고리형 또는 비고리형일 수 있다. 알키닐렌기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 알키닐렌기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다. 예시적인, 비제한적인 알키닐렌기들은 -C≡C- 또는 -C≡CCH2-를 포함한다.
"아미노 (amino)"는 -NRN1RN2를 의미하고, 여기서 RN1 및 RN2 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 또는 선택 가능하게 치환된 아릴이고, 또는 RN1 및 RN2는 각각이 부착된 질소 원자와 함께 본 명세서에 정의된 바와 같이 헤테로사이클릴을 형성한다.
"방향족 (aromatic)"은 달리 명시되지 않는 한, 단일 고리 (예를 들어, 페닐) 또는 적어도 하나의 고리가 방향족인 복수의 축합 고리들 (예를 들어, 나프틸, 인돌릴, 또는 피라졸로피리디닐) 을 갖는 5 내지 15 개의 고리 원자들로부터 고리형, 공액기 또는 모이어티를 의미한다; 즉, 적어도 하나의 링, 및 선택 가능하게 복수의 축합 고리들은 연속적인, 비국소 π전자 시스템을 갖는다. 통상적으로, 평면 π전자들의 수는 Huckel 규칙 (4n+2) 에 대응한다. 모 구조체에 대한 부착 지점은 통상적으로 축합된 고리 시스템의 방향족 부분을 통한다. 이러한 방향족은 치환되지 않거나 알킬기 또는 아릴기에 대해 본 명세서에 기술된 기들과 같은 하나 이상의 기들로 치환될 수 있다. 또 다른 치환기들은 지방족, 할로 지방족, 할로, 나이트레이트, 시아노, 설포네이트, 설포닐, 또는 다른 것들을 포함할 수 있다.
"아릴 (aryl)"은 예를 들어, 인다닐, 테트라하이드로나프틸, 플루오레닐, 등과 같은 융합된 벤조-C4-8 사이클로알킬 라디칼들 (예를 들어, 본 명세서에 정의된 바와 같은) 을 포함하는, 페닐, 벤질, 안트라세닐, 안트릴, 벤조사이클로부테닐, 벤조사이클로옥테닐, 비페닐릴, 크리세닐, 디하이드로인덴일, 플루오란테닐, 인다세닐, 인데닐, 나프틸, 페난트릴, 페녹시벤질, 피세닐, 피레닐, 터페닐, 등을 포함하는 임의의 탄소-기반 방향족기를 포함하는 기를 의미한다. 용어 아릴은 또한 방향족기의 고리 내에 혼입된 적어도 하나의 이종 원자를 갖는 방향족기를 함유하는 기로서 규정되는, 이종 아릴을 포함한다. 이종 원자들의 예들은 이로 제한되는 것은 아니지만, 질소, 산소, 황, 및 인을 포함한다. 유사하게, 용어 아릴에 또한 포함되는 용어 비-이종 아릴은 이종 원자를 함유하지 않는 방향족기를 함유하는 기를 정의한다. 아릴기는 치환되거나 치환되지 않을 수 있다. 아릴기는 알킬에 대해 본 명세서에 기술된 임의의 것과 같은 1, 2, 3, 4, 또는 5 개의 치환기들로 치환될 수 있다.
"아릴렌 (arylenearylene)"은 본 명세서에 기술된 바와 같이, 아릴기의 다가 (예를 들어, 2가) 형태를 의미한다. 예시적인 아릴렌기들은 페닐렌, 나프틸렌, 비페닐렌, 트리페닐렌, 디페닐 에테르, 아세나프테닐렌, 안트릴렌, 또는 페난트릴렌을 포함한다. 일부 실시 예들에서, 아릴렌기는 C4-18, C4-14, C4-12, C4-10, C6-18, C6-14, C6-12, 또는 C6-10 아릴렌기이다. 아릴렌기는 분지되거나 분지되지 않을 수 있다. 아릴렌기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 아릴렌기는 알킬 또는 아릴에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"(아릴)(알킬)엔 ((aryl)(alkyl)ene)"은 본 명세서에 기술된 바와 같이 알킬렌 또는 헤테로알킬렌기에 부착된, 본 명세서에 기술된 바와 같은 아릴렌기를 포함하는 2가 형태를 의미한다. 일부 실시 예들에서, (아릴)(알킬)엔기는 -L-Ar- 또는 -L-Ar-L- 또는 -Ar-L-이고, 여기서 Ar은 아릴렌기이고 L은 각각 독립적으로, 선택가능하게 치환된 알킬렌기 또는 선택 가능하게 치환된 헤테로알킬렌기이다.
"카르보닐 (carbonyl)"은 또한 >C=O로 나타낼 수 있는 -C(O)-기, 또는 -CO기를 의미한다.
"카르복실 (carboxyl)"은 -CO2H기를 의미한다.
"카르복시알킬 (carboxyalkyl)"은 본 명세서에 정의된 바와 같은 하나 이상의 카르복실기들에 의해 치환된, 본 명세서에 정의된 바와 같은 알킬기를 의미한다.
"카르복시아릴 (carboxyaryl)"은 본 명세서에 정의된 바와 같은 하나 이상의 카르복실기들에 의해 치환된 본 명세서에 정의된 바와 같은 아릴기를 의미한다.
"사이클릭 안하이드라이드 (cyclic anhydride)"는 달리 명시되지 않는 한, 고리에 -C(O)-O-C(O)-기를 갖는, 3 원, 4 원, 5 원, 6 원 또는 7 원 고리 (예를 들어, 5 원, 6 원 또는 7 원 고리) 를 의미한다. 용어 "사이클릭 안하이드라이드"는 또한 상기 고리들 중 임의의 고리가 아릴 고리, 사이클로헥산 고리, 사이클로헥센 고리, 사이클로펜탄 고리, 사이클로펜텐 고리, 및 또 다른 모노사이클릭 헤테로사이클릭 고리로 구성된 그룹으로부터 독립적으로 선택된 1, 2, 또는 3 개의 고리에 융합된 바이사이클릭 (bicyclic), 트리사이클릭 (tricyclic) 및 테트라사이클릭 (tetracyclic) 기를 포함한다. 예시적인 사이클릭 안하이드라이드기들은, 하나 이상의 수소를 제거함으로써 숙신산 안하이드라이드, 글루타르산 안하이드라이드, 말레산 안하이드라이드, 프탈산 안하이드라이드, 이소크로만-1,3-디온, 옥세판디온, 테트라하이드로프탈산 안하이드라이드, 헥사하이드로프탈산 안하이드라이드, 피로멜리트산 디안하이드라이드, 나프탈산 안하이드라이드, 1,2-사이클로헥산디카복실산 안하이드라이드, 등으로부터 형성된 라디칼을 포함한다. 다른 예시적인 사이클릭 안하이드라이드기들은 디옥소테트라하이드로푸라닐, 디옥소디하이드로이소벤조푸라닐, 등을 포함한다. 사이클릭 안하이드라이드기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 사이클릭 안하이드라이드기는 헤테로사이클릴에 대해 본 명세서에 기술된 것들을 포함하는 하나 이상의 기들로 치환될 수 있다.
"사이클로알케닐 (cycloalkenyl)"은 달리 명시되지 않는 한, 하나 이상의 이중 결합들을 갖는, 3 내지 8 개의 탄소들의 1가 불포화 비방향족 또는 방향족 사이클릭 하이드로카본기를 의미한다. 사이클로알케닐기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 사이클로알케닐기는 알킬에 대해 본 명세서에 기술된 것들을 포함하는 하나 이상의 기들로 치환될 수 있다.
"사이클로알킬 (cycloalkyl)"은 달리 명시되지 않는 한, 3 내지 8 개의 탄소들의 1가 포화 또는 불포화 비방향족 또는 방향족 사이클릭 하이드로카본기를 의미하고, 사이클로프로필, 사이클로부틸, 사이클로펜틸, 사이클로펜타디에닐, 사이클로헥실, 사이클로헵틸, 비사이클로[2.2.1.]헵틸 등으로 예시된다. 사이클로알킬기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 사이클로알킬기는 알킬에 대해 본 명세서에 기술된 것들을 포함하는 하나 이상의 기들로 치환될 수 있다.
"할로 (halo)"는 F, Cl, Br, 또는 I을 의미한다.
"할로알킬 (haloalkyl)"은 하나 이상의 할로로 치환된, 본 명세서에 정의된 바와 같은 알킬기를 의미한다.
"헤테로알킬 (heteroalkyl)"은 1, 2, 3 또는 4 개의 비-탄소 이종 원자들 (예를 들어, 질소, 산소, 인, 황, 셀레늄, 또는 할로로 구성된 그룹으로부터 독립적으로 선택됨) 을 함유하는, 본 명세서에 정의된 바와 같은 알킬기를 의미한다.
"헤테로알킬렌 (heteroalkylene)"은 1, 2, 3 또는 4 개의 비-탄소 이종 원자들 (예를 들어, 질소, 산소, 인, 황, 셀레늄, 또는 할로로 구성된 그룹으로부터 독립적으로 선택됨) 을 함유하는, 본 명세서에 정의된 바와 같은 알킬렌기의 2가 형태를 의미한다. 헤테로알킬렌기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 헤테로알킬렌기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"헤테로사이클릴 (heterocyclyl)"은 달리 명시되지 않는 한, (예를 들어, 질소, 산소, 인, 황, 셀레늄, 또는 할로로 구성된 그룹으로부터 독립적으로 선택된) 1, 2, 3, 또는 4 개의 비-탄소 이종 원자들을 포함하는 3 원, 4 원, 5 원, 6 원 또는 7 원 고리 (예를 들어, 5 원, 6 원 또는 7 원 고리) 를 의미한다. 3 원 고리는 0 내지 1 개의 이중 결합들을 갖고, 4 원 및 5 원 고리는 0 내지 2 개의 이중 결합들을 갖고, 6 원 및 7 원 고리는 0 내지 3 개의 이중 결합들을 갖는다. 용어 "헤테로사이클릴"은 또한 상기 헤테로사이클릴 고리들 중 임의의 고리가 아릴 고리, 사이클로헥산 고리, 사이클로헥센 고리, 사이클로펜탄 고리, 사이클로펜텐 고리, 및 또 다른 모노사이클릭 헤테로사이클릭 고리, 예컨대 인돌릴, 퀴놀릴, 이소퀴놀릴, 테트라하이드로퀴놀릴, 벤조푸릴, 벤조티에닐, 등으로 구성된 그룹으로부터 독립적으로 선택된 1, 2, 또는 3 개의 고리에 융합된 바이사이클릭 (bicyclic), 트리사이클릭 (tricyclic) 및 테트라사이클릭 (tetracyclic) 기를 포함한다. 헤테로사이클릴기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 헤테로사이클릴기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"하이드로카빌 (hydrocarbyl)"은 하이드로카본으로부터 수소 원자를 제거함으로써 형성된 1가 기를 의미한다. 비제한적인 치환되지 않은 하이드로카빌기들은 본 명세서에 정의된 바와 같이 알킬, 알케닐, 알키닐, 및 아릴을 포함하고, 이들 기들은 탄소 및 수소 원자만을 포함한다. 하이드로카빌기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 하이드로카빌기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다. 다른 실시 예들에서, 본 명세서의 임의의 알킬기 또는 아릴기는 본 명세서에 정의된 바와 같이 하이드로카빌기로 대체될 수 있다.
"하이드록실 (hydroxyl)"은 -OH를 의미한다.
"하이드록시알킬 (hydroxyalkyl)"은 단 하나의 하이드록실기는 알킬기의 단일 탄소 원자에 부착될 수도 있다는 단서로, 1 내지 3 개의 하이드록실기에 의해 치환된, 본 명세서에 정의된 바와 같은 알킬기를 의미하고, 하이드록시메틸, 디하이드록시프로필, 등으로 예시된다.
"하이드록시아릴 (hydroxyaryl)"은 단 하나의 하이드록실기는 아릴기의 단일 탄소 원자에 부착될 수도 있다는 단서로, 1 내지 3 개의 하이드록실기에 의해 치환된, 본 명세서에 정의된 바와 같은 아릴기를 의미하고, 하이드록시페닐, 디하이드록시페닐, 등으로 예시된다.
"이소시아네이토 (isocyanato)"는 -NCO를 의미한다.
"옥시도 (oxido)"는 -O-기를 의미한다.
"옥소 (oxo)"는 =O기를 의미한다.
"포스핀 (phosphine)"은 하이드로카빌 모이어티들을 갖는 3가 또는 4가 인을 의미한다. 일부 실시 예들에서, 포스핀은 -PRP 3 기이고, 여기서 RP 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 또는 선택 가능하게 치환된 아릴이다. 포스핀기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 포스핀기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"셀레놀 (selenol)"은 -SeH기를 의미한다.
"텔루롤 (tellurol)"은 -TeH기를 의미한다.
"티오이소시아네이토 (thioisocyanato)"는 -NCS를 의미한다.
"티올 (thiol)"은 -SH기를 의미한다.
본 명세서에 사용된 바와 같이, 용어들 "상단 (top)", "하단 (bottom)", "상부 (upper)", "하부 (lower)", "위 (above)" 및 "아래 (below)"는 구조체들 간의 상대적인 관계를 제공하도록 사용된다. 이들 용어들의 사용은 특정한 구조체가 장치의 특정한 위치에 위치되어야 한다는 것을 나타내거나 요구하지 않는다.
결론
기판 (예를 들어, 하드 마스크) 과 포토 레지스트 사이의 접착을 증가시키고 그리고/또는 EUV 리소그래피 동안 효과적인 포토 레지스트 노출을 위해 EUV 도즈를 감소시키도록 구성된 포토 레지스트 하부층을 통합하기 위한 패터닝 구조들 및 스킴들, 및 연관된 프로세스 및 장치가 개시되고 기술된다.
본 명세서에 기술된 예들 및 실시 예들은 단지 예시적인 목적들을 위한 것이고, 이 관점에서 다양한 수정들 또는 변화들이 당업자들에게 제안될 것이라는 것이 이해된다. 명확성을 위해 다양한 상세들이 생략되었지만, 다양한 설계 대안들이 구현될 수도 있다. 따라서, 본 예들은 제한적이지 않고 예시적인 것으로 간주되어야 하고, 본 개시는 본 명세서에 제공된 상세들로 제한되지 않고, 본 개시의 범위 내에서 수정될 수도 있다.
이하의 샘플 청구항들은 본 개시의 특정한 실시 예들의 추가 예시를 위해 제공된다. 본 개시는 이들 실시 예들로 제한되어야 하는 것은 아니다.

Claims (26)

  1. 하부층을 증착하는 방법에 있어서,
    프로세스 챔버 내에 기판을 제공하는 단계; 및
    PECVD (plasma enhanced chemical vapor deposition) 프로세스에 의해 상기 기판의 표면 상에 수소화된 탄소 막을 증착하는 단계를 포함하고,
    상기 수소화된 탄소 막은 0.7 내지 2.9 g/cm3 의 밀도를 갖고,
    상기 PECVD 프로세스는 10 내지 1000 W의 전력을 포함하는 인가된 펄싱된 바이어스 또는 10 내지 500 W의 전력을 포함하는 인가된 연속파 바이어스를 더 포함하는, 하부층 증착 방법.
  2. 제 1 항에 있어서,
    상기 PECVD 프로세스는 메탄 (CH4), 아세틸렌 (C2H2), 에틸렌 (C2H4), 프로필렌 (C3H6), 프로핀 (C3H4), 알렌 (C3H4), 사이클로프로펜 (C3H4), 부탄 (C4H10), 사이클로헥산 (C6H12), 벤젠 (C6H6), 및 톨루엔 (C7H8) 으로부터 선택된 탄소-함유 전구체를 도입하는 단계를 포함하는, 하부층 증착 방법.
  3. 제 2 항에 있어서,
    상기 PECVD 프로세스는 질소-함유 전구체, 텅스텐-함유 전구체, 붕소-함유 전구체, 및/또는 요오드-함유 전구체를 도입하여, 도핑된 막을 제공하는 단계를 더 포함하는, 하부층 증착 방법.
  4. 제 1 항에 있어서,
    상기 PECVD 프로세스는 TCP (transformer coupled plasma) 또는 ICP (inductively coupled plasma) 를 포함하는, 하부층 증착 방법.
  5. 제 4 항에 있어서,
    TCP 전력은 바이어스 없이 100 내지 1000 W인, 하부층 증착 방법.
  6. 제 4 항에 있어서,
    상기 PECVD 프로세스는 10 내지 1000 mTorr의 압력 및/또는 0 내지 100 ℃의 온도를 더 포함하는, 하부층 증착 방법.
  7. 삭제
  8. 제 1 항에 있어서,
    상기 인가된 펄싱된 바이어스는 1 내지 99 %의 듀티 사이클 및 10 내지 2000 ㎐의 펄싱 주파수를 포함하는, 하부층 증착 방법.
  9. 제 1 항에 있어서,
    상기 증착 단계 후, 조면화된 (roughened) 표면을 제공하도록 상기 수소화된 탄소 막을 개질하는 단계 및 산소-함유 표면을 제공하도록 상기 조면화된 표면을 산소-함유 플라즈마에 선택 가능하게 노출하는 단계를 더 포함하는, 하부층 증착 방법.
  10. 제 1 항에 있어서,
    상기 증착 단계 후,
    상기 수소화된 탄소 막 상에 유기 금속 이미징 층을 기상 증착하거나 스핀-코팅하는 단계를 더 포함하는, 하부층 증착 방법.
  11. 제 10 항에 있어서,
    상기 유기 금속 이미징 층은 주석을 포함하는, 하부층 증착 방법.
  12. 제 10 항에 있어서,
    상기 유기 금속 이미징 층은 유기 주석을 포함하는, 하부층 증착 방법.
  13. 제 10 항에 있어서,
    상기 스핀-코팅 단계 후,
    상기 유기 금속 이미징 층을 EUV (Extreme Ultraviolet) 복사선에 노출시키는 단계를 더 포함하는, 하부층 증착 방법.
  14. 제 13 항에 있어서,
    상기 노출 단계 후,
    건식 현상을 사용하여 상기 유기 금속 이미징 층을 현상하는 단계를 더 포함하는, 하부층 증착 방법.
  15. 제 13 항에 있어서,
    상기 노출 단계 후,
    습식 현상을 사용하여 상기 유기 금속 이미징 층을 현상하는 단계를 더 포함하는, 하부층 증착 방법.
  16. 제 15 항에 있어서,
    상기 습식 현상은 알칼리 현상액, 암모늄-기반 이온성 액체, 글리콜 에테르, 유기산, 케톤, 또는 알코올을 사용하여 수행되는, 하부층 증착 방법.
  17. 제 15 항에 있어서,
    상기 습식 현상은 테트라메틸암모늄 하이드록사이드 (TMAH) 를 사용하여 수행되는, 하부층 증착 방법.
  18. 제 15 항에 있어서,
    상기 습식 현상은 프로필렌 글리콜 메틸 에테르 (PGME) 또는 프로필렌 글리콜 메틸 에테르 아세테이트 (PGMEA) 를 사용하여 수행되는, 하부층 증착 방법.
  19. 제 15 항에 있어서,
    상기 습식 현상은 유기산을 사용하여 수행되는, 하부층 증착 방법.
  20. 제 15 항에 있어서,
    상기 습식 현상은 2-헵타논을 사용하여 수행되는, 하부층 증착 방법.
  21. 제 15 항에 있어서,
    상기 습식 현상은 에탄올을 사용하여 수행되는, 하부층 증착 방법.
  22. 제 10 항에 있어서,
    상기 스핀-코팅 단계 후,
    200 ℃ 미만의 온도에서 도포 후 소성을 수행하는 단계를 더 포함하는, 하부층 증착 방법.
  23. 제 13 항에 있어서,
    상기 노출 단계 후,
    200 ℃ 미만의 온도에서 노출 후 소성을 수행하는 단계를 더 포함하는, 하부층 증착 방법.
  24. 제 15 항에 있어서,
    상기 현상 단계 후,
    200 ℃ 미만의 온도에서 현상 후 소성을 수행하는 단계를 더 포함하는, 하부층 증착 방법.
  25. 제 1 항에 있어서,
    상기 기판과 수소화된 탄소 막 사이에 하드 마스크를 제공하는 단계를 더 포함하는, 하부층 증착 방법.
  26. 제 25 항에 있어서,
    상기 하드 마스크는 애시 가능 하드 마스크인, 하부층 증착 방법.
KR1020227027233A 2020-01-15 2021-01-11 포토레지스트 부착 및 선량 감소를 위한 하부층 KR102539806B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062961647P 2020-01-15 2020-01-15
US62/961,647 2020-01-15
PCT/US2021/012953 WO2021146138A1 (en) 2020-01-15 2021-01-11 Underlayer for photoresist adhesion and dose reduction
KR1020217030794A KR102431292B1 (ko) 2020-01-15 2021-01-11 포토레지스트 부착 및 선량 감소를 위한 하부층

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020217030794A Division KR102431292B1 (ko) 2020-01-15 2021-01-11 포토레지스트 부착 및 선량 감소를 위한 하부층

Publications (2)

Publication Number Publication Date
KR20220115628A KR20220115628A (ko) 2022-08-17
KR102539806B1 true KR102539806B1 (ko) 2023-06-05

Family

ID=76864797

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020227027233A KR102539806B1 (ko) 2020-01-15 2021-01-11 포토레지스트 부착 및 선량 감소를 위한 하부층
KR1020217036139A KR20210135004A (ko) 2020-01-15 2021-01-11 포토레지스트 부착 및 선량 감소를 위한 하부층
KR1020217030794A KR102431292B1 (ko) 2020-01-15 2021-01-11 포토레지스트 부착 및 선량 감소를 위한 하부층

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020217036139A KR20210135004A (ko) 2020-01-15 2021-01-11 포토레지스트 부착 및 선량 감소를 위한 하부층
KR1020217030794A KR102431292B1 (ko) 2020-01-15 2021-01-11 포토레지스트 부착 및 선량 감소를 위한 하부층

Country Status (8)

Country Link
US (2) US11314168B2 (ko)
EP (1) EP3908882A4 (ko)
JP (3) JP7189375B2 (ko)
KR (3) KR102539806B1 (ko)
CN (3) CN113574456B (ko)
SG (1) SG11202108851RA (ko)
TW (2) TWI828961B (ko)
WO (1) WO2021146138A1 (ko)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
JP7189375B2 (ja) 2020-01-15 2022-12-13 ラム リサーチ コーポレーション フォトレジスト接着および線量低減のための下層
US20230031955A1 (en) * 2020-02-04 2023-02-02 Lam Research Corporation Post application/exposure treatments to improve dry development performance of metal-containing euv resist
US11621172B2 (en) 2020-07-01 2023-04-04 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists
US11562904B2 (en) 2020-07-21 2023-01-24 Applied Materials, Inc. Deposition of semiconductor integration films
US11886120B2 (en) 2020-07-21 2024-01-30 Applied Materials, Inc. Deposition of semiconductor integration films
US20230143629A1 (en) * 2021-11-09 2023-05-11 Tokyo Electron Limited EUV Active Films for EUV Lithography
US20230193460A1 (en) * 2021-12-17 2023-06-22 American Air Liquide, Inc. Deposition of iodine-containing carbon films
WO2023147212A1 (en) * 2022-01-28 2023-08-03 Lam Research Corporation Enhanced euv underlayer effect with diffusion barrier layer
US20230317452A1 (en) * 2022-03-31 2023-10-05 Nanya Technology Corporation Hard mask structure
WO2023230406A1 (en) * 2022-05-24 2023-11-30 Lam Research Corporation Underlayer with bonded dopants for photolithography
WO2023239628A1 (en) * 2022-06-06 2023-12-14 Inpria Corporation Gas-based development of organometallic resist in an oxidizing halogen-donating environment
WO2023243410A1 (ja) * 2022-06-14 2023-12-21 東京エレクトロン株式会社 基板処理方法及び基板処理システム
WO2024064071A1 (en) * 2022-09-20 2024-03-28 Lam Research Corporation Bake-sensitive underlayers to reduce dose to size of euv photoresist
US20240096622A1 (en) * 2022-09-21 2024-03-21 Tokyo Electron Limited Method and Apparatus for In-Situ Dry Development
WO2024070833A1 (ja) * 2022-09-27 2024-04-04 東京エレクトロン株式会社 基板処理方法及び基板処理システム
EP4350437A1 (fr) * 2022-10-07 2024-04-10 Richemont International S.A. Procédé de fabrication d'un moule pour la formation de pièces métalliques par croissance métallique

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100260994A1 (en) * 2007-12-20 2010-10-14 Roland Groenen substrate coated with amorphous hydrogenated carbon
US20170168398A1 (en) 2015-12-10 2017-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
WO2017153725A1 (en) * 2016-03-08 2017-09-14 Semblant Limited Plasma deposition method
US20180224744A1 (en) 2017-02-03 2018-08-09 Samsung Sdi Co., Ltd. Resist underlayer composition, and method of forming patterns using the composition
US20190129307A1 (en) 2017-11-01 2019-05-02 Samsung Sdi Co., Ltd. Resist underlayer composition, and method of forming patterns using the composition
US20190137870A1 (en) 2015-10-13 2019-05-09 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning

Family Cites Families (405)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3576755A (en) 1964-09-24 1971-04-27 American Cyanamid Co Photochromism in plastic film containing inorganic materials
US3442648A (en) 1965-06-16 1969-05-06 American Cyanamid Co Photographic dodging method
US3513010A (en) 1966-07-11 1970-05-19 Kalvar Corp Conversion foil
US3529963A (en) 1966-08-23 1970-09-22 Du Pont Image-yielding elements and processes
US3720515A (en) 1971-10-20 1973-03-13 Trw Inc Microelectronic circuit production
JPS5119974A (en) 1974-08-12 1976-02-17 Fujitsu Ltd Kibanjoheno pataanno sentakukeiseiho
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4061829A (en) 1976-04-26 1977-12-06 Bell Telephone Laboratories, Incorporated Negative resist for X-ray and electron beam lithography and method of using same
US4292384A (en) 1977-09-30 1981-09-29 Horizons Research Incorporated Gaseous plasma developing and etching process employing low voltage DC generation
US4241165A (en) 1978-09-05 1980-12-23 Motorola, Inc. Plasma development process for photoresist
US4328298A (en) 1979-06-27 1982-05-04 The Perkin-Elmer Corporation Process for manufacturing lithography masks
US4396704A (en) 1981-04-22 1983-08-02 Bell Telephone Laboratories, Incorporated Solid state devices produced by organometallic plasma developed resists
JPS58108744A (ja) 1981-12-23 1983-06-28 Mitsubishi Electric Corp 集積回路の製造方法
JPS6074626A (ja) 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
JPS60115222A (ja) 1983-11-28 1985-06-21 Tokyo Ohka Kogyo Co Ltd 微細パタ−ン形成方法
JPS6112653U (ja) 1984-06-25 1986-01-24 日本電気株式会社 バキユ−ムチヤツク
JPS62160981A (ja) 1986-01-08 1987-07-16 Mitsubishi Heavy Ind Ltd 石油タンカ−の改造法
JPS61234035A (ja) 1985-03-29 1986-10-18 Fujitsu Ltd 遠紫外線照射ドライ現像方法
JPH0778629B2 (ja) 1986-12-19 1995-08-23 ミノルタ株式会社 ポジ型レジスト膜及びそのレジストパターンの形成方法
US5077085A (en) 1987-03-06 1991-12-31 Schnur Joel M High resolution metal patterning of ultra-thin films on solid substrates
US4824763A (en) 1987-07-30 1989-04-25 Ekc Technology, Inc. Triamine positive photoresist stripping composition and prebaking process
US4814243A (en) 1987-09-08 1989-03-21 American Telephone And Telegraph Company Thermal processing of photoresist materials
US4834834A (en) 1987-11-20 1989-05-30 Massachusetts Institute Of Technology Laser photochemical etching using surface halogenation
US4845053A (en) 1988-01-25 1989-07-04 John Zajac Flame ashing process for stripping photoresist
KR920004176B1 (ko) 1988-03-16 1992-05-30 후지쓰 가부시끼가이샤 레지스트 패턴 형성 공정
US4940854A (en) 1988-07-13 1990-07-10 Minnesota Mining And Manufacturing Company Organic thin film controlled molecular epitaxy
US5094936A (en) 1988-09-16 1992-03-10 Texas Instruments Incorporated High pressure photoresist silylation process and apparatus
JPH04226462A (ja) 1990-06-29 1992-08-17 Fujitsu Ltd レジスト材料およびそれを用いるレジストパターンの形成方法
EP0465064B1 (en) 1990-06-29 1998-12-09 Fujitsu Limited Process for forming patterns
KR960000375B1 (ko) 1991-01-22 1996-01-05 가부시끼가이샤 도시바 반도체장치의 제조방법
US5322765A (en) 1991-11-22 1994-06-21 International Business Machines Corporation Dry developable photoresist compositions and method for use thereof
US6013418A (en) 1992-04-29 2000-01-11 Lucent Technologies Inc. Method for developing images in energy sensitive materials
GEP20002074B (en) 1992-05-19 2000-05-10 Westaim Tech Inc Ca Modified Material and Method for its Production
JPH0637050A (ja) 1992-07-14 1994-02-10 Oki Electric Ind Co Ltd 半導体ウエハのドライエッチング装置
JP2601112B2 (ja) 1992-11-30 1997-04-16 日本電気株式会社 半導体装置の製造方法
JPH06232041A (ja) 1993-02-05 1994-08-19 Hitachi Ltd パターン形成方法
KR960010727B1 (ko) 1993-06-03 1996-08-07 현대전자산업 주식회사 반도체 제조용 포토레지스트 제거방법
EP0635884A1 (de) 1993-07-13 1995-01-25 Siemens Aktiengesellschaft Verfahren zur Herstellung eines Grabens in einem Substrat und dessen Verwendung in der Smart-Power-Technologie
TW276353B (ko) 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
JPH07106224A (ja) 1993-10-01 1995-04-21 Hitachi Ltd パターン形成方法
JP3309095B2 (ja) 1994-08-30 2002-07-29 株式会社日立製作所 ドライ現像方法及び半導体装置の製造方法
US5534312A (en) 1994-11-14 1996-07-09 Simon Fraser University Method for directly depositing metal containing patterned films
JP3258199B2 (ja) 1995-05-24 2002-02-18 沖電気工業株式会社 半導体装置のパターン形成方法
JPH08339950A (ja) 1995-06-09 1996-12-24 Sony Corp フォトレジストパターン形成方法及びフォトレジスト処理装置
US6007963A (en) 1995-09-21 1999-12-28 Sandia Corporation Method for extreme ultraviolet lithography
US20020031920A1 (en) 1996-01-16 2002-03-14 Lyding Joseph W. Deuterium treatment of semiconductor devices
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US5761023A (en) 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
JPH1041206A (ja) 1996-07-19 1998-02-13 Toshiba Corp 半導体処理装置および処理方法
US5914278A (en) 1997-01-23 1999-06-22 Gasonics International Backside etch process chamber and method
JPH10209133A (ja) 1997-01-28 1998-08-07 Toshiba Corp プラズマ灰化装置およびプラズマ灰化方法
US6261938B1 (en) 1997-02-12 2001-07-17 Quantiscript, Inc. Fabrication of sub-micron etch-resistant metal/semiconductor structures using resistless electron beam lithography
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
JP3411559B2 (ja) 1997-07-28 2003-06-03 マサチューセッツ・インスティチュート・オブ・テクノロジー シリコーン膜の熱分解化学蒸着法
US6057587A (en) 1997-08-28 2000-05-02 Vlsi Technology, Inc. Semiconductor device with anti-reflective structure
KR100265766B1 (ko) 1997-09-04 2000-09-15 윤종용 반도체장치 제조용 웨이퍼의 리워크방법 및 반도체장치의 제조방법
US6290779B1 (en) 1998-06-12 2001-09-18 Tokyo Electron Limited Systems and methods for dry cleaning process chambers
US6348239B1 (en) 2000-04-28 2002-02-19 Simon Fraser University Method for depositing metal and metal oxide films and patterned films
WO2000003058A1 (en) 1998-07-10 2000-01-20 Ball Semiconductor, Inc. Cvd photo resist and deposition
ATE368756T1 (de) 1998-09-16 2007-08-15 Applied Materials Inc Verfahren zum aufbringen von silizium mit hoher rate bei niedrigen druck
JP2000305273A (ja) 1998-11-19 2000-11-02 Applied Materials Inc 遠紫外線ドライフォトリソグラフィー
KR100520670B1 (ko) 1999-05-06 2005-10-10 주식회사 하이닉스반도체 포토레지스트 패턴의 형성방법
JP2000356857A (ja) 1999-06-15 2000-12-26 Toshiba Electronic Engineering Corp パターン形成装置
US6582891B1 (en) 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
US20010024769A1 (en) 2000-02-08 2001-09-27 Kevin Donoghue Method for removing photoresist and residues from semiconductor device surfaces
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US20060001064A1 (en) 2000-04-28 2006-01-05 Hill Ross H Methods for the lithographic deposition of ferroelectric materials
US20040191423A1 (en) 2000-04-28 2004-09-30 Ruan Hai Xiong Methods for the deposition of silver and silver oxide films and patterned films
KR100406174B1 (ko) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
US20020015855A1 (en) 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
KR100620651B1 (ko) 2000-06-22 2006-09-13 주식회사 하이닉스반도체 반도체 소자의 미세패턴 제조방법
JP2002015971A (ja) 2000-06-27 2002-01-18 Matsushita Electric Ind Co Ltd パターン形成方法及び半導体装置の製造装置
KR100398312B1 (ko) 2000-06-30 2003-09-19 한국과학기술원 유기금속을 함유하고 있는 노르보넨 단량체, 이들의고분자 중합체를 함유하는 포토레지스트, 및 그제조방법과, 포토레지스트 패턴 형성방법
JP2002134402A (ja) 2000-08-15 2002-05-10 Tokyo Electron Ltd 基板処理方法及び基板処理装置
US6645677B1 (en) 2000-09-18 2003-11-11 Micronic Laser Systems Ab Dual layer reticle blank and manufacturing process
JP2002100558A (ja) 2000-09-26 2002-04-05 Nikon Corp 厚膜レジスト塗布方法
JP2002118096A (ja) 2000-10-06 2002-04-19 Sony Corp アッシング装置
US6368924B1 (en) 2000-10-31 2002-04-09 Motorola, Inc. Amorphous carbon layer for improved adhesion of photoresist and method of fabrication
JP4631011B2 (ja) 2000-12-28 2011-02-16 日産化学工業株式会社 導電性酸化スズ膜のパターニング方法
US6596641B2 (en) 2001-03-01 2003-07-22 Micron Technology, Inc. Chemical vapor deposition methods
US6797439B1 (en) 2001-03-30 2004-09-28 Schott Lithotec Ag Photomask with back-side anti-reflective layer and method of manufacture
US6686132B2 (en) 2001-04-20 2004-02-03 The Regents Of The University Of California Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake
US6933673B2 (en) 2001-04-27 2005-08-23 Semiconductor Energy Laboratory Co., Ltd. Luminescent device and process of manufacturing the same
US20020185067A1 (en) 2001-06-07 2002-12-12 International Business Machines Corporation Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US6926957B2 (en) 2001-06-29 2005-08-09 3M Innovative Properties Company Water-based ink-receptive coating
US6448097B1 (en) 2001-07-23 2002-09-10 Advanced Micro Devices Inc. Measure fluorescence from chemical released during trim etch
DE10138105A1 (de) 2001-08-03 2003-02-27 Infineon Technologies Ag Fotolack und Verfahren zum Strukturieren eines solchen Fotolacks
JP2003213001A (ja) 2001-11-13 2003-07-30 Sekisui Chem Co Ltd 光反応性組成物
JP2003280155A (ja) 2002-03-22 2003-10-02 Fuji Photo Film Co Ltd 自動現像装置
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
JP3806702B2 (ja) 2002-04-11 2006-08-09 Hoya株式会社 反射型マスクブランクス及び反射型マスク及びそれらの製造方法並びに半導体の製造方法
KR100815671B1 (ko) 2002-04-11 2008-03-20 호야 가부시키가이샤 반사형 마스크 블랭크, 반사형 마스크 및 이들의 제조방법
US7169440B2 (en) 2002-04-16 2007-01-30 Tokyo Electron Limited Method for removing photoresist and etch residues
DE10219173A1 (de) 2002-04-30 2003-11-20 Philips Intellectual Property Verfahren zur Erzeugung von Extrem-Ultraviolett-Strahlung
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US20050142885A1 (en) 2002-08-30 2005-06-30 Tokyo Electron Limited Method of etching and etching apparatus
KR101101698B1 (ko) 2002-10-21 2011-12-30 나노잉크, 인크. 나노미터-수준으로 제어된 구조, 이의 제작을 위한 방법 및장치, 및 마스크 복구, 강화, 및 제작에의 적용
US6624127B1 (en) 2002-11-15 2003-09-23 Intel Corporation Highly polar cleans for removal of residues from semiconductor structures
JP4153783B2 (ja) 2002-12-09 2008-09-24 株式会社東芝 X線平面検出器
JP4325301B2 (ja) 2003-01-31 2009-09-02 東京エレクトロン株式会社 載置台、処理装置及び処理方法
JP2004259786A (ja) 2003-02-24 2004-09-16 Canon Inc 露光装置
WO2004095551A1 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US20040203256A1 (en) 2003-04-08 2004-10-14 Seagate Technology Llc Irradiation-assisted immobilization and patterning of nanostructured materials on substrates for device fabrication
JP4640177B2 (ja) * 2003-09-24 2011-03-02 日立化成工業株式会社 感光性エレメント、レジストパターンの形成方法及びプリント配線板の製造方法
GB0323805D0 (en) 2003-10-10 2003-11-12 Univ Southampton Synthesis of germanium sulphide and related compounds
JP4313749B2 (ja) 2003-10-10 2009-08-12 エーエスエムエル ネザーランズ ビー.ブイ. 基板を支持部材上に配置する方法、及び基板ハンドラ
US7126128B2 (en) 2004-02-13 2006-10-24 Kabushiki Kaisha Toshiba Flat panel x-ray detector
JP4459666B2 (ja) 2004-03-12 2010-04-28 株式会社半導体エネルギー研究所 除去装置
KR101170861B1 (ko) 2004-09-01 2012-08-03 액셀리스 테크놀로지스, 인크. 포토레지스터 제거 레이트를 증가시키는 플라즈마 애싱프로세스 및 냉각 수단을 갖는 장치
US20060068173A1 (en) 2004-09-30 2006-03-30 Ebara Corporation Methods for forming and patterning of metallic films
JP2006253282A (ja) 2005-03-09 2006-09-21 Ebara Corp 金属膜のパターン形成方法
US20060128127A1 (en) 2004-12-13 2006-06-15 Jung-Hun Seo Method of depositing a metal compound layer and apparatus for depositing a metal compound layer
WO2006064592A1 (ja) 2004-12-17 2006-06-22 Osaka University 極端紫外光・x線源用ターゲット及びその製造方法
KR100601979B1 (ko) 2004-12-30 2006-07-18 삼성전자주식회사 반도체 웨이퍼의 베이킹 장치
KR100607201B1 (ko) 2005-01-04 2006-08-01 삼성전자주식회사 극자외선 리소그래피 공정에서 웨이퍼 상의 임계 치수편차를 보정하는 방법
US7381633B2 (en) 2005-01-27 2008-06-03 Hewlett-Packard Development Company, L.P. Method of making a patterned metal oxide film
US7365026B2 (en) 2005-02-01 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. CxHy sacrificial layer for cu/low-k interconnects
US7868304B2 (en) 2005-02-07 2011-01-11 Asml Netherlands B.V. Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby
US7608367B1 (en) * 2005-04-22 2009-10-27 Sandia Corporation Vitreous carbon mask substrate for X-ray lithography
JP2006310681A (ja) 2005-05-02 2006-11-09 Dainippon Screen Mfg Co Ltd 基板処理方法および装置
TWI338171B (en) 2005-05-02 2011-03-01 Au Optronics Corp Display device and wiring structure and method for forming the same
KR100705416B1 (ko) 2005-06-15 2007-04-10 삼성전자주식회사 포토레지스트 제거용 조성물, 이의 제조방법, 이를 이용한포토레지스트의 제거 방법 및 반도체 장치의 제조 방법
US7691559B2 (en) 2005-06-30 2010-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion lithography edge bead removal
JP4530933B2 (ja) 2005-07-21 2010-08-25 大日本スクリーン製造株式会社 基板熱処理装置
US7482280B2 (en) 2005-08-15 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a lithography pattern
JP4530980B2 (ja) 2005-08-26 2010-08-25 東京応化工業株式会社 膜形成用材料およびパターン形成方法
US7909960B2 (en) 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
JP5055743B2 (ja) * 2005-11-04 2012-10-24 セントラル硝子株式会社 含フッ素高分子コーティング用組成物、該コーティング用組成物を用いた含フッ素高分子膜の形成方法、ならびにフォトレジストまたはリソグラフィーパターンの形成方法。
US20070117040A1 (en) 2005-11-21 2007-05-24 International Business Machines Corporation Water castable-water strippable top coats for 193 nm immersion lithography
WO2007064376A2 (en) 2005-11-28 2007-06-07 Honeywell International Inc. Organometallic precursors and related intermediates for deposition processes, their production and methods of use
JP2007207530A (ja) 2006-01-31 2007-08-16 Toshiba Corp 異方性導電膜及びこれを用いたx線平面検出器、赤外線平面検出器及び表示装置
US7662718B2 (en) 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
US7682659B1 (en) 2006-04-10 2010-03-23 The Regents Of The University Of California Fabrication of suspended carbon micro and nanoscale structures
CN101180532A (zh) 2006-04-20 2008-05-14 多弗电子股份有限公司 用于恶劣环境的涂层以及使用所述涂层的传感器
KR100721206B1 (ko) 2006-05-04 2007-05-23 주식회사 하이닉스반도체 반도체소자의 스토리지노드 컨택 형성방법
US20070287073A1 (en) 2006-06-07 2007-12-13 Francis Goodwin Lithography systems and methods
KR20090025389A (ko) 2006-07-10 2009-03-10 픽셀리전트 테크놀로지스 엘엘씨 포토리소그래피용 레지스트
US7534627B2 (en) 2006-08-07 2009-05-19 Sokudo Co., Ltd. Methods and systems for controlling critical dimensions in track lithography tools
US7718542B2 (en) 2006-08-25 2010-05-18 Lam Research Corporation Low-k damage avoidance during bevel etch processing
US7771895B2 (en) 2006-09-15 2010-08-10 Applied Materials, Inc. Method of etching extreme ultraviolet light (EUV) photomasks
JP2008091215A (ja) 2006-10-02 2008-04-17 Nitto Kasei Co Ltd 酸化錫膜形成剤、該酸化錫膜形成剤を用いる酸化錫膜形成方法、及び該形成方法により形成される酸化錫膜
JP4428717B2 (ja) 2006-11-14 2010-03-10 東京エレクトロン株式会社 基板処理方法及び基板処理システム
JP5132920B2 (ja) 2006-11-22 2013-01-30 東京エレクトロン株式会社 塗布・現像装置および基板搬送方法、ならびにコンピュータプログラム
EP2105798A4 (en) 2007-01-17 2012-02-08 Sony Corp DEVELOPMENT SOLUTION AND METHOD FOR PRODUCING A FINE STRUCTURED MATERIAL
KR101392291B1 (ko) * 2007-04-13 2014-05-07 주식회사 동진쎄미켐 포토레지스트 조성물 및 이를 이용한 박막트랜지스터기판의 제조방법
US8105660B2 (en) 2007-06-28 2012-01-31 Andrew W Tudhope Method for producing diamond-like carbon coatings using PECVD and diamondoid precursors on internal surfaces of a hollow component
WO2009049048A2 (en) 2007-10-12 2009-04-16 Ultradots, Inc. Solar modules with enhanced efficiencies via use of spectral concentrators
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
KR100921932B1 (ko) 2007-10-25 2009-10-15 포항공과대학교 산학협력단 다원자분자를 이용한 패터닝방법
SG153748A1 (en) 2007-12-17 2009-07-29 Asml Holding Nv Lithographic method and apparatus
US20090197086A1 (en) 2008-02-04 2009-08-06 Sudha Rathi Elimination of photoresist material collapse and poisoning in 45-nm feature size using dry or immersion lithography
SG188140A1 (en) 2008-02-08 2013-03-28 Lam Res Corp Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
JP4978501B2 (ja) 2008-02-14 2012-07-18 日本電気株式会社 熱型赤外線検出器及びその製造方法
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5017147B2 (ja) 2008-03-06 2012-09-05 東京エレクトロン株式会社 基板の処理方法、プログラム及びコンピュータ記憶媒体及び基板処理システム
US7985513B2 (en) 2008-03-18 2011-07-26 Advanced Micro Devices, Inc. Fluorine-passivated reticles for use in lithography and methods for fabricating the same
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US20090286397A1 (en) 2008-05-15 2009-11-19 Lam Research Corporation Selective inductive double patterning
JP2009294439A (ja) 2008-06-05 2009-12-17 Toshiba Corp レジストパターン形成方法
JP5171422B2 (ja) 2008-06-19 2013-03-27 ルネサスエレクトロニクス株式会社 感光性組成物、これを用いたパターン形成方法、半導体素子の製造方法
US20090321707A1 (en) 2008-06-25 2009-12-31 Matthew Metz Intersubstrate-dielectric nanolaminate layer for improved temperature stability of gate dielectric films
US20090325387A1 (en) 2008-06-26 2009-12-31 Applied Materials, Inc. Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
JP5391594B2 (ja) 2008-07-02 2014-01-15 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4966922B2 (ja) 2008-07-07 2012-07-04 東京エレクトロン株式会社 レジスト処理装置、レジスト塗布現像装置、およびレジスト処理方法
KR20110050427A (ko) 2008-07-14 2011-05-13 아사히 가라스 가부시키가이샤 Euv 리소그래피용 반사형 마스크 블랭크 및 euv 리소그래피용 반사형 마스크
WO2010011974A1 (en) 2008-07-24 2010-01-28 Kovio, Inc. Aluminum inks and methods of making the same, methods for depositing aluminum inks, and films formed by printing and/or depositing an aluminum ink
US8435723B2 (en) 2008-09-11 2013-05-07 Nikon Corporation Pattern forming method and device production method
CN103151053B (zh) 2008-10-14 2015-12-09 旭化成电子材料株式会社 热反应型抗蚀剂材料、使用它的热光刻用层压体以及使用它们的模具的制造方法
US8105954B2 (en) 2008-10-20 2012-01-31 aiwan Semiconductor Manufacturing Company, Ltd. System and method of vapor deposition
JP5225815B2 (ja) 2008-11-19 2013-07-03 東京エレクトロン株式会社 インターフェイス装置、基板を搬送する方法及びコンピュータ可読記憶媒体
US7977235B2 (en) 2009-02-02 2011-07-12 Tokyo Electron Limited Method for manufacturing a semiconductor device with metal-containing cap layers
JP4880004B2 (ja) 2009-02-06 2012-02-22 東京エレクトロン株式会社 基板処理システム
JP2010239087A (ja) 2009-03-31 2010-10-21 Tokyo Electron Ltd 基板支持装置及び基板支持方法
JP5193121B2 (ja) 2009-04-17 2013-05-08 東京エレクトロン株式会社 レジスト塗布現像方法
US8114306B2 (en) 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
US20100310790A1 (en) * 2009-06-09 2010-12-09 Nanya Technology Corporation Method of forming carbon-containing layer
JPWO2011040385A1 (ja) 2009-09-29 2013-02-28 東京エレクトロン株式会社 Ni膜の成膜方法
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
WO2011081151A1 (ja) 2009-12-28 2011-07-07 旭硝子株式会社 感光性組成物、隔壁、カラーフィルタおよび有機el素子
US8410394B2 (en) 2010-01-08 2013-04-02 Uvtech Systems, Inc. Method and apparatus for processing substrate edges
JP5544914B2 (ja) 2010-02-15 2014-07-09 大日本印刷株式会社 反射型マスクの製造方法
JP5003773B2 (ja) 2010-02-15 2012-08-15 東京エレクトロン株式会社 現像装置、現像方法及び記憶媒体
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
WO2011137059A2 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Amorphous carbon deposition method for improved stack defectivity
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
JP5392190B2 (ja) 2010-06-01 2014-01-22 東京エレクトロン株式会社 基板処理システム及び基板処理方法
US8138097B1 (en) 2010-09-20 2012-03-20 Kabushiki Kaisha Toshiba Method for processing semiconductor structure and device based on the same
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
TW201224190A (en) * 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8470711B2 (en) 2010-11-23 2013-06-25 International Business Machines Corporation Tone inversion with partial underlayer etch for semiconductor device formation
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
JP5572560B2 (ja) 2011-01-05 2014-08-13 東京エレクトロン株式会社 成膜装置、基板処理システム、基板処理方法及び半導体装置の製造方法
US8836082B2 (en) 2011-01-31 2014-09-16 Brewer Science Inc. Reversal lithography approach by selective deposition of nanoparticles
US8778816B2 (en) 2011-02-04 2014-07-15 Applied Materials, Inc. In situ vapor phase surface activation of SiO2
JP5708522B2 (ja) 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
JP5842338B2 (ja) 2011-02-17 2016-01-13 セイコーエプソン株式会社 波長可変干渉フィルター、光モジュール、および電子機器
US9281207B2 (en) 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
FR2975823B1 (fr) 2011-05-27 2014-11-21 Commissariat Energie Atomique Procede de realisation d'un motif a la surface d'un bloc d'un substrat utilisant des copolymeres a bloc
KR101295791B1 (ko) 2011-05-31 2013-08-09 세메스 주식회사 기판 처리 설비 및 기판 처리 방법
US8709706B2 (en) 2011-06-15 2014-04-29 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
US9261784B2 (en) 2011-07-08 2016-02-16 Asml Netherlands B.V. Lithographic patterning process and resists to use therein
US8741775B2 (en) 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
CN102610516B (zh) 2011-07-22 2015-01-21 上海华力微电子有限公司 一种提高光刻胶与金属/金属化合物表面之间粘附力的方法
EP2587518B1 (en) 2011-10-31 2018-12-19 IHI Hauzer Techno Coating B.V. Apparatus and Method for depositing Hydrogen-free ta C Layers on Workpieces and Workpiece
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
KR102061919B1 (ko) 2011-11-21 2020-01-02 브레우어 사이언스 인코포레이션 Euv 리소그래피용 보조층
US8809994B2 (en) 2011-12-09 2014-08-19 International Business Machines Corporation Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate
US20130177847A1 (en) 2011-12-12 2013-07-11 Applied Materials, Inc. Photoresist for improved lithographic control
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
EP2608247A1 (en) 2011-12-21 2013-06-26 Imec EUV photoresist encapsulation
JP5705103B2 (ja) 2011-12-26 2015-04-22 株式会社東芝 パターン形成方法
JP5919896B2 (ja) 2011-12-28 2016-05-18 住友ベークライト株式会社 硬化膜の処理方法および半導体装置の製造方法
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
KR101920711B1 (ko) 2012-01-16 2018-11-22 삼성전자주식회사 박막 패터닝 방법 및 이를 이용한 반도체소자의 제조방법
SG193093A1 (en) 2012-02-13 2013-09-30 Novellus Systems Inc Method for etching organic hardmasks
CN103243310B (zh) 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
US8703386B2 (en) 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
US9048294B2 (en) 2012-04-13 2015-06-02 Applied Materials, Inc. Methods for depositing manganese and manganese nitrides
WO2013172359A1 (ja) 2012-05-14 2013-11-21 コニカミノルタ株式会社 ガスバリア性フィルム、ガスバリア性フィルムの製造方法及び電子デバイス
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
JP6034598B2 (ja) 2012-05-31 2016-11-30 ギガフォトン株式会社 Euv光生成装置の洗浄方法
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US8969997B2 (en) 2012-11-14 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structures and methods of forming the same
US8927989B2 (en) 2012-11-28 2015-01-06 International Business Machines Corporation Voltage contrast inspection of deep trench isolation
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US10240230B2 (en) 2012-12-18 2019-03-26 Seastar Chemicals Inc. Process and method for in-situ dry cleaning of thin film deposition reactors and thin film layers
JP5913077B2 (ja) 2012-12-18 2016-04-27 信越化学工業株式会社 ポジ型レジスト材料及びこれを用いたパターン形成方法
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
JP6134522B2 (ja) 2013-01-30 2017-05-24 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
JP6068171B2 (ja) 2013-02-04 2017-01-25 株式会社日立ハイテクノロジーズ 試料の処理方法および試料処理装置
US9304396B2 (en) * 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
CN105190847A (zh) 2013-03-08 2015-12-23 应用材料公司 具有适于保护抵抗氟等离子体的保护涂层的腔室部件
US9607904B2 (en) 2013-03-11 2017-03-28 Intermolecular, Inc. Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
WO2014159427A1 (en) 2013-03-14 2014-10-02 Applied Materials, Inc Resist hardening and development processes for semiconductor device manufacturing
US10953441B2 (en) 2013-03-15 2021-03-23 Kla Corporation System and method for cleaning optical surfaces of an extreme ultraviolet optical system
US10074544B2 (en) 2013-04-23 2018-09-11 Massachusetts Institute Of Technology Developer free positive tone lithography by thermal direct write
JP6242095B2 (ja) 2013-06-28 2017-12-06 株式会社日立国際電気 クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム
US20150020848A1 (en) 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9372402B2 (en) 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
US9405204B2 (en) 2013-09-18 2016-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of overlay in extreme ultra-violet (EUV) lithography
US9653319B2 (en) 2013-11-08 2017-05-16 Tokyo Electron Limited Method for using post-processing methods for accelerating EUV lithography
JP5917477B2 (ja) 2013-11-29 2016-05-18 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US9305839B2 (en) 2013-12-19 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Curing photo resist for improving etching selectivity
US9324606B2 (en) 2014-01-09 2016-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned repairing process for barrier layer
KR102306612B1 (ko) 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
TWI739285B (zh) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
CN111562720B (zh) 2014-02-21 2023-09-29 东京毅力科创株式会社 光增感化学放大型抗蚀剂材料、图案形成方法、半导体器件、光刻用掩模、纳米压印用模板
KR102402923B1 (ko) 2014-02-24 2022-05-27 도쿄엘렉트론가부시키가이샤 감광화된 화학적 증폭 레지스트 화학물질을 사용하는 방법과 기술 및 프로세스
KR102233577B1 (ko) 2014-02-25 2021-03-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9977331B2 (en) 2014-02-26 2018-05-22 Nissan Chemical Industries, Ltd. Resist overlayer film forming composition and method for producing semiconductor device including the same
US10685846B2 (en) 2014-05-16 2020-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor integrated circuit fabrication with pattern-reversing process
US9377692B2 (en) 2014-06-10 2016-06-28 Applied Materials, Inc. Electric/magnetic field guided acid diffusion
CN106662816B (zh) 2014-07-08 2020-10-23 东京毅力科创株式会社 负性显影剂相容性的光致抗蚀剂组合物及使用方法
GB201412201D0 (en) 2014-07-09 2014-08-20 Isis Innovation Two-step deposition process
US20160041471A1 (en) 2014-08-07 2016-02-11 International Business Machines Corporation Acidified conductive water for developer residue removal
WO2016035549A1 (ja) 2014-09-02 2016-03-10 富士フイルム株式会社 パターン形成方法、電子デバイスの製造方法、レジスト組成物、及び、レジスト膜
JP6572899B2 (ja) 2014-09-17 2019-09-11 Jsr株式会社 パターン形成方法
US20160086864A1 (en) 2014-09-24 2016-03-24 Lam Research Corporation Movable gas nozzle in drying module
JP6314779B2 (ja) 2014-10-01 2018-04-25 東京エレクトロン株式会社 液処理方法、記憶媒体及び液処理装置
KR102319630B1 (ko) 2014-10-23 2021-10-29 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9551924B2 (en) 2015-02-12 2017-01-24 International Business Machines Corporation Structure and method for fixing phase effects on EUV mask
JP6748098B2 (ja) 2015-03-09 2020-08-26 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 抵抗ランダムアクセスメモリとして使用するための有機ケイ酸ガラス膜の堆積プロセス
JP6404757B2 (ja) 2015-03-27 2018-10-17 信越化学工業株式会社 レジスト下層膜材料用重合体、レジスト下層膜材料、及びパターン形成方法
TWI625784B (zh) 2015-04-02 2018-06-01 東京威力科創股份有限公司 藉由雙頻率電容耦合式電漿利用極紫外線光阻劑之溝槽與孔的圖案化
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
DE102015208492A1 (de) 2015-05-07 2016-11-10 Reiner Diefenbach Endlager für die Lagerung von radioaktivem Material, sowie Verfahren zu seiner Herstellung
JP6494417B2 (ja) 2015-05-20 2019-04-03 株式会社ディスコ プラズマエッチング装置
US9829790B2 (en) 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
US9659771B2 (en) 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
JP6817692B2 (ja) 2015-08-27 2021-01-20 東京エレクトロン株式会社 プラズマ処理方法
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
KR102170659B1 (ko) 2015-11-25 2020-10-27 오사카 유니버시티 레지스트패턴 형성방법 및 레지스트재료
JP6603115B2 (ja) 2015-11-27 2019-11-06 信越化学工業株式会社 ケイ素含有縮合物、ケイ素含有レジスト下層膜形成用組成物、及びパターン形成方法
JP6517678B2 (ja) 2015-12-11 2019-05-22 株式会社Screenホールディングス 電子デバイスの製造方法
US10948825B2 (en) 2015-12-23 2021-03-16 Asml Netherlands B.V. Method for removing photosensitive material on a substrate
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
JP6742748B2 (ja) 2016-02-17 2020-08-19 株式会社Screenホールディングス 現像ユニット、基板処理装置、現像方法および基板処理方法
US10018920B2 (en) 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
WO2017156388A1 (en) 2016-03-11 2017-09-14 Inpria Corporation Pre-patterned lithography templates, processes based on radiation patterning using the templates and processes to form the templates
WO2017176282A1 (en) 2016-04-08 2017-10-12 Intel Corporation Two-stage bake photoresist with releasable quencher
TW201811876A (zh) 2016-04-28 2018-04-01 三菱瓦斯化學股份有限公司 阻劑下層膜形成用組成物、微影用下層膜、及、圖型形成方法
WO2017198418A1 (en) 2016-05-19 2017-11-23 Asml Netherlands B.V. Resist compositions
KR20170135760A (ko) 2016-05-31 2017-12-08 도쿄엘렉트론가부시키가이샤 표면 처리에 의한 선택적 퇴적
EP3258317B1 (en) 2016-06-16 2022-01-19 IMEC vzw Method for performing extreme ultra violet (euv) lithography
WO2018004551A1 (en) 2016-06-28 2018-01-04 Intel Corporation Polysilane-, polygermane-, and polystannane-based materials for euv and ebeam lithography
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
WO2018004646A1 (en) 2016-07-01 2018-01-04 Intel Corporation Metal oxide resist materials
US10866516B2 (en) 2016-08-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
JP2018025686A (ja) 2016-08-10 2018-02-15 株式会社リコー 電界効果型トランジスタの製造方法、位置合わせ方法、露光装置
US10627719B2 (en) 2016-08-12 2020-04-21 Inpria Corporation Methods of reducing metal residue in edge bead region from metal-containing resists
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
KR20190036547A (ko) 2016-09-29 2019-04-04 후지필름 가부시키가이샤 처리액, 및 적층체의 처리 방법
KR101966808B1 (ko) 2016-09-30 2019-04-08 세메스 주식회사 기판 세정 조성물, 기판 처리 방법 및 기판 처리 장치
KR102614850B1 (ko) 2016-10-05 2023-12-18 삼성전자주식회사 반도체 소자 제조방법
US10755942B2 (en) 2016-11-02 2020-08-25 Massachusetts Institute Of Technology Method of forming topcoat for patterning
US10520821B2 (en) 2016-11-29 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process with enhanced etch selectivity
US10510538B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing EUV-induced material property changes
JP6781031B2 (ja) 2016-12-08 2020-11-04 東京エレクトロン株式会社 基板処理方法及び熱処理装置
US9929012B1 (en) 2016-12-14 2018-03-27 International Business Machines Corporation Resist having tuned interface hardmask layer for EUV exposure
US10866511B2 (en) 2016-12-15 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with developer composition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10096477B2 (en) 2017-02-15 2018-10-09 International Business Machines Corporation Method to improve adhesion of photoresist on silicon substrate for extreme ultraviolet and electron beam lithography
WO2018173446A1 (ja) 2017-03-22 2018-09-27 Jsr株式会社 パターン形成方法
JP2020095068A (ja) 2017-03-31 2020-06-18 富士フイルム株式会社 パターン形成方法、電子デバイスの製造方法
US20180308687A1 (en) 2017-04-24 2018-10-25 Lam Research Corporation Euv photopatterning and selective deposition for negative pattern mask
KR102030056B1 (ko) 2017-05-02 2019-11-11 세메스 주식회사 챔버 세정 방법, 기판 처리 방법, 그리고 기판 처리 장치
US10553409B2 (en) 2017-05-12 2020-02-04 Tokyo Electron Limited Method of cleaning plasma processing apparatus
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
CN108962986B (zh) 2017-05-18 2021-07-06 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
JP6852566B2 (ja) 2017-05-26 2021-03-31 大日本印刷株式会社 パターン形成方法、凹凸構造体の製造方法、レプリカモールドの製造方法、レジストパターン改質装置及びパターン形成システム
US10745282B2 (en) 2017-06-08 2020-08-18 Applied Materials, Inc. Diamond-like carbon film
CA2975104A1 (en) 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide
JP6579173B2 (ja) 2017-09-19 2019-09-25 セイコーエプソン株式会社 電気光学装置、電気光学装置の駆動方法、及び、電子機器
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US20190131130A1 (en) 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition
KR102634520B1 (ko) 2017-11-20 2024-02-06 인프리아 코포레이션 유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
SG11202005150YA (en) * 2017-12-01 2020-06-29 Applied Materials Inc Highly etch selective amorphous carbon film
WO2019111727A1 (ja) 2017-12-06 2019-06-13 Jsr株式会社 感放射線性組成物及びレジストパターン形成方法
WO2019125952A1 (en) 2017-12-18 2019-06-27 Tokyo Electron Limited Plasma treatment method to enhance surface adhesion for lithography
US10347486B1 (en) 2017-12-19 2019-07-09 International Business Machines Corporation Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
KR102540963B1 (ko) * 2017-12-27 2023-06-07 삼성전자주식회사 미세 패턴 형성 방법 및 기판 처리 장치
KR20190085654A (ko) 2018-01-11 2019-07-19 삼성전자주식회사 반도체 소자의 제조 방법
JP7005369B2 (ja) 2018-02-05 2022-01-21 キオクシア株式会社 薬液塗布装置および半導体デバイスの製造方法
US11347154B2 (en) 2018-02-13 2022-05-31 Asml Netherlands B.V. Cleaning a structure surface in an EUV chamber
JP7333305B2 (ja) 2018-02-22 2023-08-24 株式会社ダイセル 基板親水化処理剤
EP3776636A4 (en) 2018-03-30 2021-12-22 Lam Research Corporation ATOMIC LAYER ENGRAVING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BOND ENERGY MATERIALS
TW202348612A (zh) 2018-04-05 2023-12-16 美商英培雅股份有限公司 包含錫化合物的組合物及其應用
US10787466B2 (en) 2018-04-11 2020-09-29 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US11673903B2 (en) 2018-04-11 2023-06-13 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
JP7101036B2 (ja) 2018-04-26 2022-07-14 東京エレクトロン株式会社 処理液供給装置及び処理液供給方法
US20190348292A1 (en) * 2018-05-10 2019-11-14 International Business Machines Corporation Transferring euv resist pattern to eliminate pattern transfer defectivity
EP3791231A4 (en) 2018-05-11 2022-01-26 Lam Research Corporation METHODS FOR MAKING EUV PATTERNABLE HARD MASKS
JP7443250B2 (ja) 2018-05-16 2024-03-05 アプライド マテリアルズ インコーポレイテッド 原子層自己整合基板の処理及び統合型ツールセット
JP7085621B2 (ja) 2018-05-29 2022-06-16 東京エレクトロン株式会社 基板処理方法、基板処理装置、及びコンピュータ読み取り可能な記録媒体
KR102211158B1 (ko) 2018-06-08 2021-02-01 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
WO2019241402A1 (en) 2018-06-13 2019-12-19 Brewer Science, Inc. Adhesion layers for euv lithography
US11393703B2 (en) 2018-06-18 2022-07-19 Applied Materials, Inc. Apparatus and method for controlling a flow process material to a deposition chamber
TWI822595B (zh) 2018-06-21 2023-11-11 美商英培雅股份有限公司 包含溶劑與單烷基錫三烷氧化物之混合物的溶液、及使用其的方法
US11437238B2 (en) 2018-07-09 2022-09-06 Applied Materials, Inc. Patterning scheme to improve EUV resist and hard mask selectivity
US11092889B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US10840082B2 (en) 2018-08-09 2020-11-17 Lam Research Corporation Method to clean SnO2 film from chamber
FI129480B (en) 2018-08-10 2022-03-15 Pibond Oy Silanol-containing organic-inorganic hybrid coatings for high-resolution patterning
US10838304B2 (en) 2018-08-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Priming material for organometallic resist
JP7241486B2 (ja) 2018-08-21 2023-03-17 東京エレクトロン株式会社 マスクの形成方法
JP7213642B2 (ja) 2018-09-05 2023-01-27 東京エレクトロン株式会社 レジスト膜の製造方法
TW202016279A (zh) 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
JP6816083B2 (ja) 2018-10-22 2021-01-20 キオクシア株式会社 半導体装置の製造方法
US10845704B2 (en) 2018-10-30 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance
JP6597872B2 (ja) 2018-11-13 2019-10-30 東京エレクトロン株式会社 基板処理方法
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
US20200174374A1 (en) 2018-11-30 2020-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of storing photoresist coated substrates and semiconductor substrate container arrangement
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
CN109521657A (zh) * 2018-12-11 2019-03-26 中国科学院光电技术研究所 一种表面等离子体光刻中小分子光刻胶的干法显影方法
WO2020132281A1 (en) 2018-12-20 2020-06-25 Lam Research Corporation Dry development of resists
US11966158B2 (en) 2019-01-30 2024-04-23 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with low metal contamination and/or particulate contamination, and corresponding methods
US11498934B2 (en) 2019-01-30 2022-11-15 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with particulate contamination and corresponding methods
EP3931863A4 (en) 2019-02-25 2023-04-26 Board of Regents, The University of Texas System LARGE SURFACE METROLOGY AND PROCESS CONTROL FOR ANISOTROPIC CHEMICAL ETCHING
KR20210129739A (ko) 2019-03-18 2021-10-28 램 리써치 코포레이션 극자외선 (Extreme Ultraviolet) 리소그래피 레지스트들의 거칠기 감소
KR20210134072A (ko) 2019-04-12 2021-11-08 인프리아 코포레이션 유기금속 포토레지스트 현상제 조성물 및 처리 방법
CN113906552A (zh) 2019-04-29 2022-01-07 朗姆研究公司 用于减法式金属蚀刻的原子层蚀刻
CN113785381A (zh) 2019-04-30 2021-12-10 朗姆研究公司 用于极紫外光刻抗蚀剂改善的原子层蚀刻及选择性沉积处理
JP2022538040A (ja) 2019-06-26 2022-08-31 ラム リサーチ コーポレーション ハロゲン化化学物質によるフォトレジスト現像
JP2022539721A (ja) 2019-06-27 2022-09-13 ラム リサーチ コーポレーション フォトレジスト乾式蒸着のための装置
KR20220031649A (ko) 2019-06-28 2022-03-11 램 리써치 코포레이션 금속-함유 레지스트의 리소그래피 성능을 향상시키기 위한 소성 (bake) 전략들
JP2022540789A (ja) 2019-06-28 2022-09-20 ラム リサーチ コーポレーション 複数のパターニング放射吸収元素および/または垂直組成勾配を備えたフォトレジスト
TW202113506A (zh) 2019-06-28 2021-04-01 美商蘭姆研究公司 光阻膜的乾式腔室清潔
TW202104645A (zh) 2019-07-09 2021-02-01 荷蘭商Asm Ip私人控股有限公司 包括光阻底層之結構及其形成方法
US11782345B2 (en) 2019-08-05 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom antireflective coating materials
CN114730133A (zh) 2019-10-02 2022-07-08 朗姆研究公司 利用用于高性能euv光致抗蚀剂的高euv吸收剂的衬底表面改性
WO2021072042A1 (en) 2019-10-08 2021-04-15 Lam Research Corporation Positive tone development of cvd euv resist films
JP7189375B2 (ja) 2020-01-15 2022-12-13 ラム リサーチ コーポレーション フォトレジスト接着および線量低減のための下層
US20230031955A1 (en) 2020-02-04 2023-02-02 Lam Research Corporation Post application/exposure treatments to improve dry development performance of metal-containing euv resist
WO2021178302A1 (en) 2020-03-02 2021-09-10 Inpria Corporation Process environment for inorganic resist patterning
US20230152701A1 (en) 2020-03-30 2023-05-18 Lam Research Corporation Structure and method to achieve positive tone dry develop by a hermetic overlayer
US11705332B2 (en) 2020-03-30 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US11822237B2 (en) 2020-03-30 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US20230185196A1 (en) 2020-04-03 2023-06-15 Lam Research Corporation Pre-exposure photoresist curing to enhance euv lithographic performance
US20230314954A1 (en) 2020-06-22 2023-10-05 Lam Research Corporation Dry backside and bevel edge clean of photoresist
US20230230811A1 (en) 2020-06-22 2023-07-20 Lam Research Corporation Surface modification for metal-containing photoresist deposition
US11621172B2 (en) 2020-07-01 2023-04-04 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists
KR20230159618A (ko) 2020-07-07 2023-11-21 램 리써치 코포레이션 방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스
JP2023535894A (ja) 2020-07-17 2023-08-22 ラム リサーチ コーポレーション Sn(ii)前駆体からのフォトレジスト
CN116134380A (zh) 2020-07-17 2023-05-16 朗姆研究公司 光敏混合膜的形成方法
WO2022016123A1 (en) 2020-07-17 2022-01-20 Lam Research Corporation Dry deposited photoresists with organic co-reactants
WO2022016124A1 (en) 2020-07-17 2022-01-20 Lam Research Corporation Photoresists containing tantalum
JP2023534962A (ja) 2020-07-17 2023-08-15 ラム リサーチ コーポレーション 金属含有フォトレジストの現像のための金属キレート剤
JP2023520391A (ja) 2020-11-13 2023-05-17 ラム リサーチ コーポレーション フォトレジストのドライ除去用プロセスツール
WO2022125388A1 (en) 2020-12-08 2022-06-16 Lam Research Corporation Photoresist development with organic vapor

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100260994A1 (en) * 2007-12-20 2010-10-14 Roland Groenen substrate coated with amorphous hydrogenated carbon
US20190137870A1 (en) 2015-10-13 2019-05-09 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US20170168398A1 (en) 2015-12-10 2017-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
WO2017153725A1 (en) * 2016-03-08 2017-09-14 Semblant Limited Plasma deposition method
US20180224744A1 (en) 2017-02-03 2018-08-09 Samsung Sdi Co., Ltd. Resist underlayer composition, and method of forming patterns using the composition
US20190129307A1 (en) 2017-11-01 2019-05-02 Samsung Sdi Co., Ltd. Resist underlayer composition, and method of forming patterns using the composition

Also Published As

Publication number Publication date
TWI828961B (zh) 2024-01-11
EP3908882A1 (en) 2021-11-17
JP2023138626A (ja) 2023-10-02
US20220035247A1 (en) 2022-02-03
JP7419326B2 (ja) 2024-01-22
KR20220115628A (ko) 2022-08-17
TW202138905A (zh) 2021-10-16
CN113574456B (zh) 2023-05-26
JP2022101465A (ja) 2022-07-06
KR102431292B1 (ko) 2022-08-09
KR20210135004A (ko) 2021-11-11
CN113574456A (zh) 2021-10-29
EP3908882A4 (en) 2022-03-16
TWI795094B (zh) 2023-03-01
KR20210124492A (ko) 2021-10-14
CN116705595A (zh) 2023-09-05
JP2022534843A (ja) 2022-08-04
WO2021146138A1 (en) 2021-07-22
US11988965B2 (en) 2024-05-21
SG11202108851RA (en) 2021-09-29
US11314168B2 (en) 2022-04-26
JP7189375B2 (ja) 2022-12-13
US20220043334A1 (en) 2022-02-10
CN114200776A (zh) 2022-03-18
TW202212958A (zh) 2022-04-01

Similar Documents

Publication Publication Date Title
KR102539806B1 (ko) 포토레지스트 부착 및 선량 감소를 위한 하부층
US20220299877A1 (en) Positive tone development of cvd euv resist films
CN107680903A (zh) 用于半导体图案化应用的掺杂ald膜
US20180061650A1 (en) High dry etch rate materials for semiconductor patterning applications
US20220342301A1 (en) Photoresist with multiple patterning radiation-absorbing elements and/or vertical composition gradient
US20230259025A1 (en) Dry deposited photoresists with organic co-reactants
CN114730133A (zh) 利用用于高性能euv光致抗蚀剂的高euv吸收剂的衬底表面改性
US20230314946A1 (en) Method of forming photo-sensitive hybrid films
WO2024064071A1 (en) Bake-sensitive underlayers to reduce dose to size of euv photoresist
TW202401131A (zh) 含金屬光阻的顯影後處理
WO2023115023A1 (en) Development strategy for high-absorbing metal-containing photoresists
WO2022173632A1 (en) Quantum efficient photoresists and methods thereof
KR20230148424A (ko) 할로겐-함유 유기주석 포토레지스트 및 지방족-함유 유기주석 포토레지스트 및 이의 방법들
WO2023245047A1 (en) Tin precursors for deposition of euv dry resist
WO2023114730A1 (en) Aqueous acid development or treatment of organometallic photoresist
WO2023147212A1 (en) Enhanced euv underlayer effect with diffusion barrier layer
KR20220162765A (ko) 감응제 (sensitizer) 의 가스상 (gas phase) 주입에 의한 euv 드라이 레지스트 감응화 (sensitization) 를 위한 장치 및 프로세스

Legal Events

Date Code Title Description
A107 Divisional application of patent
A302 Request for accelerated examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant