TW202138905A - 用於光阻黏著及劑量減低的底層 - Google Patents

用於光阻黏著及劑量減低的底層 Download PDF

Info

Publication number
TW202138905A
TW202138905A TW110101388A TW110101388A TW202138905A TW 202138905 A TW202138905 A TW 202138905A TW 110101388 A TW110101388 A TW 110101388A TW 110101388 A TW110101388 A TW 110101388A TW 202138905 A TW202138905 A TW 202138905A
Authority
TW
Taiwan
Prior art keywords
film
bottom layer
substrate
precursor
carbon
Prior art date
Application number
TW110101388A
Other languages
English (en)
Other versions
TWI828961B (zh
Inventor
薛君
瑪利 安 馬楠皮爾
李達
暹華 陳
正義 游
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202138905A publication Critical patent/TW202138905A/zh
Application granted granted Critical
Publication of TWI828961B publication Critical patent/TWI828961B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/047Coating on selected surface areas, e.g. using masks using irradiation by energy or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明總體上關於包括底層及成像層之圖案化結構,以及其方法與設備。在特定實施例中,底層增強成像層之輻射吸收率及/或圖案化效能。

Description

用於光阻黏著及劑量減低的底層
本發明總體上是關於半導體處理領域,尤其是極紫外(EUV)光阻(PR)微影技術及材料。
隨著半導體製造持斷發展,特徵部尺寸不斷縮小,且需新的處理方法。正進展之領域係在圖案化方面,例如使用對微影輻射敏感之光阻材料。
本文所提供的背景係為了概述本發明脈絡之目的。本案發明人的成果(在此先前技術段落中所述之範圍內)、以及在申請時可能未以其他方式認定為先前技術之描述態樣,並未明示或默示地被承認為相對於本發明的先前技術。
本文之諸多實施例係關於在基板上沉積底層之方法、材料、設備及系統。
在第一態樣中,本發明包含一圖案化結構,其包括 : 一輻射敏感成像層,其設置於一基板上方;以及一底層,其設置於該基板與該成像層之間。 在一些實施例中,底層配置成 : 增強基板與成像層之間的黏著及/或降低用於成像層之有效光阻曝光的輻射劑量。
在一些實施例中,基板進一步包括設置於其上之一硬遮罩。
在一些實施例中,成像層包括極紫外光(EUV)敏感無機光阻層、化學氣相沉積(CVD)膜。在特定實施例中,成像層為化學氣相沉積(CVD)膜、原子層沉積(ALD)膜、或旋塗膜。在其他實施例中,成像層包括氧化錫膜或羥基氧化錫(tin oxide hydroxide)膜。
在一些實施例中,基板為或包括硬遮罩、非晶碳膜、非晶氫化碳膜、氧化矽膜、氮化矽膜、氮氧化矽膜、碳化矽膜、氮硼化矽膜、非晶矽膜、多晶矽膜、或其組合。在特定實施例中,非晶碳膜摻雜有硼(B)或鎢(W)。
在一些實施例中,底層具有不大於25 nm之厚度。在其他實施例中,底層具有約2至20 nm之厚度。
在特定實施例中, 底層包括氫化碳,其摻雜有氧(O)、矽(Si)、氮(N)、鎢(W)、硼(B)、碘(I)、氯(Cl)或此些任何之兩者或更多者的組合。在特定實施例中,底層包括約0-30原子%氧(O)及/或約20-50原子%氫(H)及/或30-70原子%碳(C)。在其他實施例中,底層包括摻雜有碘之氫化碳,其配置成在暴露於輻射時改善二次電子之產生。在另其他實施例中,底層之一表面包含羥基(如-OH)、羧基(如- CO2 H)、過氧基(如-OOH)、sp2 碳、sp碳及/或不飽和含碳鍵(如C=C及/或C≡C鍵)。
在一些實施例中,底層包括約0.7至2.9 g/cm3 之密度。在其他實施例中,底層進一步提供增強之蝕刻選擇性。在另其他實施例中,底層進一步提供減小之線邊緣及線寬粗糙度及/或減少之劑量比上尺寸。
在特定實施例中,底層進一步包括配置成在暴露於輻射時被釋放之β氫原子及/或配置成與該成像層中之原子形成氧鍵之氧原子。
在第二態樣中,本發明包含圖案化結構,其包括 : 一基板,其包括一部分已製成之半導體裝置膜堆;一輻射敏感成像層,其設置於該基板上方;以及一底層,其設置於該基板與該成像層之間。在特定實施例中,底層包括氫化碳之一氣相沉積膜,該氫化碳摻雜有O、Si、N、W、B、I、Cl或此些任何之兩者或更多者的組合,其中該膜具有不大於約25 nm之厚度或約2至20 nm之厚度。在另其他實施例中,基板進一步包括非晶碳硬遮罩,其設置於基板上及/或設置於部分已製成之半導體裝置膜堆。在一些實施例中,非晶碳硬遮罩為摻雜的。
在第三態樣中,本發明包含製造圖案化結構之方法,其包括 : 提供一基板;在基板上沉積一底層(例如,本文所述之任一者);以及在底層上形成輻射敏感成像層。在一些實施例中,底層配置成 : 增強基板與光阻之間的黏著及/或降低用於有效光阻曝光之輻射劑量。
在一些實施例中,基板為部份已製成之半導體裝置膜堆。在其他實施例中,基板進一步包括硬遮罩、非晶碳膜、非晶氫化碳膜、氧化矽膜、氮化矽膜、氮氧化矽膜、碳化矽膜、氮硼化矽膜、非晶矽膜、多晶矽膜、或其組合, 其設置在基板及/或部分已製成之半導體裝置膜堆上;成像層包括基於氧化錫之光阻或基於羥基氧化錫 (tin oxide hydroxide)之光阻;且底層包括氫化碳之氣相沉積膜,該氫化碳摻雜有O、Si、N、W、B、I、Cl或此些任何之兩者或更多者的組合,其中該膜的厚度不大於25 nm。
在一些實施例中,底層係使用烴前驅物而氣相沉積於該基板上,因而提供一含碳膜。在特定實施例中,烴前驅物包括烷烴、烯烴、炔烴或本文所述之其他烴前驅物。在其他實施例中,底層係使用烴前驅物而在碳氧化物前驅物(如包含有碳及氧原子之本文所述任一者)存在或不存在下氣相沉積。
在其他實施例中,底層係使用烴前驅物而在含氮前驅物、含鎢前驅物、含硼前驅物及/或含碘前驅物存在下氣相沉積,因而提供一摻雜膜。在一些實施例中, 摻雜膜包括碘;碘與矽之組合;或碘、矽與氮之組合。
在一些實施例中,底層係使用與氫(H2 )或烴共反應共反應之碳氧化物前驅物而氣相沉積於基板上。在其他實施例中,碳氧化物前驅物與氫(H2 )或烴共反應,並可選地進一步與矽(Si)源摻雜物共反應。在特定實施例中,底層係透過使用與氧化劑(如碳氧化物或含O前驅物)共反應之含Si前驅物而氣相沉積於該基板上。在進一步實施例中,含Si前驅物進一步與碳(C)源摻雜物(如烴前驅物)共反應。
在一些實施例中,所述沉積進一步包括以0 W至約1000 W(如0-500 W、0-400 W或0-300 W)之偏壓功率並使用約1%至100%或約5%至 100%之工作週期施加偏壓。在特定實施例中,相較於未施加偏壓而形成之底層,所述施加偏壓提供具有增加密度之底層。
在一些實施例中,底層係透過電漿增強化學氣相沉積(PECVD)作為該基板上氣相沉積之終止操作而氣相沉積於該基板上。在其他實施例中,底層係透過電漿增強化學氣相沉積(PECVD)或原子層沉積(ALD)而氣相沉積於基板。
在進一步實施例中,該方法包括(例如,在所述沉積之後) : 改質該底層以提供粗糙化表面。在一些實施例中,所述改質可包括濺射,其透過對底層之表面進行非反應性離子轟擊,因而提供粗糙化表面。非限定反應性離子可包括氬(Ar)、氦(He)、氪(Kr)或其他非反應性物質。在其他實施例中,改質可包括將底層之表面或粗糙化表面暴露至含氧電漿以提供含氧表面。非限定含氧電漿可包括二氧化碳(CO2 )、氧(O2 )或水(為H2 O或為H2 與O2 之混合物)。
在第四態樣,本發明包含沉積底層之方法,該方法包括 : 在製程腔室中提供基板;以及透過電漿增強化學氣相沉積(PECVD)製程沉積氫化碳膜於基板之表面上,其中氫化碳膜為低密度膜。在一些實施例中,基板為或包括硬遮罩。
在一些實施例中,PECVD製程包括引入含碳前驅物,其選自由甲烷(CH4 )、乙炔(C2 H2 )、乙烯(C2 H4 ),、丙烯(C3 H6 )、丙炔(C3 H4 )、丙二烯(C3 H4 )、環丙烯(C3 H4 )、丁烷(C4 H10 )、環己烷(C6 H12 )、苯(C6 H6 )及甲苯 (C7 H8 )所組成之群組。在其他實施例中,PECVD製程進一步包括引入含氮前驅物、含鎢前驅物、含硼前驅物及/或含碘前驅物,因而提供一摻雜膜。
在一些實施例中,PECVD製程包括包括變壓耦合式電漿(TCP)或感應耦合式電漿(ICP)。在特定實施例中,TCP功率約100-1000 W且無偏壓。在其他實施例中,PECVD製程進一步包括約10-1000 mTorr之壓力及/或約0-100°C之溫度。在另其他實施例中, PECVD製程進一步包括含有約10-1000 W功率之施加脈衝化偏壓或含有約10-500 W功率之施加連續波偏壓。在進一步實施例中,施加脈衝化偏壓包括約1-99%之工作週期及約10-2000 Hz之脈衝頻率。
在進一步實施例中,該方法包括(例如,在所述沉積之後)改質氫化碳膜以提供粗糙化表面。在一些實施例中,所述改性可包括濺射,其透過對膜表面進行非反應性離子轟擊,因而提供粗糙化表面。非限定非反應性離子可包括氬(Ar)、氦(He)、氪(Kr)或其他非反應性物質。在其他實施例中,改質可包括將膜之表面或粗糙化表面暴露於含氧電漿以提供含氧表面。非限定含氧電漿可包括二氧化碳(CO2 )、氧(O2 )或水(為H2 O或為H2 與O2 之混合物)。
在第五態樣中,本發明特徵在於處理基板之設備,該設備包括 : 一製程腔室,其包括一基板支撐件;一製程氣體源,其與該製程腔室及相關流量控制硬體連接;基板裝卸硬體,其與該製程腔室連接;以及一控制器,其具有一處理器及一記憶體,其中該處理器與該記憶體相互通訊連接。在特定實施例中,該處理器至少可操作地與流量控制及基板裝卸硬體連接。
在特定實施例中,基板支撐件可為吸盤或基座。在其他實施例中,該設備包括進入製程腔室之一或更多進氣口,其中進氣口流體連接至製程氣體源及相關聯之流量控制硬體;以及一或更多出氣口,用於從製程腔室及相關聯之流量控制硬體中去除材料。
在一些實施例中,記憶體儲存用於執行本文所述任一方法中所述之操作的電腦可執行指令。在一實施例中,電腦可執行指令包括機器可讀指令,其用於引起提供基板或設置在基板上之硬遮罩;引起底層(例如本文所述之任一者)沉積於基板及/或硬遮罩上;以及引起輻射敏感成像層(例如本文所述之任一者)形成於底層上。
在另一實施例中,電腦可執行指令包括機器可讀指令,其用於引起氫化碳膜(例如本文所述之任一者)透過PECVD製程沉積於基板或硬遮罩之表面上。在進一步實施例中,電腦可執行指令包括用於引起輻射敏感成像層形成於氫化碳膜上之機器可讀指令。
在特定實施例中,所述引起底層之沉積包括引入或輸送一或更多前驅物(例如,烴前驅物、碳氧化物前驅物、含C前驅物、含O前驅物、含Si前驅物、含N前驅物、含W前驅物、含B前驅物、含I前驅物或含Cl前驅物)及/或一或更多製程氣體(例如,本文所述之任一者)。
在其他實施例中,所述引起底層之沉積包括電漿(例如,變壓耦合式電漿(TCP)、感應耦合式電漿(ICP)或電容耦合式電漿(CCP))。在特定實施例中,電漿為具有約100-1000 W功率、約10-1000 mTorr壓力及/或約0-100℃溫度的TCP或ICP。在另其他實施例中,電漿進一步包括施加脈衝化偏壓(例如,約10-1000 W之功率)或施加連續波偏壓(例如,約10-500 W之功率)。
在一些實施例中,所述引起成像層之形成包括引起具有高圖案化輻射吸收截面之元件的沉積。在特定實施例中,該元件具有高EUV吸收截面(例如等於或大於1x107 cm2 /mol)。
在其他實施例中,引起成像層之形成包括引入或輸送一或更多前驅物(例如,具有式(I )、(II )、(IIa ) 、(III ) 、(IV ) 、(V ) 、(VI )、(VII )、或(VIII )的結構)。在一些實施例中,引起成像層之形成可進一步包括在相對反應物存在下提供一或更多前驅物。非限定相對反應物包括含氧相對反應物,包括氧(O2 )、臭氧(O3 )、水、過氧化物、過氧化氫、氧電漿、水電漿、醇、二羥基醇、多羥基醇、氟化二羥基醇、氟化多羥基醇、氟化二醇(fluorinated glycol)、甲酸及其他羥基部分之來源、以及其組合。
在本文之任一實施例中,基板為或包括部分已製成之半導體裝置膜堆。
在本文之任一實施例中,基板為硬遮罩。在其他實施例中,基板包括硬遮罩。在另其他實施例中,基板包括設置在工件上之硬遮罩(例如,設置於晶圓、半導體晶圓、堆疊、部分已製成之積體電路、部分已製成之半導體裝置膜堆、膜、表面等)。在非限定實例中,硬遮罩包括非晶碳硬遮罩,其可視情況摻雜。
在本文之任一實施例中,成像層包括EUV敏感無機光阻層。在特定實施例中,成像層包括氧化錫膜、羥基氧化錫膜、基於氧化錫之光阻或基於羥基氧化錫之光阻。在其他實施例中,成像層包括EUV敏感膜、DUV敏感膜、UV敏感膜、光阻膜、可光圖案化膜。
在本文之任一實施例中,基板為或包括硬遮罩、非晶碳膜、非晶氫化碳膜、氧化矽膜、氮化矽膜、氮氧化矽膜、碳化矽膜、氮硼化矽膜、非晶矽膜、多晶矽膜、或其組合。在一些實施例中,硬遮罩為非晶碳膜、非晶氫化碳膜、氧化矽膜、氮化矽膜、氮氧化矽膜、碳化矽膜、氮硼化矽膜、非晶矽膜、多晶矽膜或其組合。
在本文之任一實施例中,底層包括氫化碳,其摻雜有氧(O)、矽(Si)、氮(N)、鎢(W)、硼(B)、碘(I)、氯(Cl)或此些任何之兩者或更多者的組合。
在本文之任一實施例中,底層或底層之表面包含羥基(如-OH)、羧基(如- CO2 H)、過氧基(如-OOH)、sp2 碳、sp碳及/或不飽和含碳鍵(如C=C及/或C≡C鍵)。
在本文之任一實施例中,底層包括一摻雜膜。在特定實施例中,該摻雜膜包括I;I與Si之組合;或I、Si與N之組合。在一些實施例中,該摻雜膜包括Cl; Cl與Si之組合;或Cl、Si與N之組合。在其他實施例中,該摻雜膜包括N;N與Si之組合;或N、Si與O之組合。在另其他實施例中,該摻雜膜包括B或W。
在本文之任一實施例中,底層包含約0-30原子%的O(例如1-30%、2-30%或4-30%)、約20-50原子%的H(例如20-45%、30-50%或30-45%)、及/或30-70原子%的C(例如30-60%、30-65%或30-68%)。
在本文之任一實施例中,底層包括小於約1.5  g/cm3 之密度或約0.7-1.4  g/cm3 之密度。在另其他實施例中,摻雜膜具有約0.7-1.4 g/cm3 之密度。
在本文之任一實施例中,底層進一步提供增強之蝕刻選擇性。在另其他實施例中,底層進一步提供減小之線邊緣及線寬粗糙度及/或減少之劑量比上尺寸。在特定實施例中,底層進一步包括配置成在暴露於輻射時被釋放之β氫原子及/或配置成與該成像層中之原子形成氧鍵之氧原子。
在本文之任一實施例中,沉積包括提供或沉積蒸氣形式之前驅物。在其他實施例中,沉積包括提供蒸氣形式之一或更多相對反應物。在特定實施例中,沉積包括CVD、ALD或其店將增強形式(例如,PECVD)。
在本文之任一實施例中,沉積可包括輸送或引入本文所述之一或更多前驅物。非限定前驅物包括烴前驅物、碳氧化物前驅物及/或摻雜物前驅物 (例如,含O前驅物、含Si前驅物、含N前驅物、含W前驅物、含B前驅物、含I前驅物或含Cl前驅物)。所述沉積亦可包括輸送或引入一或更多製程氣體,例如惰性氣體、一氧化碳(CO)、二氧化碳(CO2 )、氦(He)、氬(Ar)、氪(Kr)、氖(Ne )、氮(N2 )、氫(H2 )或其組合。
在本文之任一實施例中,沉積可包括提供電漿。提供可包括PECVD製程。非限定電漿製程可包括TCP、ICP或CCP。其他非限定製程條件包括> 1毫托耳(mTorr)之壓力(例如,約5-1000 mTorr)、<4000瓦(W)之功率位準(例如,約10-3000 W)及/或<200°C(例如,約0-100°C)之溫度。電漿可用在0.3-600 MHz下操作之射頻(RF)源以介於約10-3000 W之間的功率產生。可使用施加脈衝化偏壓(例如,約10-1000W之功率)或施加連續波偏壓(例如,約10-500 W之功率)來施加偏壓,如本文所述。
本發明之其他特徵及優點將根據以下描述及請求項變得顯而易見。
在此詳細參考本發明之具體實施例。具體實施例之示例於隨附圖式中示出。儘管本發明將結合此些具體實施例加以描述,但將理解的是,其並非意圖將本發明限制於此等具體實施例。相反地,其旨在於涵蓋可包含於本發明之精神及範疇內的替代、修改及均等者。於下文描述中,闡述了許多具體細節以對本發明提供透徹的瞭解。本發明可在沒有此些具體細節之一些或全部者下實施。在其他情況下,不再詳細描述眾所周知的製程操作,以免不必要地模糊本發明。
極紫外光(EUV)微影技術(通常在13.5 nm波長)被認為是微影圖案化之下一個致能技術。然而,許多技術障礙已阻礙此技術之廣泛引入及實施。EUV光阻(PR)即為障礙之一。
傳統化學放大阻劑(CAR)提供具經濟效益的方法。但有機聚合物CAR產生線邊緣粗糙度(LER)及線寬粗糙度(LWR),並因使用聚合物之隨機分布(random fluctuation)而有靈敏度及解析度限制。最近研究及開發上的努力已集中於新的EUV無機光阻平台開發。相較於基於聚合物之CAR系統,此等系統提供幾項優點。此些無機光阻通常基於金屬氧化物,包括金屬羥氧化物(metal hydroxide oxides)。小的金屬氧化物分子尺寸提高圖案化步驟之最終解析度,且金屬氧化物光阻通常呈現比CAR更高的抗蝕刻性,其可減小PR厚度以降低結構深寬比。
然而,亦存在與無機PR相關之諸多挑戰。旋塗式碳(spin-on-carbon, SOC)硬遮罩材料經常用於硬遮罩膜堆(EUV PR塗佈至硬遮罩膜堆以進行圖案化)中。但SOC具有軟之富含碳(C)的膜,其具差抗蝕刻性及差LWR。可在PR下方直接使用常見之硬遮罩材料,例如氧化矽(例如二氧化矽,SiO2 )、氮化矽及可灰化硬遮罩(AHM),以達較佳蝕刻選擇性及良好的LER與LWR。但EUV PR與硬遮罩材料之間的分層(尤其是在經EUV曝光之PR進行濕式顯影後)是一個持續存在的問題。僅約二十百分比之EUV光子被典型PR吸收,此意味經常會有大量的一次電子及二次電子產生於PR底層中。劑量比上尺寸(dose to size, DtS)數據已顯示,為解決相同之線臨界尺寸,直接設於普通硬遮罩材料上之EUV無機PR所需之劑量高於SOC上EUV無機PR所需之劑量。
如本文所述,直接設於EUV無機PR下方之薄底層膜使得膜堆EUV無機PR能夠具有改善的效能。利用此底層,相較於直接於SOC堆疊上之EUV無機PR,所得之多層(例如,雙層)硬遮罩方案具有相當或更佳之DtS效能。而且,無論硬遮罩膜之組成為何,底層亦可作為EUV無機PR與硬遮罩之間的黏著層,其能夠改善蝕刻選擇性及LER/LWR效能。可在底層下方採用硬遮罩之外的其他表面,其中底層可作為EUV PR與任何有用基板(例如,硬遮罩、晶圓、部分已製成之半導體裝置膜堆等)之間的黏著層。
如下進一步所述,合適的底層薄膜可透過化學氣相沉積(CVD)、電漿增強化學氣相沉積(PECVD)、原子層沉積(ALD)、電漿增強原子層沉積(PEALD)或其他氣相沉積 (例如,透過濺鍍沉積、包括物理氣相沉積(PVD)共濺鍍之物理氣相沉積)來沉積。可在蝕刻工具(例如,可獲自加州費里蒙科林研發公司(Lam Research Corporation)之Kiyo®或Flex®)或沉積工具(例如,科林Striker®)中實施底層沉積製程。在一些實施方式中,其可整合為硬遮罩沉積製程中之終止步驟。底層之不同膜組成可取決於膜堆來作選擇。
亦應理解,儘管本發明係關於以EUV微影為例之微影圖案化技術及材料,但其亦可應用至其他下一代微影技術。除了包括目前正在使用及開發之標準13.5 nm EUV波長的EUV外,與此等微影最相關之輻射源為DUV(深紫外光),其一般指使用248 nm或193 nm之準分子雷射源、X射線(其形式上包括於X射線範圍之較低能量範圍處的EUV)、以及電子束(其可涵蓋較寬能量範圍)。此等方法包括使基板(具有暴露羥基)與烴基取代之錫覆蓋劑(capping agent)接觸以形成烴基封端氧化錫(SnOx)膜以作為基板表面上之成像/PR層的彼等方法。具體方法可能取決於半導體基板及最終半導體裝置中使用之特定材料及應用。因此,本申請中所述之方法僅為可用於本技術之方法及材料的示例。
1 繪出本發明之一態樣的製程流程,即製造圖案化結構的方法。方法100包括在101提供基板。基板可為例如以任何合適方式製造之硬遮罩、膜、堆疊、部分已製成之半導體裝置膜堆等。在一些實施例中,基板可包括設置在工件(例如部分已製成之半導體裝置膜堆)上之硬遮罩。 在膜堆之最上層上的硬遮罩可具有各種組成,例如SiO2 、氮化矽、可灰化硬遮罩材料,且可透過化學氣相沉積形成,例如PECVD。由非晶碳膜組成之可灰化硬遮罩在一些實施方式中為所期望的。此背景中之非晶碳膜可未摻雜或摻雜有例如硼(B)或鎢(W)。合適之非晶碳膜可具有例如包括約50至80原子%碳(C)、10至20原子%氫(H)及5至40原子% B或W摻雜物之組成。
可採用另外其他基板。例如,基板可為或包括任何形式(如塊材膜、薄膜、另一膜、堆疊等)之非晶氫化碳、氧化矽、氮化矽、氮氧化矽、碳化矽、氮硼化矽、非晶矽、多晶矽或本文所述任一者之組合。
在103,在基板上沉積光阻底層。該底層配置成增加基板與隨後形成之EUV敏感無機光阻之間的黏著,並減少用於光阻之有效EUV曝光的EUV劑量。底層可為或包括摻雜有O、矽(Si)、氮(N)、鎢(W)、硼(B)、碘(I)、氯(Cl)或此些任一者組合(例如,Si與O的組合)之氫化碳的氣相沉積膜。在一實施例中,該膜係透過引入或輸送烴前驅物(例如,以提供碳原子)及摻雜物前驅物(例如,以提供摻雜之非碳原子)來沉積。在另一實施例中,該膜係透過引入或輸送含雜原子之前驅物(例如含碘之前驅物,其於沉積之後提供摻雜膜)來沉積。特別地,摻雜有碘之氫化碳膜可改善暴露於EUV輻射時之二次電子的產生。本文描述提供此等底層之進一步非限定前驅物及摻雜物。
膜可具有不超過約25 nm的厚度。例如,光阻底層可具有約2至20nm的厚度,例如2、3、4、5、6、7、8、9、10、15或20 nm,且可視情況包括約0-30原子%氧(O)及/或約20-50原子%氫(H)及/或30-70原子%碳(C)。 本文描述其他底層性質。
在一些實施方式中,底層可使用烴前驅物以透過PECVD或ALD氣相沉積在基板上,烴前驅物可具有或不具有一氧化碳(CO)及/或二氧化碳(CO2 )。在特定實施例中,氣相沉積包括在不具CO及不具CO2 下引入或輸送烴前驅物。
在一些實施方式中,底層可使用與氫(H2 )或烴共反應之碳氧化物前驅物以透過PECVD或ALD氣相沉積在基板上。在此實施方式之變化中,碳氧化物前驅物可在沉積期間進一步與Si源摻雜物共反應。在特定實施例中,碳氧化物前驅物可包括CO或CO2 。不希望受限於機制,碳氧化物前驅物之使用可在底層包含羥基(-OH)或其他含氧基團,其可提供親水性表面或親水性增強之表面(相較於缺少如-OH或含氧基團之底層)。在非限定示例中,親水性表面可改善底層與PR層之間的黏著。
在其他實施方式中,底層可使用與氧化劑(例如,碳氧化物、含O前驅物、CO或CO2 )共反應之含矽前驅物以透過PECVD或ALD氣相沉積在基板上。在此實施方式之變化中,含Si前驅物進一步與C源摻雜物(例如,如本文所述之烴前驅物)共反應。本文描述非限定含矽前驅物,例如矽烷、鹵代矽烷、胺基矽烷、烷氧基矽烷、有機矽烷等。
在一些實施方式中, 底層可透過PECVD作為基板上氣相沉積之終止操作(例如透過調整進入PECVD製程腔室之前驅物流以達光阻底層之所欲組成)以氣相沉積在基板上。
在其他實施方式中,底層可透過PECVD氣相沉積在基板上以提供氫化碳膜。在一些實施例中,該膜為低密度膜(例如0.7-2.9 g/cm3 )。在其他實施例中,未摻雜膜(或摻雜之前的膜)具有小於約1.5 g/cm3 的密度或約0.7-1.4 g/cm3 的密度。在另其他實施例中,摻雜膜具有約0.7-1.4 g/cm3 的密度。
PECVD製程可包括任何有用之前驅物或前驅物之組合。在一實施例中,前驅物為烴前驅物(例如,本文所述之任一者)。可選地,在PECVD製程期間透過使用含雜原子前驅物(例如,含氮前驅物、含鎢前驅物、含硼前驅物及/或含碘前驅物)來形成摻雜之氫化碳膜。
底層之沉積可包括使用電漿(例如,如在PECVD製程中),包括變壓耦合式電漿(TCP)、感應耦合式電漿(ICP)或電容耦合式電漿(CCP)。在特定實施例中,沉積可使用具有最小偏壓(例如,無偏壓)之低TCP功率(例如,約100-1000W),以提供低密度膜。當然,可採用較高功率電漿,如本文所述。在某些實施例中,電漿(例如,TCP或ICP)之產生可透過處於連續波(CW)模式之功率來控制。
沉積(例如,利用CW模式之TCP或ICP功率)可包括施加偏壓(無論頻率為何),其以介於約1%與99%之間的工作週期脈衝化(例如,在約1 Hz至約10 kHz的範圍內,例如10-2000 Hz)。本文描述額外脈衝頻率及工作週期。在一些實施例中,可提供施加脈衝化偏壓以控制離子能量。非限定施加脈衝化偏壓功率可約10-1000W,以及本文所述之其他範圍。
在另其他實施例中,沉積可包括施加之CW偏壓。CW偏壓亦可用於控制離子能量。在一些實施例中,施加之CW偏壓功率可為10-1000W(例如,10-500W、10-400W、以及本文所述之其他範圍)。
另其他條件(例如,可用於低密度膜)包括使用某些壓力條件(例如,5-1000毫托耳(mTorr),包括10-1000 mTorr、10-500 mTorr或10-400 mTorr)及某些溫度條件(例如,約0-100°C,包括0-50°C及10-40°C)。
可採用脈衝化或連續偏壓來微調膜的性質。在一實施例中,相較於以0W之偏壓功率製成的低密度膜,脈衝化偏壓可提供較高密度膜。相較於低密度膜,此等較高密度膜在一些實例中可提供增強的抗蝕刻性。在其他實例中,相較於以0 W偏壓功率製成的低密度膜,此等較高密度膜可提供減小之底切。本文亦描述額外的電漿條件及製程。
再返回 1 ,在105,在底層上形成輻射敏感成像層。該成像層可包括例如EUV敏感無機光阻。合適之EUV敏感無機光阻可為金屬氧化物膜,例如EUV敏感基於氧化錫之光阻。此等阻劑(亦稱為成像層)及其形成與使用描述於例如2019年5月9日申請之國際專利申請案第PCT/US2019/031618號(公開號為WO2019/217749,標題為「METHODS FOR MAKING EUV PATTERNABLE HARD MASKS」);以及2019年11月11日申請之PCT/US2019/060742(公開號為WO2020/102085,標題為「METHODS FOR MAKING HARD MASKS USEFUL IN NEXT GENERATION LITHOGRAPHY」)中,其關於直接可光圖案化之基於金屬-有機之金屬氧化物膜的組成、沉積及圖案化以形成EUV阻劑遮罩的揭示內容皆以引用方式併於此。如本文所述,根據諸多實施例,EUV敏感無機光阻可為旋塗膜或氣相沉積膜。
2A-C 繪出非限定圖案化結構之製造中的階段,如本文所述。 2C 所示之圖案化結構具有設置在基板202(例如,晶圓或部分已製成之半導體裝置膜堆)上的硬遮罩204。成像層208設置在硬遮罩204上方。底層206設置在硬遮罩204與成像層208之間。底層206可配置成增強硬遮罩與成像層之間的黏著,以及降低用於有效光阻曝光之輻射劑量。
在根據所述實施例之測試結構中,具有底層之非晶碳AHM上之EUV PR的DtS效能(如本文所述)與SOC上之EUV PR一樣好或甚至更好,在一些例子中其將所需劑量降低10%或更多。此外,未觀察到EUV PR顯影後從硬遮罩雙層(具有光阻底層之非晶碳AHM)剝離。
底層206可進一步在結構中提供增強之蝕刻選擇性及/或減小的線邊緣及線寬粗糙度(LER/LWR)。在根據所述實施例之測試結構中,相對於AHM或SOC上的EUV PR,LER/LWR大約改善了多達25%或更多。
再次參考 2A-C 2C 結構之製造實施方式示於 2A-2B 中。如上參考 1 所述, 2A 示出設置在基板202上的硬遮罩204,而 2B 示出沉積在硬遮罩204上的底層206。
在堆疊內使用底層可提供獲得改善之效能。在一實例中,底層與成像層之間的相互作用降低了DtS。如 2D 所示,圖案化結構包括設置在基板212之表面上的硬遮罩214,以及設置在成像層218與硬遮罩214之間的底層216。可能的相互作用包括金屬(M)原子218A從成像層218遷移(或擴散)至底層216中;及/或氫(H)原子216A從底層216遷移(或擴散)至成像層218中。不希望受限於機制,此等遷移情況可在底層與成像層之間提供產生性相互作用,其從而可有助於改善黏著及/或DtS。
另外,底層及成像層之組成可設計成促進有利反應,其從而可改善DtS。例如,如 2E 中所見,成像層可包括具有輻射可裂解配位基之基於錫的光阻。在暴露於輻射(例如EUV)後,配位基(R)從錫(Sn)中心消去,並在其位置形成Sn-H鍵。在曝光後烘烤(PEB)步驟之後,Sn-H鍵參與進一步熱活化交聯反應,因而增加曝光與未曝光光阻之間的材料性質差異。
因此,在一實例中,如 2F 所示,底層226可包含在暴露於EUV輻射時提供可釋放之H原子的配位基(R1 ),因而形成反應後之配位基(R1 *)。可能的R1 基團包括例如可為直鏈或支鏈之視情況取代的烷基。在成像層228中,EUV可裂解配位基R將提供消去配位基R *與反應性金屬中心Sn。從底層226釋放的H原子可促進成像層228內Sn-H鍵之形成,因而降低DtS。若底層亦包括氧(O)原子,則此等原子可在成像層中形成M-O鍵(例如,Sn-O鍵),其可進一步降低DtS。此外,來自成像層228之Sn原子可擴散至底層226中,因而允許產生額外的二次電子。實施方式 1 : 底層之乾式沉積
底層可以任何有用方式沉積。在一實例中,沉積包括烴前驅物或含碳前驅物(例如,本文所述之任一者)之氣相沉積。沉積可包括在沉積期間使用製程氣體(例如,為電漿或惰性氣體),其中非限定製程氣體包括一氧化碳(CO)、氦(He)、氬(Ar)、氪(Kr)、氖(Ne)、氮(N2 )及/或氫(H2 )。
沉積條件包括對處理腔室內前驅物流速、氣體流速、製程壓力、溫度(例如,靜電吸盤(ESC)溫度)、電漿(例如,TCP)功率、偏壓功率及工作週期(DC)的控制。前驅物之流速可介於每分鐘約1-100標準立方厘米(sccm)之間。氣體之流速可介於約1-1600sccm之間。腔室壓力可介於約5-1000 mTorr之間(例如,5-800 mTorr、10-500 mTorr、10-400 mTorr、30-500 mTorr、10-1000 mTorr或30-1000 mTorr)。ESC溫度可介於約0-100℃之間(例如,0-50℃或10-40℃)。用於產生電漿之功率可介於每站約10-3000 W之間(例如100-1000 W、200-1000 W、200-800 W或200-500 W)。用於產生電漿之RF頻率可介於約0.3-600 MHz之間(例如,13.56MHz、60MHz、27MHz、2MHz、400kHz或其組合)。使用脈衝化電漿或連續波(CW)電漿之RF偏壓功率可介於約0-1000 W之間。處理腔室可為ICP腔室或CCP腔室。在ICP腔室之一些實施例中,頂部ICP產生器與偏壓產生器兩者之頻率均為13.5MHz。取決於底層,在一些實施例中,壓力可約為10-400 mTorr,而TCP功率可約為200-500W。
1 提供非限定底層之處理狀態的示例。對於實施例1,烴前驅物為甲烷(CH4 ),另一氣體為He。對於實施例2,烴前驅物為CH4 ,而製程氣體包括CO、H2 及He。 1 : 製程狀態
參數 實施例 1 實施例 2
CH4 流速 30 sccm 15 sccm
CO 流速 0 50 sccm
H2 流速 0 50 sccm
He流速 660 sccm 185 sccm
壓力 150 mTorr 30 mTorr
ESC 溫度 20°C 20°C
TCP功率 400 W 400 W
偏壓模式 --- ---
偏壓功率 0 W 0 W
3 提供相較於AHM及SOC層之實施例1與實施例2的效能特性。在一實施例中,實施例1之底層的使用改善了DtS(例如,改善多於5%、10%、15%、20%、25%、30%或更多)。 2 提供相較於AHM之底層的抗蝕刻性,其中相較於AHM,底層呈現更高之相對蝕刻速率。膜組成亦透過X射線光電子能譜法(XPS)測定。相較於AHM,底層(實施例1及2)含有較高的H及O含量。 2 : 底層之抗蝕刻性
底層 膜組成 ( 透過 XPS) 相對於 AHM 之蝕刻速率
C % O %
AHM (HST) 100 0 1
實施例 1 99.0 1.0 2.8
實施例2 96.4 3.6 3.3
實施方式 2 : 用於沉積底層之脈衝偏壓製程
開發進一步製程來改善底層之抗蝕刻性。特別地,使用偏壓功率來改變底層的密度。例如,底層之沉積可包括以介於約1%與99%之間的DC進行脈衝化(例如,在約1 Hz至約10 kHz的範圍內)之偏壓(無論頻率為何)。可以任何有用的功率(例如約10-500 W)提供此等偏壓。
將理解,電漿脈衝可包括週期之重複,其每一者可延續持續時間T。持續時間T包括在給定週期期間之脈衝開啟(ON)時間的持續時間(電漿處於ON狀態之持續時間)及電漿關閉(OFF)時間之持續時間(電漿處於OFF狀態之持續時間)。脈衝頻率將被理解為1/T。例如,對於電漿脈衝週期T = 100 µs,頻率為1/T = 1/100µs或10 kHz。工作週期(duty cycle)或工作比(duty ratio)為週期T中電漿處於ON狀態之分數或百分比,以使工作週期或工作比為脈衝ON時間除以T。例如,對於電漿脈衝週期T = 100 µs,若脈衝ON時間為70 µs(使得週期中電漿處於ON狀態之持續時間為70 µs),而脈衝OFF時間為30 µs (使得週期中電漿處於ON狀態之持續時間為30 µs),則工作週期為70%。
另其他沉積條件可包括對處理腔室內前驅物流速、氣體流速、製程壓力、溫度(例如ESC溫度)、電漿功率、偏壓功率、脈衝頻率、DC及TCCT參數的控制。前驅物之流速可介於約1-100sccm之間。製程氣體之流速可介於約1-1600sccm之間。腔室壓力可介於約5-1000mTorr之間(例如,5-800 mTorr、10-500 mTorr、10-400 mTorr、30-500 mTorr、10-1000 mTorr或30-1000 mTorr)。ESC溫度可介於約0-100℃之間(例如,0-50℃或10-40℃)。用於產生電漿之功率可介於10-3000W之間(例如100-1000 W、200-1000 W、200-800 W或200-500 W)。用於產生電漿之RF頻率可介於約0.3-600MHz之間(例如,13.56MHz、60MHz、27MHz、2MHz、400kHz或其組合)。使用DC介於1-100%(其中100%表示CW,例如1-99%)之間之脈衝化電漿的RF偏壓功率可介於約10-1000W之間。可將RF偏壓功率脈衝至低於5000 Hz,例如約10-2000 Hz之頻率。TCCT參數可為0.1至1.5。在一些非限定製程中,電漿暴露可包括高頻(HF)RF成份(例如,通常介於約2-60MHz之間)及低頻(LF)RF成份(例如,通常約100kHz-2MHz)。處理腔室可為ICP腔室或CCP腔室。
3 提供利用脈衝偏壓製程形成之非限定底層之處理狀態的示例(實施例3)。使用實施例3形成諸多底層膜,其中偏壓功率為70 W或140 W,且其中DC在10-50%變化。在 4 中提供此等非限定膜的密度。相較於用0 W偏壓形成之膜,脈衝偏壓製程提供具有增加密度(例如,大於約1.09 g/cm3 的密度)的膜。如此一來,可透過增加偏壓功率來微調底層的密度。在一些實例中,較密的膜可提供較低的蝕刻速率,因而提供獲得改善之抗蝕刻性。 3 : 脈衝製程狀態
參數 實施例 3
CH4 流速 30 sccm
He流速 660 sccm
壓力 150 mTorr
ESC溫度 20°C
TCP功率 400 W
偏壓功率 70 W, 140 W
偏壓脈衝頻率 100 Hz 
TCCT 1.4
DC % 10%, 20%, 30%, 40%, 50%
除了增加密度、降低蝕刻速率及/或增強抗蝕刻性之外,脈衝製程還可改善膜的其他特性。如 5 所示,脈衝製程可在不犧牲DtS下減少底切。處理條件包括CH4 流速為30 sccm、He流速為660 sccm、壓力為150 mTorr、ESC溫度為20°C、TCP功率為400 W、偏壓功率為140 W、偏壓頻率為100Hz、DC為10 %( 5 ,左圖)或50%( 5 ,右圖)、TCCT為1.4。實施方式 3 : 摻雜底層之沉積
底層可包括一或更多摻雜物(例如,使用烴前驅物時之非碳摻雜物)。摻雜物可透過使用烴前驅物(例如,以提供碳原子)及分開的摻雜物前驅物(例如,以提供摻雜之非碳原子)來提供。在另一實施例中,摻雜物係透過採用包含有碳原子及雜原子之單一摻雜物前驅物來提供。非限定非碳雜原子包括氧(O)、矽(Si)、氮(N)、鎢(W)、硼(B)、碘(I)、氯(Cl)或此些中之任一者組合。本文描述其他摻雜物及含雜原子之摻雜物前驅物。
摻雜物之使用在一些實例中可改善抗蝕刻性。可修改本文之任何製程狀態以將摻雜物摻入底層中。例如,沉積可包括使用摻雜物前驅物(例如,本文所述之任一者),且可針對摻雜物前驅物使用本文所述通常用於前驅物之製程狀態(例如流速、壓力、溫度、電漿功率、偏壓功率、脈衝頻率、工作週期、,TCCT等)。
例如,前驅物(例如,烴前驅物及/或摻雜物前驅物)之流速可介於約1-100sccm之間。製程氣體之流速可介於約1-1600sccm之間。腔室壓力可介於約5-1000 mTorr之間(例如,5-800 mTorr、10-500 mTorr、10-400 mTorr、30-500 mTorr、10-1000 mTorr或30-1000 mTorr)。ESC溫度可介於約0-100℃之間(例如,0-50℃或10-40℃)。用於產生電漿之功率可介於約10-3000W之間(例如,100-1000W、200-1000W、200-800W或200-500W)。用於產生電漿之RF頻率可介於約0.3-600MHz之間(例如,13.56MHz、60MHz、27MHz、2MHz、400kHz或其組合)。使用DC介於約1-99%之間之脈衝化電漿或CW電漿(DC為100%)的RF偏壓功率可介於約0-1000W之間。可將RF偏壓功率脈衝至低於5000 Hz,例如約10-2000 Hz之頻率。TCCT參數可為0.1至1.5。處理腔室可為ICP腔室或CCP腔室。
在一實例中,摻雜物為氮(N)或包括氮(N)以提供N摻雜之底層。非限定含N前驅物可包括本文所述之任一者,例如氮(N2 )、氨(NH3 )、肼(N2 H4 )、胺及胺基矽烷。 在一實例中,N摻雜底層係透過烴前驅物與含N前驅物之共流形成。
4 提供非限定N摻雜底層之處理狀態的示例。對於實施例4,烴前驅物為CH4 ,而含N前驅物為N2 。對於實施例5,烴前驅物為CH4 ,而含N前驅物為NH3 4 : 氮摻雜底層之製程狀態
參數 實施例 4 ( N2 ) 實施例 5 ( NH3 )
CH4 流速 30 sccm 30 sccm
N2 流速 15 sccm 0
NH3 流速 0 15 sccm
He流速 645 sccm 645 sccm
壓力 150 mTorr 150 mTorr
ESC 溫度 20°C 20°C
TCP功率 400 W 400 W
偏壓功率 0 W 0 W
TCCT 1.3 1.3
在特定實施例中,N摻雜底層可透過N-H鍵 (例如,在傅立葉轉換紅外光譜儀(FTIR)光譜中於約3500至3100 cm-1 及/或約1635cm-1 具有峰)及/或C≡N鍵(例如,在FTIR光譜中於約2260至2222 cm-1 、大約2244 cm-1 及/或約2183 cm-1 處具有峰)之存在來表徵。
在一些實例中,可改善摻雜底層之蝕刻速率。 6 提供未摻雜底層之蝕刻速率(實施例1)、使用N2 作為摻雜物前驅物之第一N摻雜底層(實施例4)、使用NH3 作為摻雜物前驅物之第二N摻雜底層(實施例5)、及AL412底層。非限定蝕刻條件包括使用壓力 = 5 mTorr;TCP = 350瓦; TCCT = 2;偏壓功率= 0 V;CH4 流速= 10 sccm;O2 流速= 60 sccm;Ar流速= 200sccm;以及ESC溫度= 30°C之ICP腔室。可看出,相較於未摻雜底層,烴前驅物與NH3 摻雜物前驅物之共流改善底層之抗蝕刻性。
在另一實例中,摻雜物為鎢(W)或包括鎢(W)以提供W摻雜底層。 含W非限定前驅物可包括本文所述之任一者,例如鹵化鎢(例如,WF6 、WCl6 、或WCl5 )、羰基鎢(例如,W(CO)6 )或其他。在一實例中,W摻雜底層係透過烴前驅物與含W前驅物之共流形成。
在特定實施例中,W摻雜底層可透過W-OH···H2 O鍵(例如,在FTIR光譜中於約3500至3400cm-1 處具有峰)、W=O鍵(例如,在FTIR光譜中於約981 cm-1 處具有峰)、及/或W-O-W鍵(例如,在FTIR光譜中於837 cm-1 、800 cm-1 及/或702 cm-1 處具有峰)之存在來表徵。
5 提供非限定W摻雜底層之處理狀態的示例。對於每一實施例,烴前驅物為CH4 。 對於實施例6,含W前驅物為1 sccm之較低流速的WF6 。對於實施例7,含W前驅物為2 sccm之較高流速的WF6 。對於實施例8,含W前驅物為1 sccm之較低流速但為50 mTorr之較高壓力的WF6 5 : 鎢摻雜底層之製程狀態
參數 實施例 1 實施例 6 實施例 7 實施例 8
CH4 流速 30 sccm 30 sccm 30 sccm 30 sccm
WF6 流速 0 1 sccm 2 sccm 1 sccm
He流速 658-659 sccm 658-659 sccm 658-659 sccm 659 sccm
壓力 30 mTorr 30 mTorr 30 mTorr 50 mTorr
ESC 溫度 20°C 20°C 20°C 20°C
TCP功率 400 W 400 W 400 W 400 W
偏壓功率 0 W 0 W 0 W 0 W
TCCT 0.2-1.2 0.2 to 1.2 0.2 to 1.2 0.2 to 1.2
可增加摻雜底層之密度。 6 提供底層之折射率(633nm處之RI)、沉積速率(Dep.Rate)及密度。從實施例7中可進一步看出,相較於無摻雜物前驅物下沉積之基線,烴前驅物與含W摻雜物前驅物之共流增加密度及RI。 6 : 鎢摻雜底層之特性
實施例 1 實施例 6 實施例 7 實施例 8
RI @ 633 nm 1.575 1.546 1.711 1.530
沉積速率 (Å/min.) 296.0 572.8 679.0 588.6
密度(g/cm3 ) 1.08 2.07 2.83 1.93
在又一實例中,摻雜物為硼(B)或包括硼(B)以提供B摻雜底層。非限定含B前驅物可包括本文所述之任一者,例如鹵化硼(例如BCl3 )、硼烷(例如B2 H6 )、硼酸酯(例如B(OH)3 )及有機硼化合物(例如B(CH3 )3 )。在一實例中,B摻雜底層係透過烴前驅物與含B前驅物之共流形成。
在特定實施例中,B摻雜底層可透過B···OH鍵(例如,在FTIR光譜中於約3200 cm-1 處具有峰)、B-O鍵(例如,在FTIR光譜中於約1194 cm-1 處具有峰)及/或B-O-H鍵(例如,在FTIR光譜中於約1194 cm-1 處具有峰)之存在來表徵。
7 提供非限定B摻雜底層之處理狀態的示例。對於實施例9,烴前驅物為CH4 ,而含B前驅物為BCl3 。對於實施例10,沉積條件與實施例9相同,但用H2 進一步處理膜。在表7中,H2 處理條件包括壓力= 5 mTorr; TCP = 300瓦;偏壓功率= 100 W;H2 流速= 200 sccm;處理時間= 1秒。 7 : 硼摻雜底層之製程狀態
參數 實施例 1 實施例 9 實施例 10
CH4 流速 30 sccm 30 sccm 30 sccm
BCl3 流速 0 5 sccm 5 sccm
He流速 655 sccm 655 sccm 655 sccm
H2 處理
壓力 150 mTorr 150 mTorr 150 mTorr
ESC 溫度 20-24°C 20-24°C 20-24°C
TCP功率 400 W 400 W 400 W
偏壓功率 0 W 0 W 0 W
TCCT 0.2-1.2 1.3 1.3
在特定實施例中,可增加摻雜底層之沉積速率及密度兩者。 8 提供底層之633nm處的RI、沉積速率(Dep.Rate)及密度。從實施例9可看出,相較於無摻雜物前驅物下沉積之基線,烴前驅物與含B摻雜物前驅物之共流增加沉積速率及密度。 8 : 硼摻雜底層之特性
實施例 1 實施例 9 實施例 10
RI @ 633 nm 1.57 1.56 1.52
沉積速率 (Å/min.) 287.0 403.0 543.0
密度(g/cm3 ) 1.06 1.24 TBD
如本文所述,可在沉積期間使用摻雜物前驅物以提供摻雜底層。在特定實施例中,摻雜底層可具有增強的特性,例如改善的抗蝕刻性、蝕刻速率、折射率、沉積速率及/或密度。實施方式 4 : 諸多烴前驅物之沉積
底層可用任何有用之前驅物來沉積。例如,前驅物可包括僅具有碳及氫原子之烴前驅物。在另一實例中,前驅物可為具有碳原子、氫原子及非碳雜原子之含雜原子烴前驅物。在又一實例中,前驅物可為摻雜物前驅物(例如,如本文所述)。
在烴前驅物中,可使用諸多化合物。例如,烴前驅物可包括脂族及芳香族化合物(例如,烷烴、烯烴、炔烴、苯等),包括其經取代形式。透過使用不同烴前驅物,可改變底層內某些化學鍵之類型及數量。例如,使用不飽和烴前驅物可提供具有增加不飽和鍵含量(例如,增加C = C及/或C≡C鍵含量)、增加sp2 碳含量、增加sp碳含量、減少飽和鍵含量(例如,減少C-C鍵含量)、降低sp3 碳含量、及/或減少C-H鍵含量(例如,相較於用增加飽和烴前驅物量或減少不飽和烴前驅物量而形成之膜)之底層。烴前驅物之選擇可取決於諸多因素。在一非限定實例中,烴前驅物包括可提供足夠H原子之飽和前驅物(例如,相較於C-C、C=C或C≡C含量,具有增加的C-H鍵含量)。不希望受限於機制,選擇此等前驅物可提供與成像層中之原子相互作用的可釋放H原子,因此,相較於使用不飽和前驅物,其導致改進的DtS。然而,在其他非限定實例中,烴前驅物包括不飽和前驅物(例如,相較於C-H鍵含量,具有增加之C-C、C=C或C≡C含量)。不希望受限於機制,相較於使用飽和前驅物,選擇此等前驅物可提供增強的抗蝕刻性。
在特定實施例中,底層可透過C=CH鍵(例如,在FTIR光譜中於約3310 cm-1 處具有峰)及/或C=C鍵(例如,在FTIR光譜中於約1650至1600 cm-1 或1000至660 cm-1 處具有峰)之存在來表徵。
9 提供非限定烴前驅物之處理狀態的示例。對於實施例1,烴前驅物為CH4 。對於實施例11,烴前驅物為乙炔(C2 H2 )。對於實施例12,烴前驅物為丙炔(C3 H4 )。可採用不同的電漿類型(例如ICP或CCP)。在一實例中,採用ICP以允許分開控制離子能量及離子密度。無論使用ICP或CCP,均可將製程條件最佳化以獲得相似膜。例如,CCP通常採用比ICP更高之自偏壓,因而導致電漿具有更高離子能量之特性。此較高能量可例如透過使用較高製程壓力來降低,因而達到相當的處理環境以提供與使用ICP所獲得者相似的膜性質。據此,本文製程可包括使用修改一或更多處理條件(例如,壓力、溫度、前驅物或惰性氣體之流速、製程時間等)之ICP或CCP,以達到目標膜組成物及膜性質。 9 : 烴前驅物之製程狀態
參數 實施例 1   實施例 11   實施例 12  
CH4 流速 30 sccm 0 0
C2 H2 流速 0 50 sccm 0
C3 H4 流速 0 0 100 sccm
He流速 660 sccm 1200 sccm 1200 sccm
壓力 150 mTorr 500 mTorr 500 mTorr
ESC 溫度 20°C 20°C 20°C
TCP功率 400 W --- ---
偏壓功率 0 W 0 W @ 400 kHz; 200 W @ 60 MHz 0 W @ 400 kHz; 200 W @ 60 MHz
電漿類型 ICP CCP CCP
頻率 --- 1000 Hz 1000 Hz
DC% --- 20% 20%
在特定實施例中,不飽和烴前驅物之使用改善抗蝕刻性。 7 提供用C2 H2 前驅物(或HC≡CH,實施例11)、C3 H4 前驅物(HC≡CCH,實施例12)及CH4 前驅物(實施例1)形成之底層的蝕刻速率。非限定蝕刻條件包括使用壓力=5 mTorr;TCP = 350瓦; TCCT = 2;偏壓功率= 0 V;CH4 流速= 10 sccm;O2 流速= 60 sccm;Ar流速= 200sccm;以及 ESC溫度= 30°C之ICP腔室。可看出,相較於飽和烴前驅物(例如僅具有單鍵),使用不飽和烴前驅物(例如具有三鍵)改善底層之抗蝕刻性。實施方式 5 : 使用高 EUV 吸收原子
底層亦可包括一或更多具有高圖案化輻射吸收截面(例如,等於或大於1x107 cm2 /mol之EUV吸收截面)之原子。此等原子包括例如碘(I)。碘可以任何有用之來源提供。例如,沉積期間所使用之前驅物可為摻雜物前驅物,其為具有一或更多碘原子之烴。非限定前驅物為具有一或更多I原子之脂族或芳香族化合物(例如,烷烴、烯烴或炔烴(包括其環狀形式)、以及苯)。前驅物之另其他示例包括碘乙炔(C2 HI)、二碘乙炔(C2 I2 )、碘乙烯(C2 H3 I)、碘甲烷(CH3 I)、二碘甲烷(CH2 I2 )、1,1-二碘乙烯(C2 H2 I2 )、(E )-1,2-二碘乙烯(反式- C2 H2 I2 )、(Z )-1,2-二碘亞乙基(順式- C2 H2 I2 )、烯丙基碘(C3 H5 I)、1-碘-1-丙炔(C3 H3 I)、碘代環丙烷(C3 H5 I)及1,1-二碘代環丙烷(C3 H4 I2 )。
可組合本文中任一沉積條件以提供有益之底層。例如,可以本文所述之任何前驅物(例如,烴前驅物、摻雜物前驅物或其組合)使用脈衝偏壓製程。在另一實例中,摻雜物前驅物可與本文所述之任何烴前驅物組合。此外,該製程可包括使用一、兩、三或更多不同的前驅物(例如,兩者或更多烴前驅物;及/或兩者或更多摻雜物前驅物)。在又一實例中,摻雜物前驅物可透過用一或更多非碳雜原子改質本文中任一烴前驅物(例如,飽和或不飽和烴前驅物)來產生。
可選擇前驅物之組合以提供所欲之膜性質。例如,可選擇特定烴前驅物(例如,不飽和烴前驅物),以改善抗蝕刻性。接著,可選擇某些雜原子以提供具有增加密度或折射率的膜(例如,如O、Si、N、W、B或I之雜原子)。在一實例中,底層可包括I、C、H及O原子; I、C、H及Si原子; I、H、N、O及Si原子;或I、C、H、N、O及Si原子。
最後,可選擇另其他非碳雜原子以提供具有增強EUV吸收之膜(例如,雜原子,如I或具有EUV吸收截面等於或大於1x107 cm2 /mol之另一雜原子)。可控制底層之厚度(例如,大於約5 nm)。前驅物 ( 例如,用於底層 )
本文之底層可採用任何有用之前驅物或前驅物之組合。此等前驅物可包括僅包含有碳(C)及氫(H)原子之烴前驅物, 其中前驅物可為飽和(僅具有單鍵)或不飽和(具有一或更多雙鍵或三鍵),以及線性或環狀。其他前驅物可包括一或更多非碳雜原子,且此等前驅物在本文中稱為摻雜物前驅物。此等摻雜物前驅物可視情況地包括與非碳原子結合之碳原子。在一些實施例中,本文之任何烴前驅物可用一或更多雜原子改質以提供摻雜物前驅物。通用術語”前驅物”可指烴前驅物及/或摻雜物前驅物。此等前驅物在一些實例中可為氣體,因而允許在製程腔室內進行氣相沉積。
烴前驅物通常包括含碳前驅物。在一些實例中,烴前驅物僅包括C及H原子。烴前驅物可為例如由式Cx Hy 所定義者,其中x為1至10之整數,y為2至24之整數。此等前驅物之示例包括甲烷(CH4 )、乙炔(C2 H2 )、乙烷(C2 H6 )、乙烯(C2 H4 )、丙烷(C3 H8 )、丙烯(C3 H6 )、丙炔(C3 H4 ) 、丙二烯(C3 H4 ) 、環丙烯(C3 H4 ) 、丁烷(C4 H10 ) 、丁烯(C4 H8 ) 、丁二烯(C4 H6 ) 、環己烷(C6 H12 ) 、苯(C6 H6 )及甲苯(C7 H8 )。
烴前驅物可為脂族化合物(例如,C1-10 烷烴、C2-10 烯烴、C2-10 炔烴,包括其線性或環狀形式)或芳香族化合物(例如苯,以及多環形式)。烴前驅物可包括飽和鍵(單鍵,例如,C-C鍵或C-H鍵)及/或不飽和鍵(雙鍵或三鍵,例如,C=C、C≡C或C≡N鍵)。
用於底層之有用前驅物亦可包括一或更多雜原子。此等雜原子可為任何有用之非碳原子,例如氧(O)、矽(Si)、氮(N)、鎢(W)、硼(B)、碘(I)、氯(Cl)及其組合。因此,非限定含雜原子之前驅物(本文亦稱為摻雜物前驅物)可包括含O前驅物、含Si前驅物、含N前驅物、含W前驅物、含B前驅物、含I前驅物或含Cl前驅物。此等摻雜物前驅物可為無機(缺乏碳原子)或有機 (包括碳原子),如本文所述。
含O前驅物可包括碳氧化物前驅物,其包含有O及C原子。在特定實施例中,碳氧化物前驅物與氫(H2 )或烴反應,並視情況進一步與Si源或含Si前驅物共反應。其他含O前驅物可包括一氧化碳(CO)、二氧化碳(CO2 ) 、水(H2 O) 、氧氣(O2 ) 、臭氧(O3 ) 、過氧化氫(H2 O2 ) 、醇(例如叔戊醇、乙醇、丙醇等)、多元醇(例如二醇,如乙二醇)、酮、醛、醚、酯、羧酸、烷氧基矽烷、氧雜環戊烷(oxolane)或呋喃。
含矽前驅物可包括矽烷、鹵代矽烷、胺基矽烷、烷氧基矽烷、有機矽烷等。在特定實施例中,含Si前驅物與氧化劑(例如,本文所述之任一者,例如含O前驅物或包括CO及CO2 之碳氧化物前驅物)共反應。非限定含Si前驅物包括聚矽烷(H3 Si‑(SiH2 ) ‑SiH3 ),其中n 0。矽烷之示例為甲矽烷(SiH4 )、二矽烷(Si2 H6 )、及有機矽烷(例如甲基矽烷、乙基矽烷、異丙基矽烷、叔丁基矽烷、二甲基矽烷、二乙基矽烷、二叔丁基矽烷、烯丙基矽烷、仲丁基矽烷、己基矽烷、異戊基矽烷、叔丁基二矽烷、二叔丁基二矽烷及其類似者)。
鹵代矽烷包括至少一鹵素基團並可包括或可不包括H及/或C原子。鹵代矽烷之示例為碘代矽烷、溴代矽烷、氯代矽烷及氟代矽烷。具體氯代矽烷為四氯矽烷、三氯矽烷、二氯矽烷、一氯矽烷、氯烯丙基矽烷、氯甲基矽烷、二氯甲基矽烷、氯二甲基矽烷、氯乙基矽烷、叔丁基氯矽烷、二叔丁基氯矽烷、氯異丙基矽烷、氯仲丁基矽烷、叔丁基二甲基氯矽烷、己基二甲基氯矽烷及其類似者。具體碘代矽烷為四碘矽烷、三碘矽烷、二碘矽烷、一碘矽烷、三甲基碘矽烷及其類似者。
胺基矽烷包括結合至Si原子之至少一N原子,但亦可含有H、O、鹵素及/或C原子。胺基矽烷之示例子為單-、二-、三-及四-胺基矽烷(分別為H3 Si(NH2 ) 、H2 Si(NH2 )2 、HSi(NH2 )3 及Si(NH2 )4 ),以及經取代單-、二-、三-及四-胺基矽烷,例如叔丁基胺基矽烷、甲基胺基矽烷、叔丁基矽烷胺、二叔丁基胺基)矽烷(SiH2 (NHC(CH3 )3 )2 (BTBAS)、叔丁基矽烷基胺基甲酸酯、SiH(CH3 )-(N(CH3 )2 )2 、SiHCl‑(N(CH3 )2 )2 、(Si(CH3 )2 NH)3 及其類似者。胺基矽烷之進一步示例為三矽烷胺(N(SiH3 ))。
烷氧基矽烷包括與Si原子鍵結之至少一O原子,但亦可含有H、N、鹵素及/或C原子。烷氧基矽烷之示例為單-、二-、三-及四-烷氧基矽烷(分別為H3 Si(OR)、H2 Si(OR)2 、HSi(OR)3 及Si(OR)4 ,其中每一R可獨立為,視情況取代之烷基或芳基)以及經取代單-、二-、三-及四-烷氧基矽烷,例如三甲氧基甲基矽烷(CH3 Si(OCH3 )3 )、(3-胺基丙基)三甲氧基矽烷(NH2 (CH2 )3 Si(OCH3 )3 )、(3-胺基丙基)三乙氧基矽烷(NH2 (CH2 )3 Si(OCH2 CH3 )3 )、三乙氧基乙烯基矽烷(CH2 =CHSi(OCH2 CH3 )3 )、三乙氧基乙基矽烷(CH3 CH2 Si(OCH2 CH3 )3 )、三甲氧基苯基矽烷(PhSi(OCH3 )3 )、異丁基三乙氧基矽烷(i-BuSi(OCH2 CH3 )3 )、二乙醯氧基二甲基矽烷((CH3 )2 Si(OCOCH3 )2 )及其類似者。其他示例包括四乙氧基矽烷(Si(OCH2 CH3 )4 )、三乙氧基矽烷(HSi(OCH2 CH3 )3 )、四甲氧基矽烷(Si(OCH3 )4 )及三甲氧基矽烷(HSi(OCH3 )3 )。
含N前驅物包括具有至少一N原子之任一者,例如氮氣(N2 )、氨(NH3 )、肼(N2 H4 )、胺(帶有碳之胺)例如甲胺、二甲胺、乙基甲胺、乙胺、異丙胺、叔丁胺、二叔丁胺、環丙胺、仲丁胺、環丁胺、異戊胺、2-甲基丁基-2-胺、三甲胺、二異丙胺、二乙基異丙胺、二叔丁基肼以及含芳香族胺(例如苯胺、吡啶及芐胺)。其他含N前驅物可包括腈(例如,乙腈)、醯胺、含N雜環化合物或胺基醇(例如,乙醇胺)。胺可為一級、二級、三級或四級胺(例如,四烷基銨化合物)。含N前驅物可含有N以外之雜原子,例如,羥胺、叔丁氧羰基胺及N-叔丁基羥胺為含N前驅物。
含W前驅物包括含鎢鹵化物前驅物,其可包括氟化鎢,例如氟化鎢(VI)(WF6 );及氯化鎢,如氯化鎢(VI) (WCl6 )、氯化鎢(VI)(WCl5 )及氯氧化鎢(WOCl4 )。在一些實施例中,可使用含金屬-有機鎢之前驅物,例如六羰基鎢(W(CO)6 )、均三甲苯三羰基鎢([C6 H3 (CH3 )3 ]W(CO)3 )、雙(叔丁基醯亞胺基)雙(二甲基胺基))鎢(VI) ([(CH3 )3 CN]2 W[N(CH3 )2 ]2 )、雙(環戊二烯基)二氫化鎢(IV) (H2 WCp2 )或其他。
含B前驅物包括鹵化硼(例如,BCl3 )、硼烷(例如,B2 H6 )、硼酸酯(例如,B(OH)3 )及有機硼化合物(例如,B(CH3 )3 )。非限定含硼前驅物包括乙硼烷(B2 H6 )、硼酸三甲酯(B[OCH3 ]3 )、硼酸三乙酯(B[OCH2 CH3 ]3 )、硼酸三異丙酯(B[OCH(CH3 )2 ]3 )、三甲基硼烷(B(CH3 )3 )、三乙基硼烷(B(C2 H5 )3 )、三苯基硼烷(BPh3 )、四(二甲基胺基)二硼烷(B2 (N(CH3 )2 )4 )、三氟化硼(BF3 )、三氯化硼(BCl3 )、三溴化硼(BBr3 )及碘化硼(BI3 )。
含I前驅物包括碘化烴化合物,例如碘乙炔(C2 HI)、二碘乙炔(C2 I2 )、碘乙烯((C2 H3 I)、碘甲烷(CH3 I)、二碘甲烷(CH2 I2 )、1,1-二碘乙烯(C2 H2 I2 ) 、(E )-1,2-二碘乙烯(反式- C2 H2 I2 )、(Z )-1,2-二碘乙烯(順式- C2 H2 I2 )、碘丙烯(C3 H5 I)、1-碘-1-丙炔(C3 H3 I)、碘環丙烷(C3 H5 I)及1,1-二碘環丙烷(C3 H4 I2 )。
含Cl前驅物包括氯代烴化合物,例如氯乙炔(C2 HCl)、氯乙烯(C2 H3 Cl)、氯甲烷(CH3 Cl)、二氯甲烷(CH2 Cl2 )、1,1-二氯乙烯(C2 H2 Cl2 )、(E )-1, 2-二氯乙烯(反式- C2 H2 Cl2 ) 、(Z )-1,2-二氯乙烯(順式- C2 H2 Cl2 )、氯丙烯(C3 H5 Cl)、1-氯-1-丙炔(C3 H3 Cl)、氯環丙烷(C3 H5 Cl)及1,1 -二氯環丙烷(C3 H4 Cl2 )。
可包括其他雜原子,例如磷(P)。含P前驅物可包括磷酸酯、膦、鹵化磷、有機磷化合物等。非限定含磷前驅物包括磷酸三乙酯(PO[OC2 H5 ]3 )、磷酸三甲酯(PO[OCH3 ]3 )、亞磷酸三甲酯(P(OCH3 )3 )、三二甲基胺基膦(P[N(CH3 )2 ]3 )、三氯化磷(PCl3 )、三甲基甲矽烷基膦(P[Si(CH3 )3 ]3 )及三氯氧化磷(POCl3 )。底層之性質
本文中任何製程及前驅物均可用於提供有用的底層。底層之組成可調整為包括特定原子。在一實施例中,底層包括約0-30原子% O(例如1-30%、2-30%或4-30%)、約20-50原子% H(例如20-45%、30-50%或30-45%)、及/或30-70原子% C(例如30-60%、30-65%或30-68%)。在其他實施例中,底層包括不飽和鍵(例如,C=C、C≡C及/或C≡N鍵)之存在。在另其他實施例中,底層具有約0.7至2.9 g/cm3 之密度。
相較於對照膜,該底層之特徵在於增加的蝕刻選擇性及/或減小的底切。在其他實施例中,相較於對照膜,該底層可具有減小之線邊緣及線寬粗糙度及/或減少之劑量比上尺寸的特徵。非限定對照膜包括用飽和烴前驅物形成、在脈衝化偏壓內形成及/或無摻雜物下形成之彼等。在一實例中,對照膜為用甲烷形成之AHM。在另一實例中,對照膜為用乙炔形成之AHM。圖案化結構
本文之圖案化結構(或膜)可包括在硬遮罩或基板之表面上的成像層,以及在成像層下方之底層。在特定實施例中,底層之存在提供成像層之增強輻射吸收率及/或圖案化效能。
一般而言,穿過層之光子吸收取決於深度。當同質層或膜暴露於輻射時,由於較少光子到達該下部,故該層之下部比同一層之上部暴露於更低劑量的輻射。因此,為了確保層的整個深度上有足夠且均勻曝光,該層必須提供足夠的輻射透射率。在特定實施例中,本文所述之底層提供穿過成像層之增強的輻射吸收。此外,在一些實例中,底層可有效地產生更多的二次電子,其可更佳地對圖案化結構的下部進行曝光。
底層與成像層之一或兩者可包括高吸收元素。在一實例中,底層與成像層兩者均包括高吸收元素,例如EUV吸收為等於或大於1x107 cm2 /mol。底層及成像層之每一者中的元素可為相同或不同。在特定實施例中,增強黏著可降低所需之輻射劑量以在成像層及/或底層中提供所欲圖案化特徵部。
成像層可包括任何有用之阻劑,例如基於金屬有-機物的之阻劑,如本文所述。當所使用之光阻材料具有顯著的無機成分時,例如其展現主要的金屬氧化物骨架,則底層可有利地為基於碳的膜。在待圖案化之基板上存有產生顯著形貌之裝置特徵部的例子中,底層之另一重要功能可為對現有形貌進行塗覆及平坦化,以便可在具有焦點圖案之所有區域的平坦表面上執行後續圖案化步驟。對於此等應用,底層(或多個底層中之至少一者)可使用乾式沉積或旋塗技術來塗佈。該層可包括具有基於碳及氫之組成的諸多可灰化遮罩(AHM)膜,並可摻雜有例如鎢、硼、氮或氟之額外元素。
底層及成像層(單獨或一起)可被視為膜。在一些實施例中,該膜為輻射敏感膜(例如,EUV敏感膜)。此膜又可作為EUV阻劑,如本文進一步所述。在特定實施例中,該層或膜可包括一或更多配位基(例如,EUV不穩定配位基),其可透過輻射(例如,EUV或DUV輻射)去除、裂解或交聯。
前驅物可用於提供對輻射敏感之可圖案化膜(或圖案化輻射敏感膜或可光圖案化膜)。此等輻射可包括EUV輻射、DUV輻射、或UV輻射,其透過照射穿過圖案化遮罩來提供,因此為圖案化輻射。膜本身可透過暴露於此等輻射而改變,使得該膜為輻射敏感或光敏感。在特定實施例中,該前驅物為有機金屬化合物,其包括至少一金屬中心。
前驅物可具有任何有用數量及類型的配位基。在一些實施例中,配位基之特徵在於其在相對反應物存在下或在圖案化輻射存在下進行反應之能力。例如,前驅物可包括與相對反應物反應之配位基,其可在金屬中心之間引入連結(例如-O-連結)。在另一實例中,前驅物可包括在圖案化輻射存在下消去之配位基。此等EUV不穩定配位基可包括具有β-氫之支鏈或直鏈烷基,以及本文對式(I )或(II )中R所述之任一者。
前驅物可為任何有用之含金屬前驅物,例如有機金屬劑、金屬鹵化物或覆蓋劑(例如,如本文所述)。在非限定實例中,前驅物包括具有式(I )之結構 : Ma Rb (I ), 其中 : M為具有高EUV吸收截面之金屬或原子; 每一R獨立為H、鹵素、視情況取代之烷基、視情況取代之環烷基、視情況取代之環烯基、視情況取代之烯基、視情況取代之炔基、視情況取代之烷氧基、視情況取代之烷醯氧基、視情況取代之芳基、視情況取代之胺基、視情況取代之雙(三烷基甲矽烷基)胺基、視情況取代之三烷基甲矽烷基、側氧基(oxo)、陰離子配位基、中性配位基或多牙配位基; a≥1;且b≥1。
在另一非限定實例中,前驅物包括具有式(II )之結構 : Ma Rb Lc (II ), 其中: M為具有高EUV吸收截面之金屬或原子; 每一R獨立為鹵素、視情況取代之烷基、視情況取代之芳基、視情況取代之胺基、視情況取代之烷氧基、或L; 每一L獨立為配位基、陰離子配位基、中性配位基、多牙配位基、離子或與相對反應物具反應性之其他部分,其中R及L與M一起可視情況地形成雜環基或其中R與L一起可視情況地形成雜環基; a≥1;b≥1;且c≥1。
在一些實施例中,前驅物中之每一配位基可為與相對反應物具反應性之配位基。在一實例中,前驅物包括具有式(II )之結構,其中每一R獨立為L。在另一實例中,前驅物包括具有式(IIa )之結構: Ma Lc (IIa ), 其中: M為具有高EUV吸收截面之金屬或原子; 每一L獨立為配位基、離子或與相對反應物具反應性之其他部分,其中兩個L一起可視情況地形成雜環基; a≥1;且c≥1。 在式(IIa )之特定實施例中,a為1。在進一步實施例中,c為2、3或4。
對於本文中之任一式,M可為具有高圖案化輻射吸收截面(例如,等於或大於1x107 cm2 /mol之EUV吸收截面)之金屬或類金屬或原子。在一些實施例中,M為錫(Sn)、鉍(Bi)、碲(Te)、銫(Cs)、銻(Sb)、銦(In)、鉬(Mo)、鉿(Hf)、碘(I)、鋯(Zr)、鐵(Fe)、鈷(Co)、鎳(Ni)、銅(Cu)、鋅(Zn)、銀(Ag)、鉑(Pt)及鉛(Pb)。在進一步實施例中,於式(I )、(II )或(IIa )中,M為Sn,a為1,且c為4。在其他實施例中,於式(I )、(II )或(IIa )中,M為Sn,a為1,且c為2。在特定實施例中,M為Sn(II)(例如,於式(I )、(II )或(IIa )中),因而提供為基於Sn(II)之化合物的前驅物。在其他實施例中,M為Sn(IV)(例如,於式(I )、(II )或(IIa )中),因而提供為基於Sn(IV)之化合物的前驅物。在特定實施例中,前驅物包括碘(例如過碘酸鹽)。
對於本文之任一式,每一R獨立為H、鹵素、視情況取代之烷基、視情況取代之環烷基、視情況取代之環烯基、視情況取代之烯基、視情況取代之炔基、視情況取代之烷氧基(例如-OR1 ,其中R1 可為視情況取代之烷基) 、視情況取代之烷醯氧基、視情況取代之芳基、視情況取代之胺基、視情況取代之雙(三烷基甲矽烷基)胺基、視情況取代之三烷基甲矽烷基、側氧基(oxo)、陰離子配位基(例如,氧負離子基(oxido)、氯代基(chlorido)、氫化基(hydrido)、醋酸根、亞胺二醋酸根、丙酸根、丁酸根、苯甲酸根等)、中性配位基、或多牙配位基。
在一些實施例中,視情況取代之胺基為‑NR1 R2 ,其中每一R1 及R2 獨立為H或烷基;或其中R1 及R2 與各自連接之氮原子一起形成如本文所定義之雜環基。在其他實施例中,視情況取代之雙(三烷基甲矽烷基)胺基為‑N(SiR1 R2 R3 )2 ,其中每一R1 、R2 及R3 獨立為視情況取代之烷基。在另其他實施例中,視情況取代之三烷基甲矽烷基為‑SiR1 R2 R3 ,其中每一R1 、R2 及R3 獨立為視情況取代之烷基。
在其他實施例中,該式包括為-NR1 R2 之第一R(或第一L)以及為-NR1 R2 之第二R(或第二L),其中每一Rl 及R2 獨立為H或視情況取代之烷基;或其中來自第一R(或第一L)之R1 及來自第二R(或第二L)之R1 與各自連接之氮原子及金屬原子一起形成如本文所定義之雜環基。在另其他實施例中,該式包括為‑OR1 之第一R及為‑OR1 之第二R,其中每一R1 獨立為H或視情況取代之烷基;或其中來自第一R之R1 及來自第二R之R1 與各自連接之氧原子及金屬原子一起形成如本文所定義之雜環基。
在一些實施例中,R或L中之至少一者(例如,在式(I )、(II )或(IIa )中)為視情況取代之烷基。非限定烷基包括例如Cn H2n+1 ,其中n為1、2、3或更大,例如甲基、乙基、正丙基、異丙基、正丁基、異丁基、仲丁基或叔丁基。在諸多實施例中,R或L具有至少一β-氫或β-氟。在其他實施例中,R或L中之至少一者為經鹵素取代之烷基(例如,經氟取代之烷基)。
在一些實施例中,每一R或L或至少一R或L(例如,在式(I )、(II )或(IIa )中)為鹵素。尤其,前驅物可為金屬鹵化物。非限定金屬鹵化物包括SnBr4 、SnCl4 、SnI4 、及SbCl3
在一些實施例中,每一R或L或至少一R或L(例如,在式(I )、(II )或(IIa )中)可包含氮原子。在特定實施例中,一或更多R或L可為視情況取代之胺基、視情況取代之單烷基胺基(例如,‑NR1 H,其中R1 為視情況取代之烷基)、視情況取代之二烷基胺基(例如‑NR1 R2 ,其中每一R1 及R2 獨立為視情況取代之烷基)或視情況取代之雙(三烷基甲矽烷基)胺基。非限定R及L取代基可包括例如-NMe2 、-NHMe、-NEt2 、-NHEt、-NMeEt、-N(t -Bu)-[CHCH3 ]2 -N(t -Bu)- (tbba) 、‑N(SiMe3 )2 、及‑N(SiEt3 )2
在一些實施例中,每一R或L或至少一R或L(例如,在式(I )、(II )或(IIa )中)可包含矽原子。在特定實施例中,一或更多R或L可為視情況取代之三烷基甲矽烷基或視情況取代之雙(三烷基甲矽烷基)胺基。非限定R或L取代基可包括例如-SiMe3 、-SiEt3 、-N(SiMe3 )2 、及-N(SiEt3 )2
在一些實施例中,每一R或L或至少一R或L(例如,在式(I )、(II )或(IIa )中)可包含氧原子。在特定實施例中,一或更多R或L可為視情況取代之烷氧基或視情況取代之烷醯氧基。非限定R或L取代基包括例如甲氧基、乙氧基、異丙氧基(i -PrO)、叔丁氧基(t -BuO)、醋酸根(-OC(O)-CH3 )及-O=C(CH3 )-CH=C (CH3 )-O-(acac)。
本文中任一式可包含一或更多中性配位基。非限定中性配位基包括視情況取代之胺基(例如,NR3 或R2 N-Ak-NR2 ,其中每一R可獨立為H、視情況取代之烷基、視情況取代之烴基或視情況取代之芳基,且Ak為視情況取代之亞烷基)、視情況取代之膦(例如PR3 或R2 P-Ak-PR2 ,其中每一R可獨立為H、視情況取代之烷基、視情況取代之烴基或視情況取代之芳基,且Ak為視情況取代之亞烷基)、視情況取代之醚(例如,OR2 ,其中每一R可獨立為H、視情況取代之烷基、視情況取代之烴基或視情況取代之芳基)、視情況取代之烷基、視情況取代之烯、視情況取代之炔、視情況取代之苯、側氧基或一氧化碳。
本文中任一式可包含一或更多多牙(例如,雙牙)配位基。非限定多牙配位基包括二酮根(例如,乙醯丙酮根(acac)或‑OC(R1 )-Ak-(R1 )CO-或‑OC(R1 )-C(R2 )-(R1 )CO-)、雙牙螯合二氮(例如-N(R1 )-Ak-N(R1 )-或-N(R3 )-CR4 -CR2 =N(R1 )-)、芳香族 (例如-Ar-)、脒根(amidinate)(例如-N(R1 )-C(R2 )-N(R1 )-)、胺基烷氧根(例如-N(R1 )-Ak-O-或- N(R1 )2 -Ak-O-)、二氮雜二烯基(diazadienyl)(例如,-N(R1 )-C(R2 )-C(R2 )-N(R1 )-)、環戊二烯基(cyclopentadienyl)、吡唑特(pyrazolate)、視情況取代之雜環基、視情況取代之亞烷基或視情況取代之亞雜烷基。在特定實施例中,每一R1 獨立為H、視情況取代之烷基、視情況取代之鹵代烷基、或視情況取代之芳基;每一R2 獨立為H或、視情況取代之烷基; R3 及R4 一起形成視情況取代之雜環基; Ak為視情況取代之亞烷基;Ar為視情況取代之亞芳基。
在特定實施例中,前驅物包括錫。在一些實施例中,錫前驅物包括SnR或SnR2 或SnR4 或R3 SnSnR3 ,其中每一R獨立為H、鹵素、視情況取代之C1-12 烷基、視情況取代之C1-12 烷氧基、視情況取代之胺基(例如‑NR1 R2 ) 、視情況取代之C2-12 烯基、視情況取代之C2-12 炔基、視情況取代之C3-8 環烷基、視情況取代之芳基、環戊二烯基、視情況取代之雙(三烷基甲矽烷基)胺基(例如‑N(SiR1 R2 R3 )2 ) 、視情況取代之烷醯氧基(例如醋酸根)、二酮根(例如‑OC(R1 )-Ak-(R2 )CO-)或雙牙螯合二氮(例如-N(R1 )-Ak-N(R1 )-)。在特定實施例中,每一R1 、R2 及R3 獨立為H或C1-12 烷基(例如,甲基、乙基、異丙基、叔丁基或新戊基);且Ak為視情況取代之C1-6 亞烷基。在特定實施例中,每一R獨立為鹵素、視情況取代之C1-12 烷氧基、視情況取代之胺基、視情況取代之芳基、環戊二烯基或二酮根。非限定錫前驅物包括SnF2 、SnH4 、SnBr4 、SnCl4 、SnI4 、四甲基錫(SnMe4 )、四乙基錫(SnEt4 )、三甲基氯化錫(SnMe3 Cl)、二甲基二氯化錫(SnMe2 Cl2 )、甲基三氯化錫(SnMeCl3 )、四烯丙基錫、四乙烯基錫、六苯基二錫(IV)( Ph3 Sn-SnPh3 ,其中Ph為苯基)、二丁基二苯基錫(SnBu2 Ph2 )、三甲基(苯基)錫(SnMe3 Ph)、三甲基(苯基乙炔基)錫、三環己基氫化錫、三丁基氫化錫(SnBu3 H )、二醋酸二丁基錫(SnBu2 (CH3 COO)2 )、乙醯丙酮錫(II)( Sn(acac)2 ))、SnBu3 (OEt)、SnBu2 (OMe)2 、SnBu3 (OMe)、Sn(t -BuO)4 、Sn(n -Bu)(t -BuO)3 、四(二甲基胺基)錫(Sn(NMe2 )4 )、四(乙基甲基胺基)錫(Sn(NMeEt)4 )、四(二乙基胺基)錫(IV)(Sn(NEt2 )4 )、(二甲基胺基)三甲基錫(IV)( Sn(Me)3 (NMe2 )、Sn(i -Pr)(NMe2 )3 、Sn(n -Bu)(NMe2 )3 、Sn(s -Bu)(NMe2 )3 、 Sn(i -Bu)(NMe2 )3 、Sn(t -Bu)(NMe2 )3 、Sn(t -Bu)2 (NMe2 )2 、Sn(t -Bu)(NEt2 )3 、Sn(tbba)、Sn(II)(1,3-雙(1,1-二甲基乙基)-4,5-二甲基-(4R ,5R )-1,3,2-二氮雜史坦諾啶-2-亞基)( Sn(II) (1,3-bis(1,1-dimethylethyl)-4,5-dimethyl-(4R ,5R )-1,3,2- diazastannolidin-2-ylidene))、或雙[雙(三甲基甲矽烷基)胺基]錫(Sn[N(SiMe3 )2 ]2 ] 2)。
在其他實施例中,前驅物包括鉍,例如於BiR3 中,其中每一R獨立為鹵素、視情況取代之C1-12 烷基、單-C1-12 烷基胺基(例如,‑NR1 H)、二-C1-12 烷基胺基(例如‑NR1 R2 ) 、視情況取代之芳基、視情況取代之雙(三烷基甲矽烷基)胺基(例如‑N(SiR1 R2 R3 )2 )、或二酮根(例如‑OC(R4 )-Ak-(R5 )CO-)。在特定實施例中,每一R1 、R2 及R3 獨立為C1-12 烷基(例如甲基、乙基、異丙基、叔丁基或新戊基);且每一R4 及R5 獨立為H或視情況取代之C1-12 烷基(例如甲基、乙基、異丙基、叔丁基或新戊基)。非限定鉍前驅物包括BiCl3 、BiMe3 、BiPh3 、Bi(NMe2 )3 、Bi[N(SiMe3 )2 ]3 、及Bi(thd)3 ,其中thd為2,2,6,6-四甲基-3,5-庚二酮根(2,2,6,6-tetramethyl- 3,5-heptanedionate)。
在其他實施例中,前驅物包括碲,例如TeR2 或TeR4 ,其中每一R獨立為鹵素、視情況取代之C1-12 烷基(例如,甲基、乙基、異丙基、叔丁基及新戊基) 、視情況取代之C1-12 烷氧基、視情況取代之芳基、羥基、側氧基或視情況取代之三烷基甲矽烷基。非限定碲前驅物包括二甲基碲(TeMe2 )、二乙基碲(TeEt2 )、二(正丁基)碲(Te(n -Bu)2 )、二(異丙基)碲(Te(i -Pr)2 )、二(叔丁基)碲(Te(t -Bu)2 )、叔丁基氫化碲(Te(t -Bu)(H))、Te(OEt)4 、雙(三甲基甲矽烷基)碲(Te(SiMe3 )2 )及雙(三乙基甲矽烷基)碲(Te(SiEt3 )2 )。
前驅物可包括銻,例如在SbR3 中,其中每一R獨立為鹵素、視情況取代之C1-12 烷基(例如甲基、乙基、異丙基、叔丁基及新戊基) 、視情況取代之C1-12 烷氧基或視情況取代之胺基(例如,‑NR1 R2 ,其中每一R1 及R2 獨立為H或視情況取代之C1-12 烷基)。非限定銻前驅物包括SbCl3 、Sb(OEt)3 、Sb(On -Bu)3 、及 Sb(NMe2 )3
其他前驅物包括銦前驅物,例如InR3 中,其中每一R獨立為鹵素、視情況取代之C1-12 烷基(例如,甲基、乙基、異丙基、叔丁基及新戊基)或二酮根( 例如,‑OC(R4 )-Ak-(R5 )CO-,其中每一R4 及R5 獨立為H或C1-12 烷基)。非限定銦前驅物包括InCp(其中Cp為環戊二烯基)、InCl3 、InMe3 、In(acac)3 、In(CF3 COCHCOCH3 )3 及In(thd)3
前驅物可包括碘,例如RI,其中R為碘(I)或視情況取代之C1-12 烷基或過碘酸根。非限定碘前驅物包括碘氣(I2 )、二碘甲烷(CH2 I2 )及過碘酸鹽。
本文描述另其他前驅物及非限定取代基。例如,前驅物可為具有如上所述之式(I )、(II )及(IIa );或如下所述之式(III )、(IV ) 、(V )、(VI ) 、(VII )或(VIII ) 之結構的任一者。本文所述之任一取代基M、R、X或L可用於式(I )、(II )、(IIa )、(III )、(IV ) 、(V )、(VI ) 、(VII )或(VIII )中。
另其他示例性EUV敏感材料以及處理方法及設備描述於美國專利案第9,996,004號;國際專利公開案第WO 2020/102085號;以及國際專利公開案第WO 2019/217749號中,其每一者整體內容均以引用方式併於此。
如本文所述,本文之膜、層及方法可與任何有用之前驅物一起使用。在一些實例中,前驅物包括具有下式(III )之金屬鹵化物 : MXn (III ), 其中,M為金屬,X為鹵素,n為2至4(取決於M之選擇)。用於M之示例性金屬包括Sn、Te、Bi或Sb。示例性金屬鹵化物包括SnBr4 、SnCl4 、SnI4 及SbCl3
另一非限定前驅物包括具有式(IV )之結構 : MRn (IV ), 其中M為金屬;每一R獨立為H、視情況取代之烷基、胺基(例如,‑NR2 ,其中每一R獨立為烷基)、視情況取代之雙(三烷基甲矽烷基)胺基(例如-N(SiR3 )2 ,其中每一R獨立為烷基 )、或視情況取代之三烷基甲矽烷基(例如,-SiR3 ,其中每一R獨立為烷基);n為2至4(取決於M之選擇)。M之示例性金屬包括Sn、Te、Bi或Sb。烷基可為Cn H2n+1 ,其中n為1、2、3或更大。示例性有機金屬劑包括SnMe4 、 SnEt4 、TeRn 、RTeR、叔丁基氫化碲(Te(t -Bu)(H))、二甲基碲(TeMe2 )、二叔丁基碲(Te(t -Bu)2 )、二(異丙基)碲(Te(i -Pr)2 )、雙(三甲基甲矽烷基)碲(Te(SiMe3 )2 )、雙(三乙基甲矽烷基)碲(Te(SiEt3 )2 )、三(雙(三甲基甲矽烷基)醯胺基)鉍(Bi[N(SiMe3 )2 ]3 )、Sb(NMe2 )3 及類似者。
另一非限定前驅物可包括具有下式(V )之覆蓋劑: MLn (V ), 其中M為金屬;每一L獨立為視情況取代之烷基、胺基(例如,‑NR1 R2 ,其中每一R1 及R2 可為H或烷基,例如本文所述之任一者)、烷氧基(例如-OR,其中R為烷基,例如本文所述之任一者)、鹵素或其他有機取代基;n為2至4,取決於M之選擇。M之示例性金屬包括Sn、Te、Bi或Sb。示例性配位基包括二烷基胺基(例如二甲基胺基、甲基乙基胺基及二乙基胺基)、烷氧基(例如叔丁氧基及異丙氧基)、鹵素(例如F、Cl、Br及I)、或其他有機取代基(例如乙醯丙酮或N2 ,N 3 -二叔丁基-丁烷-2,3-二胺基)。非限定覆蓋劑包括SnCl4 ;SnI4 ;Sn(NR2 )4 ,其中每一R獨立為甲基或乙基;或Sn(t -BuO)4 。在一些實施例中,存在多種類型之配位基。
前驅物可包括具有下式(VI )之被烴基取代的覆蓋劑 : Rn MXm (VI ), 其中M為金屬,R為具有β-氫之C2-10 烷基或經取代烷基,且X為與暴露羥基中之羥基反應後合適的離去基。在諸多實施例中,只要m>0(或m≥1),則n=1至3,且m=4 – n、3 – n或2 – n。例如,R可為叔丁基、叔戊基、叔己基、環己基、異丙基、異丁基、仲丁基、正丁基、正戊基、正己基或其在β位置中具有雜原子取代基之衍生物。合適的雜原子包括鹵素(F、Cl、Br或I)、或氧(-OH或-OR)。X可為二烷基胺基(例如二甲基胺基、甲基乙基胺基或二乙基胺基)、烷氧基(例如叔丁氧基、異丙氧基)、鹵素(例如F、Cl、Br或I)、或另一有機配位基。被烴基取代之覆蓋劑的示例包括叔丁基三(二甲基胺基)錫(Sn(t -Bu)(NMe2 )3 )、正丁基三(二甲基胺基)錫(Sn(n -Bu)(NMe2 )3 )、叔丁基三(二乙基胺基)錫(Sn(t -Bu)(NEt2 )3 )、二(叔丁基)二(二甲基胺基)錫(Sn(t -Bu)2 (NMe2 )2 )、仲丁基三(二甲基胺基)錫(Sn(s -Bu)(NMe2 )3 )、正戊基三(二甲基胺基)錫(Sn(n-pentyl)(NMe2 )3 )、異丁基三(二甲基胺基)錫(Sn(i -Bu)(NMe2 )3 )、異丙基三(二甲基胺基)錫(Sn(i-Pr)(NMe2 )3 )、叔丁基三(叔丁氧基)錫(Sn(t -Bu)(t -BuO)3 )、正丁基(三(叔丁氧基)錫(Sn(n -Bu)(t -BuO)3 )或異丙基三(叔丁氧基)錫(Sn(i -Pr)(t -BuO)3 )。
在諸多實施例中,前驅物在每一金屬原子上包括至少一可在氣相反應中留存之烷基,而與該金屬原子配位之其他配位基或離子可被相對反應物取代。據此,另一非限定前驅物包括具有式(VII )之有機金屬劑 : Ma Rb Lc (VII ), 其中M為金屬;R為視情況取代之烷基;L為與相對反應物具反應性之配位基、離子或其他部分;a≥1;b≥1;且c≥1。在特定實施例中,a = 1,且b + c = 4。在一些實施例中,M為Sn、Te、Bi或Sb。在特定實施例中,每一L獨立為胺基(例如,-NR1 R2 ,其中每一R1 及R2 可為H或烷基,例如本文所述之任一者)、烷氧基(例如-OR,其中R為烷基,例如本文所述之任一者)、或鹵素(例如F、Cl、Br或I)。示例性試劑包括SnMe3 Cl、SnMe2 Cl2 、SnMeCl3 、SnMe(NMe2 )3 、SnMe2 (NMe2 )2 、SnMe3 (NMe2 )及類似者。
在其他實施例中,非限定前驅物包括具有式(VIII )之有機金屬劑 : Ma Lc (VIII ), 其中M為金屬;L為與相對反應物具反應性之配位基、離子或其他部分; a≥1;且c≥1。在特定實施例中,c = n-1,且n為2、3或4。在一些實施例中,M為Sn、Te、Bi或Sb。相對反應物較佳具有取代反應性部分、配位基或離子(例如,本文式中之L)以透過化學鍵結連接至少兩金屬原子之能力。
在本文任一實施例中,R可為視情況取代之烷基(例如,C1-10 烷基)。在一實施例中,烷基被一或更多鹵素取代(例如,經鹵素取代之C1-10 烷基,其包括一個、兩個、三個、四個或更多鹵素,例如F、Cl、Br或I)。示例性R取代基包括Cn H2n+1 ,較佳為其中n≥3;Cn Fx H(2n+1-x) ,其中2n+1 ≤ x ≤ 1。在諸多實施例中,R具有至少一β-氫或β-氟。例如,R可選自由異丙基、正丙基、叔丁基、異丁基、正丁基、仲丁基、正戊基、異戊基、叔戊基、仲戊基及其混合物所組成之群組。
在本文任一實施例中,L可為易被相對反應物取代以產生M-OH部分之任何部分,例如選自由胺基(例如,-NR1 R2 ,其中每一R1 及R2 可為H或烷基,例如本文所述之任一者)、烷氧基(例如-OR,其中R為烷基,例如本文所述之任一者)、羧酸根、鹵素(例如F、Cl、Br或I)及其混合物所組成之群組的部分。
相對反應物較佳具有取代反應性部分、配位基或離子(例如,本文式中的L)以透過化學鍵結連接至少兩金屬原子之能力。示例性相對反應物包括含氧之相對反應物,例如氧(O2 )、臭氧(O3 )、水、過氧化物(例如過氧化氫)、氧電漿、水電漿、醇、二羥基醇、多羥基醇、氟化二羥基醇、氟化多羥基醇、氟化二醇(fluorinated glycols)、甲酸及其他羥基部分之來源、以及其組合。在諸多實施例中,相對反應物透過在相鄰金屬原子之間形成氧橋而與前驅物反應。其他潛在的相對反應物包括硫化氫及二硫化氫,其可透過硫橋使金屬原子交聯;雙(三甲基甲矽烷基)碲,其可透過碲橋使金屬原子交聯。另外,可利用碘化氫將碘結合至膜中。
另其他非限定之相對反應物包括具有式ZR2 之硫屬化物前驅物,其中 : Z為硫、硒或碲;且每一R獨立為H、視情況取代之烷基(例如甲基、乙基、正丙基、異丙基、正丁基、叔丁基等) 、視情況取代之烯基、視情況取代之芳基、視情況取代之胺基、視情況取代之烷氧基、或視情況取代之三烷基甲矽烷基。
示例性有機金屬劑包括SnMeCl3 、(N 2 ,N 3 -二叔丁基-丁烷-2,3-二胺基)錫(II)(Sn(tbba))、雙(雙(三甲基甲矽烷基)醯胺基)錫(II)、四(二甲基胺基)錫(IV)(Sn(NMe2 )4 )、叔丁基三(二甲基胺基)錫(Sn(t -butyl)(NMe2 )3 )、異丁基三(二甲基胺基)錫(Sn(i -Bu)(NMe2 )3 )、正丁基三(二甲基胺基)錫(Sn(n -Bu)(NMe2 )3 )、仲丁基三(二甲基胺基)錫(Sn(s -Bu)(NMe2 )3 )、異丙基(三)二甲基胺基錫(Sn(i -Pr)(NMe2 )3 )、正丙基三(二乙基胺基)錫(Sn(n -Pr)(NEt2 )3 )及類似物烷基(三)(叔丁氧基)錫化合物,例如叔丁基三(叔丁氧基)錫(Sn(t -Bu)(t -BuO)3 )。在一些實施例中,有機金屬劑為部分氟化。
在一些實施例中,圖案化基板可包括包含有暴露羥基或羥基封端SnOx 之表面層或膜。在不限制本技術之機制、功能或實用性下,據信羥基封端SnOx 層可提供例如改善沉積在基板表面上之材料的黏著以及在圖案化期間提高EUV(或其他輻射)吸收的益處。對EUV或其他輻射之敏感性及解析度可能取決於SnOx 層的性質,例如厚度、密度及短程電荷轉移特性。在諸多實施例中,SnOx 層具有0.1 nm至20 nm、或0.2 nm至10 nm、或0.5 nm至5 nm之厚度。
在一些實施例中,羥基封端SnOx 層係透過氣相沉積而沉積於基板的表面上。在此等方法中,該沉積包括使Sn-Xn 與含氧相對反應物反應,其中X為配位基,例如二烷基胺基(例如,二甲基胺基、甲基乙基胺基及二乙基胺基)、醇(例如叔丁氧基及異丙氧基)、鹵素(例如F、Cl、Br及I)、或其他有機取代基(例如,乙醯丙酮、N2,N3-二叔丁基-丁烷-2,3-二胺基)。例如,Sn-Xn 可為SnCl4 、SnI4 或Sn(NR2 )4 (其中R為甲基或乙基)、或Sn(t -BuO)4 。在一些實施例中,存在多種類型的配位基。含氧相對反應物可選自由水、過氧化氫、甲酸、醇、氧、臭氧及其組合所組成之群組。
合適的氣相沉積製程包括化學氣相沉積(CVD)、原子層沉積(ALD)、電漿增強化學氣相沉積(PECVD)或電漿增強原子層沉積(PEALD)。在一些實施例中,在沉積Sn-Xn 及沉積含氧相對反應物之循環製程中,該沉積為ALD。在一些實施例中,該沉積為CVD,其透過同時流動Sn-Xn 與含氧相對反應物。可用於沉積SnOx 層之材料及製程描述於Nazarov等人之「Atomic Layer Deposition of Tin Dioxide Nanofilms:  A Review, 40 Rev. Adv. Mater. Sci. 262 (2015)」中。SnOx 基板可透過CVD或ALD製程來沉積,如本文所述。
表面活化操作可用於活化表面以用於進一步操作。例如,對於SiOx表面,可使用水或氧/氫電漿在表面上產生羥基。對於基於碳或烴的表面,可使用水、氫/氧或CO2 電漿或臭氧處理,以產生羧酸/或羥基。此等方法可證明改善阻劑特徵部對基板之黏著乃至關重要,否則可能會在用於顯影之溶劑中分層或剝離。
亦可透過在基板表面中引起粗糙度以增強可用於相互作用之表面積以及直接改善機械黏附來提高黏著。例如,首先可利用使用Ar之濺射製程或其他非反應性離子轟擊來產生粗糙表面。接著,該表面可用如上所述之所欲表面官能性(例如羥基及/或羧酸基)進行封端。在碳上,可採用組合方法,其中可使用具化學反應性之含氧電漿,例如CO2 、O2 、H2 O(或H2 與O2 之混合物)來蝕刻去除局部不均勻之膜的薄層,同時以-OH、-OOH或-COOH基團封端。此可在有偏壓或無偏壓下完成。結合上述表面改質策略,此方法可發揮表面粗糙化及基板表面化學活化之雙重目的,用於直接黏著至基於無機金屬氧化物之阻劑上,或作為中間表面改質以進一步官能化。
圖案化結構可包括任何有用之基板。例如,可利用具所欲材料之基板表面來製備進入晶圓,其最上面材料為阻劑圖案被轉印至其中的層。儘管材料選擇可能會根據整合度而變化,但一般需選擇可以相對於EUV阻劑或成像層具高選擇性(即比其快得多地)來進行蝕刻之材料。在一些實施例中,基板為硬遮罩,其用於底層半導體材料之微影蝕刻。硬遮罩可包括多種材料中之任一者,包括非晶碳(a-C)、氧化錫(例如SnOx )、氧化矽(例如SiOx , 包括SiO2 )、氮氧化矽(例如SiOx Ny )、碳氧化矽(例如SiOx C)、氮化矽(例如,Si3 N4 )、氧化鈦(例如,TiO2 )、氮化鈦(例如,TiN)、鎢(例如,W)、摻雜的碳(例如,W摻雜的C)、氧化鎢(例如,WOx )、氧化鉿(例如HfO2 )、氧化鋯(例如ZrO2 )及氧化鋁(例如Al2 O3 )。合適的基板材料可包括諸多基於碳的膜(例如可灰化之硬遮罩(AHM)、基於矽的膜(例如SiOx , SiCx 、SiOx Cy 、SiOx Ny 、SiOx Cy Nz )、非晶Si : H、多晶Si或SiN)、或塗佈以促進圖案化製程之任何其他(一般是犧牲性的)膜)。例如,基板可較佳地包括SnOx ,例如SnO2 。在諸多實施例中,該層可為1 nm至100 nm厚,或2 nm至10 nm厚。
在諸多實施例中,該表面(例如,基板及/或膜的表面)在其表面上包含暴露的羥基。一般而言,該表面可為包含或已被處理以產生暴露羥基表面之任何表面。可透過使用氧電漿、水電漿或臭氧之基板的表面處理而在表面上形成此等羥基。在其他實施例中,可對膜之表面進行處理,以提供暴露的羥基,其上可塗佈覆蓋層。在諸多實施例中,羥基封端金屬氧化物層具有0.1 nm至20 nm、或0.2 nm至10 nm、或0.5 nm至5 nm的厚度。
本文所揭示之實施方式描述材料在基板(例如晶圓、基板或其他工件)上之沉積。工件可具有諸多形狀、尺寸及材料。在本申請中,術語”半導體晶圓”、”晶圓”、”基板”、”晶圓基板”及”部分已製成之積體電路”可互換使用。本領域普通技術人員將理解,術語“部分已製成之積體電路”可指其上積體電路製造之許多階段中任一者期間的矽晶圓。半導體裝置產業中所使用之晶圓或基板通常具有200 mm或300 mm或450 mm的直徑。除非另有說明,否則本文所述之處理細節(例如,流速、功率位準等)與處理300 mm直徑基板或配置成處理300 mm直徑基板之處理腔室有關,並可適當微縮其他尺寸之基板或腔室。除了半導體晶圓之外,可用於本文揭示之實施方式中的其他工件包括諸多製品,例如印刷電路板及其類似者。該等製程及設備可用於半導體裝置、顯示器及其類似者之製造中。微影製程
EUV微影利用EUV阻劑,其可為透過基於液體之旋塗技術所產生之基於聚合物的化學放大阻劑或透過乾式氣相沉積技術所產生之基於金屬氧化物的阻劑。此等EUV阻劑可包括本文所述之任何EUV敏感膜或材料。微影方法可包括例如透過用EUV輻射進行EUV阻劑曝光來對阻劑進行圖案化以形成光圖案,接著透過根據光圖案去除阻劑之一部分來對圖案進行顯影以形成遮罩。
亦應理解的是,儘管本發明係關於以EUV微影為例之微影圖案化技術及材料,但其亦可應用至其他下一代微影技術。除了包括目前正在使用及開發之標準13.5 nm EUV波長的EUV外,與此等微影最相關之輻射源為DUV(深紫外光),其一般指使用248 nm或193 nm之準分子雷射源;X射線,其形式上包括於X射線範圍之較低能量範圍處的EUV;以及電子束,其可涵蓋較寬能量範圍。此等方法包括使基板(例如,可選地具有暴露羥基)與前驅物(例如,本文所述之任一者)接觸以形成金屬氧化物(例如,包含有金屬氧化物鍵結網之層,其可包括其他非金屬及非氧基團)膜以作為基板表面上之成像/PR層的彼等方法。具體方法可能取決於半導體基板及最終半導體裝置中使用之特定材料及應用。因此,本申請中所述之方法僅為可用於本技術之方法及材料的示例。在一些實施例中,微影包括使用波長介於10 nm至400 nm之間的輻射源。
直接可光圖案化之EUV阻劑可由金屬及/或金屬氧化物構成或含有金屬及/或金屬氧化物。金屬/金屬氧化物因其可增強EUV光子吸收並產生二次電子及/或相對於底層膜堆及裝置層呈現較大蝕刻選擇性而頗具潛力。迄今為止,此些阻劑已使用濕式(溶劑)方法來進行顯影,該方法需將晶圓移至顯影機(track),以在其中將其暴露於顯影溶劑中,並進行乾燥及烘烤。濕式顯影不僅限制生產率,還會因細小特徵部之間溶劑蒸發期間之表面張力效應而導致線塌陷。
已提出乾式顯影技術以透過消除基板分層及界面裂損來克服此些問題。乾式顯影有其本身的挑戰性,包括未曝光與EUV曝光之阻劑材料間的蝕刻選擇性,相較於濕式顯影,其可能導致對有效阻劑曝光有更高之劑量比上尺寸的要求。次佳的選擇性亦可能因長時間暴露於蝕刻氣體下而導致PR角變圓,其可能加大後續轉移蝕刻步驟中之線CD變異。蝕刻期間所採用之額外製程於下詳細敘述。沉積製程,包括乾式沉積
如上所討論,本發明提供在半導體基板上製造底層及成像層的方法,其可使用EUV或其他下一代微影技術來圖案化。在一些實施例中,乾式沉積可採用任何有用的前驅物(例如,本文所述之烴前驅物、摻雜物前驅物、金屬鹵化物、覆蓋劑或有機金屬劑)以提供底層及成像層。方法包括以蒸氣產生聚合之有機金屬材料並將其沉積在底層上方之彼等方法。在其他實施例中,可使用旋塗製劑。沉積製程可包括塗佈EUV敏感材料作為阻膜或EUV敏感膜。
此等EUV敏感膜包括暴露於EUV後即發生變化之材料,例如失去低密度富含M-OH材料中鍵結至金屬原子之大體積側基配位基,從而使其交聯成更緻密之M-O-M鍵結的金屬氧化物材料。在其他實施例中,EUV曝光導致鍵結至金屬原子之配位基之間進一步交聯,因而提供更緻密之M-L-M鍵結的有機金屬材料(其中L為配位基)。在另其他實施例中,EUV曝光導致失去配位基以提供可被正型顯影劑去除之M-OH材料。
透過EUV圖案化,產生相對於未曝光區而有物理或化學性質變化之膜的區域。此些性質可在後續處理中加以利用,例如溶解未曝光或曝光區,或在曝光或未曝光區上選擇性地沉積材料。在一些實施例中,在進行此等後續處理之條件下,未曝光膜具有疏水性表面,而曝光膜具有親水性表面(已知悉曝光與未曝光區之親水性是彼此相對的)。例如,可透過利用膜之化學組成、密度及交聯上的差異來進行材料的去除。可透過濕式處理或乾式處理去除,如本文進一步所述。
形成在基板表面上之EUV可圖案化膜的厚度可根據表面特性、所使用之材料及處理條件而變化。在諸多實施例中,膜厚度可為約0.5 nm至約100 nm之範圍。較佳為,膜具有得以在EUV圖案化之條件下吸收大部分EUV光的足夠厚度。例如,阻膜之總吸收可為30%或更少(例如10%或更少、或5%或更少),使得阻膜底部之阻劑材料充分曝光。在一些實施例中,膜厚度為10 nm至20 nm。在不限制本發明之機制、功能或實用性下,據信不同於本領域之濕式旋塗製程,本發明之製程對基板之表面黏著性質的限制較少,因此可應用至多種基板。再者,如上所討論,所沉積之膜可緊密地符合表面特徵部,從而提供在基板(例如具有底層特徵部之基板)上形成遮罩的優點,而無需”填充”或以其他方式使此等特徵部平坦化。
膜(例如,底層及/或成像層)可由以任何有用方式沉積之金屬氧化物層所構成。此等金屬氧化物層可透過使用本文所述之任何EUV敏感材料來沉積或塗佈,例如前驅物(如,含金屬前驅物、金屬鹵化物、覆蓋劑或有機金屬劑)與相對反應物組合。在示例性製程中,聚合之有機金屬材料係在基板表面上以氣相或原位形成,以提供金屬氧化物層。金屬氧化物層可用作膜、黏著層或覆蓋層。
可選地,金屬氧化物層可包括羥基封端金屬氧化物層,其可透過使用覆蓋劑(如本文所述之任一者)與含氧相對反應物來沉積。此等羥基封端金屬氧化物層可用作例如兩其他層之間的黏著層,例如基板與膜之間及/或光阻層與底層之間。
示例性沉積技術(例如,用於膜、底層或成像層)包括本文所述之任一者,例如ALD(例如,熱ALD及電漿增強ALD)、旋塗沉積、包括PVD共濺鍍之PVD、CVD(例如,PE-CVD或LP-CVD)、濺鍍沉積、包括電子束共蒸鍍之電子束沉積等,或其組合,例如具有CVD組分之ALD,例如前驅物與相對反應物在時間或空間上分開之不連續類ALD製程。
沉積作為可應用至本發明EUV光阻膜之前驅物及方法的進一步描述可見於國際申請案第PCT/US19/31618號,其公開為國際公開案第WO2019/217749號,於2019年5月9日申請,標題為” METHODS FOR MAKING EUV PATTERNABLE HARD MASKS”。除了前驅物及相對反應物之外,薄膜還可包括可選的材料,以對膜的化學或物理性質進行改質,例如以改質膜對EUV的敏感性或增強抗蝕刻性。可引入此等可選材料,例如透過在沉積於基板上之前的氣相形成期間、膜沉積之後或兩者期間進行摻雜。在一些實施例中,可引入溫和的遠端H2 電漿,以例如用Sn-H取代一些Sn-L鍵,其可增強阻劑在EUV下的反應性。
一般而言,方法可包括將前驅物(例如,含金屬前驅物,例如有機金屬劑)蒸氣流與可選之相對反應物蒸氣流混合,以形成聚合之有機金屬材料,並將有機金屬材料沉積至半導體基板之表面上。在一些實施例中,將前驅物與可選之相對反應物混合可形成聚合之有機金屬材料。如本領域具有通常技藝者將理解,製程之混合與沉積方面可在實質上連續的製程中同時進行。
在示例性連續CVD製程中,將前驅物與可選相對反應物源之兩個或更多氣流(於分開入口路徑中)引至CVD設備之沉積腔室中,在此其於氣相中混合並反應,以在基板上形成團聚之聚合物材料 (例如,透過金屬-氧-金屬鍵形成)或膜。可例如使用分開噴射入口或雙氣室噴淋頭來引入氣流。該設備配置成使前驅物與可選相對反應物流於腔室中混合,從而允許前驅物與可選相對反應物反應,以形成聚合之有機金屬材料或膜(例如,金屬氧化物塗層或團聚之聚合物材料,例如透過金屬-氧-金屬鍵形成)。
為了沉積金屬氧化物,CVD製程一般是在減壓下進行,例如從0.1 托耳(Torr)至10 托耳(Torr)。在一些實施例中,該製程係於1 Torr至2 Torr之壓力下進行。基板之溫度較佳是低於反應物流之溫度。例如,基板溫度可為0℃至250℃,或環境溫度(例如23℃)至150℃。
為了沉積團聚之聚合物材料,CVD製程通常在減壓下進行,例如從10m Torr至10 Torr。在一些實施例中,該製程係於0.5至2 Torr下進行。基板之溫度較佳是等於或低於反應物流之溫度。例如,基板溫度可為0℃至250℃,或環境溫度(例如23℃)至150℃。在諸多製程中,聚合之有機金屬材料的沉積係以與表面溫度成反比的速率發生。在不限制本技術之機制、功能或實用性下,據信來自此等氣相反應之產物因金屬原子透過相對反應物交聯而變成更大分子量,並接著凝結或沉積在基板上。在諸多實施例中,大體積烷基之立體障礙進一步阻止形成緻密堆積網,並產生具較大孔隙率之低密度膜。
使用乾式沉積方法之潛在優勢是膜的組成容易在其生長時進行調變。在CVD製程中,此可透過在沉積期間改變第一前驅物與第二前驅物之相對流量來完成。沉積可於介於30℃與200℃之間且壓力介於0.01 Torr至100 Torr之間發生,但更一般係介於約0.1 Torr與10 Torr之間。
膜(如,例如透過金屬-氧-金屬鍵形成之金屬氧化物塗層或團聚之聚合材料)亦可透過ALD製程來沉積。例如,在分開時間引入前驅物及可選相對反應物,其代表ALD循環。前驅物在表面上反應,從而在每一循環一次形成多達一層材料。此可允許很好地控制整個表面上膜厚度的均勻性。ALD製程一般是在減壓下進行,例如從0.1 Torr至10 Torr。在一些實施例中,該製程於1 Torr至2 Torr進行。基板溫度可為0℃至250℃,或環境溫度(例如23℃)至150℃。該製程可為熱製程,或較佳為電漿輔助沉積。
本文中任一沉積方法均可被修改成得以允許使用兩者或更多不同前驅物。在一實施例中,前驅物可包括相同金屬但不同配位基。在另一實施例中,前驅物可包括不同金屬基團。在一非限定實例中,諸多揮發性前驅物之交替流動可提供混合的含金屬層,例如使用具有第一金屬(例如Sn)之金屬烷氧化合物前驅物與具有不同第二金屬(例如Te)之基於矽烷基的前驅物。
本文之製程可用於實現表面改質。在一些反覆法中,前驅物之蒸氣可通過晶圓。可加熱晶圓以提供熱能使反應進行。在一些反覆法中,加熱可介於約50℃至約250℃之間。在一些例子中,可使用前驅物的脈衝,透過泵及/或沖洗步驟將其隔開。例如,可在第二前驅物脈衝之脈衝之間脈衝第一前驅物,從而導致ALD或類-ALD生長。在其他例子中,兩者前驅物可同時流動。可用於表面改質之元素的示例包括I、F、Sn、Bi、Sb、Te、及此些化合物之氧化物或合金。
本文之製程可用於透過ALD或CVD沉積薄金屬氧化物或金屬。示例包括氧化錫(SnOx)、氧化鉍(BiOx)及Te。沉積之後,可用式Ma Rb Lc 之經烷基取代的前驅物覆蓋膜,如本文其他地方所述。可使用相對反應物以更佳地去除配位基,且可重複多個循環以確保基板表面完全飽和。該表面接著可準備用於沉積EUV敏感膜。一可能的方法是產生SnOx薄膜。可能的化學方法包括透過使四(二甲基胺基)錫與相對反應物(例如水或O2 電漿)循環來生長SnO2 。生長後,可使用覆蓋劑。例如,可使異丙基三(二甲基胺基)錫蒸氣流過該表面。
可在任何有用的表面上採用沉積製程。如本文所提,”表面”為其上將沉積本技術之膜或在處理期間將暴露於EUV之表面。此等表面可存在於基板上(例如,膜將沉積於其上)、膜上(例如,覆蓋層可沉積於其上)、硬遮罩上、或底層上。
可採用任何有用的基板,包括適合微影處理(特別是用於生產積體電路及其他半導體裝置)之任何材料構造。在一些實施例中,基板為矽晶圓。基板可為其上已形成具有不規則表面形貌之特徵部(“底層形貌特徵部”)的矽晶圓。
此等底層形貌特徵部可包括在進行本技術方法之前已在處理期間去除材料(例如,透過蝕刻)的區域或者已添加材料(例如,透過沉積)的區域。此等先前處理可包括本技術之方法或反覆製程(iterative process)中之其他處理方法,藉此得以在基板上形成兩層或更多層特徵部。在不限制本技術之機制、功能或實用性下,據信在一些實施例中,本技術之方法提供相對於本領域已知方法(其使用旋模法將黃光微影膜沉積於基板表面上)之優點。此等優點可源自本技術之膜對底層特徵部的一致性,而無需”填充”或以其他方式使此等特徵部平坦化,以及在廣泛材料表面上沉積膜的能力。EUV 曝光製程
膜之EUV曝光可提供具有包括金屬原子(M)之活化反應中心(其透過EUV介導之裂解事件而產生)的EUV曝光區。此等反應中心可包括懸空金屬鍵、M-H基團、裂解的M-配位基基團、二聚化之M-M鍵或M-O-M橋。
EUV曝光在真空環境中可具有約10 nm至約20 nm的波長,例如10 nm至15 nm的波長,如13.5 nm。尤其,圖案化可提供EUV曝光區及EUV未曝光區,以形成圖案。
本技術可包括使用EUV以及DUV或電子束進行圖案化。在此等圖案化中,輻射被聚焦於成像層之一或更多區域上。曝光通常係執行為使得成像層膜包括一或更多未暴露於輻射之區域。所得之成像層可包括複數曝光及未曝光區域,從而建立與電晶體或半導體裝置之其他特徵部圖案之建立一致的圖案,其在後續基板之處理中透過從基板添加或移除材料而形成。本文中有用之EUV、DUV及電子束輻射方法及裝備包括本領域已知之方法及裝備。
在一些EUV微影技術中,有機硬遮罩(例如PECVD非晶氫化碳之可灰化硬遮罩)係使用習知光阻製程進行圖案化。在光阻曝光期間,EUV輻射在阻劑及下方基板中被吸收,從而產生高能量的光電子(例如約100 eV)而後是側向擴散數奈米之一連串低能量的二次電子(例如約10 eV)。此些電子增加阻劑中化學反應的程度,其增強其EUV劑量敏感性。然而,本質上為隨機之二次電子圖案被疊加於光學圖像上。此不希望有的二次電子曝光在圖案化阻劑中導致解析度下降、顯著的線邊緣粗糙度(LER)以及線寬變異。此些缺陷在隨後圖案轉移蝕刻期間複製到待圖案化的材料中。
將膜形成(沉積/凝結)與光學微影結合因而大幅改善EUV微影(EUVL)效能(例如,降低線邊緣粗糙度)之真空整合金屬硬遮罩製程及相關真空整合硬體揭示於本文中。
在本文所述之諸多實施例中,可使用沉積(例如,凝結)製程(例如,在如Lam Vector®之PECVD工具中執行的ALD或MOCVD),以形成含金屬膜之薄膜,例如光敏金屬鹽或含金屬之有機化合物(有機金屬化合物),其在EUV中(例如,在10 nm至20 nm等級之波長處)有強吸收,例如在EUVL光源之波長處(例如,13.5 nm = 91.8 eV)。此膜在EUV曝光後即發生光分解,並形成金屬遮罩,該金屬遮罩在後續蝕刻期間(例如,在導體蝕刻工具中,例如Lam 2300® Kiyo®)中作為圖案轉移層。
在沉積之後,EUV可圖案化薄膜透過暴露於EUV光束(通常在相對高真空下)而被圖案化。為了EUV曝光,含金屬膜可接著在與微影平台整合之腔室中(例如,晶圓步進機,如荷蘭-費爾德霍溫(Veldhoven)的ASML供應之TWINSCAN NXE: 3300B®平台)進行沉積,並在真空下轉移以不在曝光前反應。考慮到環境氣體(例如H2 O、O2 等)對入射光子之強烈光吸收,且EUVL亦需大幅下降的壓力,因而促使與微影工具整合。在其他實施例中,光敏金屬膜沉積與EUV曝光可在同一腔室中進行。顯影製程,包括乾式顯影
EUV曝光或未曝光區可透過任何有用之顯影製程去除。在一實施例中,EUV曝光區可具有活化的反應中心,例如懸空金屬鍵、M-H基團或二聚化M-M鍵。在特定實施例中,可透過採用一或更多乾式顯影製程(例如,鹵化物化學)來選擇性地去除M-H基團。在其他實施例中,可透過採用濕式顯影製程(例如,使用熱乙醇及水,以提供可溶M(OH)n 基團)來選擇性地去除M-M鍵。在另其他實施例中,透過使用濕式顯影(例如,透過使用正型顯影劑)去除EUV曝光區。在一些實施例中,透過使用乾式顯影去除EUV未曝光區。
乾式顯影製程可包括使用鹵化物,例如基於HCl或HBr之製程。儘管本發明不限於任何特定理論或操作機制,但該方法當理解為使用蒸氣或電漿,利用乾式沉積之EUV光阻膜與清潔化學物(例如HCl、HBr及BCl3 )之化學反應性,以形成揮發性產物。乾式沉積之EUV光阻膜可以高達1 nm/s之蝕刻速率來去除。透過此些化學方法所進行之乾式沉積EUV光阻膜的快速去除可用於腔室清潔、背側清潔、斜邊清潔及PR顯影。雖然可使用諸多溫度下的蒸氣(例如,溫度高於-10°C之HCl或HBr、或溫度高於80°C之BCl3 )去除膜,但亦可使用電漿以進一步加快或增強反應性。
電漿製程包括採用本領域已知之裝備及技術的變壓耦合式電漿(TCP)、感應耦合式電漿(ICP)或電容耦合式電漿(CCP)。例如,可在>0.5 mTorr(例如,1 mTorr至100 mTorr)之壓力下,在<1000W(例如,<500W)之功率位準下進行製程。溫度可為30℃至300℃(例如30℃至120℃),流速為100至1000每分鐘標準立方公分(sccm),例如約500 sccm,持續1至3000秒(例如10秒至600秒)。
在鹵化物反應物流為氫氣及鹵化物氣體下,使用遠端電漿/ UV輻射,以從H2 與Cl2 及/或Br2 產生自由基,且氫及鹵化物自由基流至反應腔室,以接觸晶圓之基板層上的圖案化EUV光阻。合適的電漿功率可於100 W至500 W範圍內,無偏壓。應當理解,儘管此些條件適用於一些處理反應器,例如可獲自加州費里蒙科林研發公司(Lam Research Corporation)之Kiyo蝕刻工具,但根據處理反應器之能力可使用廣泛範圍之製程條件。
在熱顯影製程中,基板係在真空腔室(例如爐)中暴露於乾式顯影化學物(例如路易斯酸)。合適之腔室可包括真空管線、乾式顯影鹵化氫化學氣體(例如,HBr、HCl)管線及用於溫度控制之加熱器。在一些實施例中,腔室內部可塗有抗腐蝕膜,例如有機聚合物或無機塗層。此等塗層為聚四氟乙烯((PTFE),例如,TeflonTM )。此等材料可用於本發明之熱製程中而無被電漿暴露去除的風險。
乾式顯影之製程條件可為100 sccm至500 sccm反應物流量(例如500 sccm HBr或HCl)、-10°C至120°C(例如-10°C) 溫度、1 mTorr至500 mTorr (例如300 mTorr)壓力、無電漿下且持續約10秒至1 分鐘時間,其取決於光阻膜及其組成與性質。
在諸多實施例中,本發明之方法結合膜沉積、透過氣相沉積之形成、(EUV)微影光圖案化及乾式顯影之所有乾式步驟。在此等製程中,在EUV掃描儀中進行光圖案化之後,基板可直接進入乾式顯影/蝕刻腔室。此等製程可避免濕式顯影相關之材料及生產成本。乾式製程亦可提供更多的可調性,並予以進一步CD控制及/或浮渣清除。
在諸多實施例中,EUV光阻(含有一些金屬、金屬氧化物及有機成分的含量)可透過熱、電漿(例如,可能包括光活化電漿,例如燈加熱或UV燈加熱)、或熱與電漿方法之混合並使包含有式Rx Zy 化合物之乾式顯影氣體流動(其中R = B、Al、Si、C、S、SO,x > 0,且Z = Cl、H、Br、F 、CH4 及y> 0)來進行乾式顯影。乾式顯影可產生正型,其中Rx Zy 物種選擇性去除曝光材料,留下未曝光對應部分作為遮罩。在一些實施例中,基於有機錫氧化物之光阻膜的曝光部分係根據本發明透過乾式顯影去除。正型乾式顯影可透過EUV曝光區域之選擇性乾式顯影(去除)來達成,EUV曝光區域係暴露於包含有鹵化氫或氫與鹵化物(包括HCl及/或HBr)之流料而未撞擊電漿、或H2 與Cl2 及/或Br2 之流料且具遠端電漿或電漿產生之UV輻射以產生自由基。
亦可採用濕式顯影方法。在特定實施例中,此等濕式顯影方法係用於去除EUV曝光區,以提供正型光阻或負型光阻。示例性非限定濕式顯影可包括使用鹼性顯影劑(例如水性鹼性顯影劑),例如包括銨,例如氫氧化銨(NH4 OH);基於銨的離子液體,例如四甲基氫氧化銨(TMAH)、四乙基氫氧化銨(TEAH)、四丙基氫氧化銨(TPAH)、四丁基氫氧化銨(TBAH)或其他季烷基氫氧化銨;有機胺,例如一級、二級及三級有機胺(例如二甲基胺、二乙基胺、乙二胺、三乙烯四胺);或烷醇胺,例如單乙醇胺、二乙醇胺、三乙醇胺或二甘醇胺之彼些顯影劑。在其他實施例中,鹼性顯影劑可包括含氮鹼,例如具有式RN1 NH2 、RN1 RN2 NH、RN1 RN2 RN3 N、或RN1 RN2 RN3 RN4 N+ XN1− 之化合物,其中每一RN1 、RN2 、RN3 及RN4 獨立為有機取代基(例如,視情況取代之烷基或本文所述之任一者)、或可連接在一起之兩個或更多有機取代基,且XN1- 可包含OH 、F 、Cl 、Br 、I 或其他本領域已知之季銨陽離子物種。此些鹼亦可包含本領域已知之雜環氮化合物,其中一些描述於本文中。
其他顯影方法可包括使用酸性顯影劑(例如,水性酸性顯影劑或有機溶劑中之酸性顯影劑),其包含鹵化物(例如HCl或HBr)、有機酸(例如,甲酸、乙酸或檸檬酸)、或有機氟化合物(例如三氟乙酸);或使用有機顯影劑,例如酮(如2-庚酮、環己酮或丙酮)、酯(例如γ-丁內酯或3-乙氧基丙酸乙酯(EEP))、醇(例如異丙醇(IPA))、或醚,例如乙二醇醚 (例如丙二醇甲醚(PGME)或丙二醇甲醚醋酸酯(PGMEA))、以及其組合。
在特定實施例中,正型顯影劑為水性鹼性顯影劑(例如,包括NH4 OH、TMAH、TEAH、TPAH或TBAH)。在其他實施例中,負型顯影劑為水性酸性顯影劑、有機溶劑中之酸性顯影劑、或有機顯影劑(例如,HCl、HBr、甲酸、三氟乙酸、2-庚酮、IPA、PGME、PGMEA或其組合)。塗佈後製程
本文之方法可包括任何有用之塗佈後製程,如下所述。
對於背側及斜邊清潔製程,可將蒸氣及/或電漿限制於晶圓之特定區域,以確保僅去除背側及斜邊,而晶圓之前側上未有任何膜降解。去除之乾式沉積EUV光阻膜一般係由Sn、O及C所構成,但相同的清潔方法可擴展至其他金屬氧化物阻劑及材料之膜上。此外,此方法亦可用於膜剝離及PR重修。
用於乾式斜邊及背側清潔之合適製程條件可為100 sccm至500 sccm反應物流量(例如500 sccm HCl、HBr、或H2 與Cl2 或Br2 、BCl3 或H2 ) 、-10°C至120°C(例如20°C) 溫度、20 mTorr至500 mTorr(例如300 mTorr) 壓力、高頻下(例如13.56 MHz)之0至500 W電漿功率、持續約10秒至20秒時間,其取決於光阻膜及組成與性質。應當理解,儘管此些條件適用於一些處理反應器,例如可獲自加州費里蒙科林研發公司(Lam Research Corporation)之Kiyo®蝕刻工具,但根據處理反應器之能力可使用廣泛範圍之製程條件。
黃光微影製程通常包括一或更多烘烤步驟,以促進在光阻之曝光與未曝光區之間產生化學對比所需之化學反應。對於大量製造(HVM),此等烘烤步驟通常在顯影機上執行,其中晶圓在環境空氣或一些例子中在N2 流下於預設溫度之熱板上烘烤。在此些烘烤步驟期間,更仔細地控制烘烤環境以及在環境中引入額外反應性氣體成分可助於進一步降低劑量要求及/或改善圖案複製度(fidelity)。
根據本發明之諸多態樣,對金屬及/或基於金屬氧化物之光阻進行一或更多後處理(在沉積之後,例如塗佈後烘烤(PAB))及/或在曝光之後(例如曝光後烘烤(PEB))及/或在顯影之後(例如顯影後烘烤(PDB))能夠提高曝光與未曝光光阻之間的材料性質差異,因而減小劑量比上尺寸(DtS)、改善PR輪廓、並改善後續乾式顯影後之線邊緣及寬度粗糙度(LER/LWR)。此等處理可涉及控制溫度、氣體環境及水分之熱處理,因而改善後續處理中之乾式顯影效能。在一些實例中,可使用遠端電漿。
在塗佈後處理(例如,PAB)之例子中,可在沉積後且曝光前使用控制溫度、氣體環境(例如,空氣、H2 O、CO2 、CO、O2 、O3 、CH4 、CH3 OH、N2 、H2 、NH3 、N2 O、NO、Ar、He或其混合物)或真空下、及水分之熱製程,以改變未曝光金屬及/或金屬氧化物光阻的組成。該改變可提高材料之EUV敏感性,並因此可在曝光且乾式顯影後達到較低劑量比上尺寸及邊緣粗糙度。
在曝光後處理(例如,PEB)之例子中,可利用控制溫度、氣體環境(例如,空氣、H2 O、CO2 、CO、O2 、O3 、CH4 、CH3 OH、N2 、H2 、NH3 、N2 O、NO、Ar、He或其混合物)或真空下、及水分之熱製程,以改變未曝光及曝光光阻兩者之組成。該改變可提高未曝光與曝光光阻之間的組成/材料性質差異以及未曝光與曝光光阻之間乾式顯影蝕刻氣體的蝕刻速率差異。因而可達到更高的蝕刻選擇性。由於改善選擇性,故可獲得具有改善之表面粗糙度及/或較少光阻殘留/浮渣的方形PR輪廓。在特定實施例中,PEB可在空氣中以及可選地存在水分及CO2 下進行。
在顯影後處理(例如,顯影後烘烤或PDB)之例子中,可利用控制溫度、氣體環境(例如,空氣、H2 O、CO2 、CO、O2 、O3 、CH4 、CH3 OH、N2 、H2 、NH3 、N2 O、NO、Ar、He或其混合物)或真空下(例如有UV)、及水分之熱製程,以改變未曝光光阻之組成。在特定實施例中,該條件亦包括使用電漿(例如,包括O2 、O3 、Ar、He或其混合物)。該改變可增強材料的硬度,若在蝕刻底層基板時將使用該膜作為阻劑遮罩,則其可能是有利的。
在此些例子中,於可替代實施方式中,熱製程可被遠端電漿製程代替來增加反應性物種,以降低反應之能障並提高生產率。遠端電漿可產生更多反應性自由基,因而降低用於處理之反應溫度/時間,從而提高生產率。
據此,可應用一或更多製程來改質光阻本身以增加乾式顯影選擇性。此熱或自由基改質可增加未曝光與曝光材料之間的對比,因而增加後續乾式顯影步驟的選擇性。未曝光與曝光材料之材料性質之間所得的差異可透過調整製程條件(包括溫度、氣體流量、水分、壓力及/或RF功率)來調變。乾式顯影所能實現之較大製程自由度(其不受濕式顯影劑中材料溶解度的限制)允許應用更苛刻的條件以進一步提高可達到之材料對比。所得之高材料對比可對乾式顯影反饋更寬的製程窗,因而實現較高生產率、較低成本及較佳缺陷效能。
濕式顯影之阻膜的主要限制是受限的溫度烘烤。由於濕式顯影取決於材料溶解度,因此例如加熱至220°C或超過220°C會大幅增加含金屬PR膜之曝光與未曝光區兩者中的交聯度,使得兩者變得不溶於濕式顯影溶劑,如此該膜不能再可靠地進行濕式顯影。對於乾式顯影之阻膜(其中靠著PR曝光區與未曝光區之間的蝕刻速率差異(即選擇性)而僅去除阻劑之曝光區或未曝光區),PAB、PEB或PDB中之處理溫度可在更大得多的窗內變化,以對處理製程進行調變及最佳化,例如對於PAB約90°C至250°C(如90°C至190°C),對於PEB及/或PDB約170°C至250°C或更高(如190°C至240°C)。 已發現較小蝕刻速率且較大蝕刻選擇性發生於所述範圍內之較高處理溫度下。
在特定實施例中,PAB、PEB及/或PDB處理可在氣體環境流量為100 sccm至10000 sccm範圍內、水分含量為百分之幾至100%(例如20%-50%)、壓力介於大氣壓與真空之間、且持續時間約1至15分鐘(例如約2分鐘)下執行。
此些發現可用於調變處理條件,以定製用於特定材料及情況之處理或對其最佳化。例如,對於給定之EUV劑量,在空氣中約20%濕度下進行220°C至250°C PEB熱處理約2分鐘所能達到之選擇性,可類似於高約30%之EUV劑量而無此等熱處理之選擇性。因此,取決於半導體處理操作之選擇性要求/限制,可使用例如本文所述之熱處理以降低所需之EUV劑量。或者,若需更高之選擇性且可容許更高的劑量,則可獲得比濕式顯影背景下可能達到還要高得多的選擇性,其曝光比上未曝光高達100倍。
另其他步驟可包括原位度量,其中物理及結構特性(例如,臨界尺寸、膜厚度等)可在黃光微影製程期間評估。實施原位度量之模組包括,例如散射測量、橢圓偏光、下游質譜、及/或電漿增強下游光放射光譜模組。設備
本發明亦包括配置成執行本文所述之任何方法之任何設備。在一實施例中,用於沉積膜之設備包括沉積模組,該沉積模組包括用於沉積一或更多前驅物以提供底層/或成像層之腔室;圖案化模組,其包括具有小於30 nm波長輻射源之EUV黃光微影工具;以及顯影模組,其包括用於對膜(包括此等層)進行顯影之腔室。
該設備可進一步包括具有用於此等模組之指令的控制器。在一實施例中,控制器包括一或更多記憶體裝置、一或更多處理器、以及編有用於執行膜沉積指令之系統控制軟體。此等指令可包括在沉積模組中用於沉積一或更多前驅物以提供底層及/或成像層;在圖案化模組中,直接透過EUV曝光以小於30nm解析度對層進行圖案化,因而在膜內形成圖案;以及在顯影模組中,對該膜顯影。在特定實施例中,顯影模組提供用於去除EUV曝光或EUV未曝光區,因而在膜內提供圖案。
8 繪出具有維持低壓環境之製程腔室主體302的製程站300實施例示意圖,其適於實施本文所述之所述氣相沉積及乾式顯影實施例。複數製程站300可包含於共同低壓製程工具環境中。例如, 9 繪出多站處理工具400之實施例,例如可獲自加州費里蒙科林研發公司(Lam Research Corporation)之VECTOR®處理工具。在一些實施例中,製程站300之一或更多硬體參數(包括以下詳細討論之彼等參數)可由一或更多電腦控制器350以程式化方式進行調整。
製程站可配置成叢集工具中的模組。 11 繪出具有適於實施本文所述實施例之真空整合沉積與圖案化模組的半導體製程叢集工具架構。此等叢集製程工具架構可包括PR與底層沉積、阻劑曝光(EUV掃描儀)、阻劑乾式顯影及蝕刻模組,如上及進一步如下參考 10-11 所述。
在一些實施例中,某些處理功能可在同一模組中連續執行,例如氣相沉積(如PECVD)、乾式顯影及蝕刻。且本發明之實施例涉及用於處理基板之設備,該設備具有包括基板支撐件之製程腔室、與製程腔室及相關聯之流量控制硬體連接之製程氣體源、與製程腔室連接之基板裝卸硬體、以及具有處理器及記憶體之控制器。在一些實施方式中,處理器及記憶體彼此通訊連接,且處理器至少可操作地與流量控制硬體及基板裝卸硬體連接,而記憶體儲存電腦可執行指令,用於執行製造本文所述圖案化結構之方法中的操作。
例如,記憶體可儲存用於提供設於基板上之硬遮罩(例如透過化學氣相沉積,如PECVD)的電腦可執行指令。如上所述,合適之硬遮罩可例如為未摻雜或摻雜有B或W之非晶碳可灰化硬遮罩膜。
記憶體可進一步儲存用於在基板及/或硬遮罩上沉積底層之指令, 其中底層配置成增加基板及/或硬遮罩與隨後形成之EUV敏感無機光阻之間的黏著,並降低用於光阻之有效EUV曝光的EUV劑量。例如,如上所述,底層可以是或包括摻雜有非碳雜原子(如本文中任一者,例如O、Si、N、W、B、I、Cl等)之氫化碳的氣相沉積膜,該膜具有不超過約25 nm的厚度,且可包含約0-30%氧(O)。在一些實施方式中,底層可使用烴前驅物及/或摻雜物前驅物以透過PECVD或ALD而氣相沉積於基板及/或硬遮罩上。在其他實施方式中,底層可使用與H2 或烴共反應之碳氧化物前驅物以透過PECVD或ALD而氣相沉積於基板及/或硬遮罩上。在此實施方式之變化中,該碳氧化物前驅物可在沉積期間進一步與Si源摻雜物共反應。在其他實施方式中,底層可使用與氧化劑(例如,本文所述之任一含O前驅物)共反應之含矽前驅物以透過PECVD或ALD而氣相沉積於基板及/或硬遮罩上。在此實施方式之變化中,含Si前驅物進一步與C源摻雜物共反應。在一些實施方式中,底層可透過PECVD而氣相沉積於基板及/或硬遮罩上,以作為基板上氣相沉積或基板上硬遮罩之氣相沉積的終止操作,其例如透過調整進入PECVD製程腔室之前驅物流量,以達到底層之所欲組成。
記憶體可進一步儲存用於在光阻底層上形成EUV敏感無機光阻之指令。合適的EUV敏感無機光阻可為金屬氧化物膜,例如EUV敏感之基於氧化錫的光阻,如上所述。
返回 8 ,製程站300與反應物輸送系統301a流體連通,以將製程氣體輸送至分佈噴淋頭306。反應物輸送系統301a可選地包括混合容器304,用於混合及/或調節製程氣體,以輸送至噴淋頭306。一或更多混合容器入口閥320可控制製程氣體引入混合容器304。在利用電漿暴露下,電漿亦可被傳送至噴淋頭306,或者可在製程站300中產生。如上所述,在至少一些實施例中,非電漿熱暴露是有利的。
8 包括可選汽化點303,用於汽化待供應至混合容器304之液體反應物。在一些實施例中,可提供在汽化點303上游之液體流量控制器(LFC),用於控制液體的質量流量以汽化並輸送至製程站300。例如,LFC可包括位於LFC下游之熱質量流量計(MFM)。LFC之柱塞閥可接著被調整以響應於與MFM電性連通之比例積分微分(PID)控制器所提供的反饋控制訊號。
噴淋頭306向基板312分佈製程氣體。在 8 所示之實施例中,基板312位於噴淋頭306下方,且示為留置於基座308上。噴淋頭306可具有任何適當的形狀,且可具有用於將製程氣體分佈至基板312之任何適當數量及佈設的埠。
在一些實施例中,基座308可被升高或下降,以使基板312暴露於基板312與噴淋頭306之間的容積。將知悉的是,在一些實施例中,基座高度可透過合適之電腦控制器350以程式化方式進行調整。
在一些實施例中,基座308可透過加熱器310進行溫度控制。在一些實施例中,在光圖案化阻劑無電漿地熱暴露於鹵化氫乾式顯影化學物(例如,HBr或0HCl)期間,基座308可被加熱至大於0℃且高達300℃或更高,舉例為50至120°C,例如約 65至80°C。
此外,在一些實施例中,對製程站300之壓力控制可透過蝶閥318來提供。如 8 之實施例中所示,蝶閥318對下游真空泵(未示出)所提供之真空進行節流。然而,在一些實施例中,製程站300之壓力控制亦可透過改變引入製程站300之一或更多氣體的流速來調整。
在一些實施例中,可相對於基座308調整噴淋頭306的位置,以改變基板312與噴淋頭306之間的容積。此外,將知悉的是,基座308及/或噴淋頭306的垂直位置可在本發明之範圍內透過任何合適的機構來變化。在一些實施例中,基座308可包括用於旋轉基板312方位之旋轉軸。將知悉的是,在一些實施例中,此些示例性調整中之一或更多者可透過一或更多合適的電腦控制器350以程式化方式來執行。
在可使用電漿下(例如在基於溫和電漿之乾式顯影實施例中及/或在同一腔室中進行蝕刻操作),噴淋頭306及基座308與射頻(RF)功率供應源314及匹配網路316電性通訊,用以對電漿供電。在一些實施例中,電漿能量可透過控制製程站壓力、氣體濃度、RF源功率、RF源頻率及電漿功率脈衝時序中之一或更多者來控制。例如,RF功率供應源314及匹配網路316可按任何合適功率來進行操作,以形成具有所欲自由基物種組成之電漿。合適功率之示例高達約500 W。同樣地,RF功率源314可提供任何合適頻率之RF功率。在一些實施例中,RF功率源314可配置成彼此獨立地控制高頻與低頻RF功率源。低頻RF頻率之示例可包括,但不限於,介於50 kHz與1000 kHz之間的頻率。高頻RF頻率之示例可包括,但不限於,介於1.8 MHz與2.45 GHz之間的頻率(例如,約13.56 MHz)。 將知悉,可分散地或連續地調控任何合適的參數以提供用於表面反應之電漿能量。在一非限定示例中,可間歇脈衝電漿功率,其相對於連續供電之電漿得以減少離子對基板表面之轟擊。RF功率源可以任何合適之工作週期操作。合適之工作週期的示例包括,但不限於,介於約5%與90%之間的工作週期。可接受的製程壓力介於約20 mTorr與5 Torr之間。
在一些示例中,RF功率可為連續或在一或更多位準之間脈衝。若使用脈衝化操作,則可於1 Hz至1 MHz之頻率範圍內執行脈衝。在一些示例中,腔室壓力維持於5 mTorr至450 mTorr範圍內之預定壓力。在其他示例中,沉積及處理係在5 mTorr至150 mTorr範圍內之壓力下進行。在另其他示例中,沉積及處理係在5 mTorr至35 mTorr範圍內之壓力下進行。
在一些沉積製程中,電漿撞擊延續幾秒左右或更長之持續時間。在某些實施方式中,可使用短得多的電漿撞擊。此些可為約10 毫秒(ms)至1秒左右,通常約20至80 ms,其中50 ms為特定示例。此等極短之RF電漿撞擊需非常快速地穩定電漿。為達於此,電漿產生器可配置成使阻抗匹配預設成特定電壓,並允許頻率浮動。習知上,高頻電漿係於約13.56 MHz之RF頻率下產生。在本文所揭示之諸多實施例中,允許頻率浮動至與此標準值不同的值。透過允許頻率浮動並固定阻抗匹配至預定電壓,電漿可更快地穩定,這一結果在使用與某些類型沉積循環相關之非常短的電漿撞擊時可能是重要的。
在一些實施例中,用於控制器350之指令可透過輸入/輸出控制(IOC)定序指令來提供。在一示例中,用於針對製程階段設定條件的指令可包含於製程配方之對應配方階段中。在一些例子中,製程配方階段可依序排列,使得針對製程階段之所有指令與該製程階段同時執行。在一些實施例中,用於設定一或更多反應器參數之指令可包含於配方階段中。例如,配方階段可包括用於設定光阻底層碳氧化物前驅物與H2 或烴共反應物與可選摻雜物之流速的指令。在一些實施例中,控制器350可包括以下關於 9 之系統控制器450所描述之任何特徵。
如上所述,一或更多製程站可包含於多站處理工具中。 9 示出具有入站裝載室402及出站裝載室404(其一者或兩者可包括遠端電漿源)之多站處理工具400的實施例示意圖。處於大氣壓下之機器人406配置成將晶圓從透過傳送盒(pod)408裝載之晶舟通過大氣埠410移動至入站裝載室402。晶圓透過機器人406被放置在入站裝載室402中之基座412上,大氣埠410被關閉,接著裝載室被泵抽。在入站裝載室402包括遠端電漿源之情況下,晶圓可在引入處理腔室414之前暴露於遠端電漿處理,以在裝載室中處理表面。此外,晶圓亦可在入站裝載室402中被加熱,例如以去除水分及吸附的氣體。接著,打開往處理腔室414之腔室轉移埠416,且另一機器人(未示出)將晶圓放入反應器中第一站之基座上(示於反應器中)以進行處理。儘管繪於 9 中之實施例包括裝載室,但將知悉的是,在一些實施例中,可提供晶圓直接進入製程站。
所繪之處理腔室414包括四個製程站,在 9 所示之實施例中從1到4編號。每一站具有一加熱基座(示於站1之418)及氣體管線入口。將知悉的是,在一些實施例中,每一製程站可具有不同或多個目的。例如,在一些實施例中,製程站可在乾式顯影與蝕刻製程模組之間切換。額外地或可替代地,在一些實施例中,處理腔室414可包括一或更多對匹配的乾式顯影及蝕刻製程站。儘管所繪之處理腔室414包括四個站,但將理解的是,根據本發明之處理腔室可具有任何合適數量的站。例如,在一些實施例中,處理腔室可具有五個或更多站,而在其他實施例中,處理腔室可具有三個或更少站。
9 繪出用於在處理腔室414內轉移晶圓之晶圓裝卸系統490的實施例。在一些實施例中,晶圓裝卸系統490可在諸多製程站之間及/或在製程站與裝載室之間轉移晶圓。將知悉的是,可採用任何合適之晶圓裝卸系統。非限定示例包括晶圓傳送帶及晶圓裝卸機器人。 9 亦繪出用於控制製程條件及製程工具400之硬體狀態的系統控制器450實施例。系統控制器450可包括一或更多記憶體裝置456、一或更多大容量儲存裝置454以及一或更多處理器452。處理器452可包括中央處理器(CPU)或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等。
在一些實施例中,系統控制器450控制製程工具400之所有活動。系統控制器450執行儲存在大容量儲存裝置454中、加載至記憶體裝置456中並在處理器452上執行之系統控制軟體458。可替代地,可將控制邏輯硬編碼於控制器450中。特殊應用積體電路、可程式化邏輯裝置(例如場可程式化閘陣列或FPGAs)及其類似者可用於該些目的。在以下討論中,無論”軟體”或”編碼”用於何種情況,功能上相當之硬碼化邏輯皆可用於該狀況。系統控制軟體458可包含用以控制時序、氣體之混合、氣體流速、腔室及/或站壓力、腔室及/或站溫度、晶圓溫度、目標功率位準、RF功率位準、基板基座、吸盤及/或晶座位置、及由製程工具400所執行之特定製程的其他參數。系統控制軟體458可以任何合適的方式來配置。例如,可寫入諸多製程工具組成件子程式或控制物件,以控制用以實現諸多製程工具製程之製程工具組成件的操作。系統控制軟體458可以任何合適的電腦可讀取程式語言來進行編碼。
在一些實施例中,系統控制軟體458可包含用以控制上述諸多參數之輸入/輸出控制(IOC)定序指令。在一些實施例中,可採用儲存於與系統控制器450相關聯之大容量儲存裝置454及/或記憶體裝置456上之其他電腦軟體及/或程式。用於此目的之程式或程式片段的示例包括基板定位程式、製程氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含用於製程工具組成件之程式編碼,製程工具組成件係用於將基板裝載至基座418上並控制基板與製程工具400之其他部件之間的間隔。
製程氣體控制程式可包含編碼,其用以控制鹵化氫氣體組成(例如本文所述之HBr或HCl氣體)及流速,並可選地用以在沉積前使氣體流入一或更多製程腔室中以穩定製程腔室中之壓力。壓力控制程式可包含編碼,其係藉由調節例如該製程站之排出系統中的節流閥、進入該製程站之氣體流量等,以控制該製程站中之壓力。
加熱器控制程式可包含編碼,用於控制流至用於加熱基板之加熱單元的電流。可替代地,加熱器控制程式可控制熱傳導氣體(例如,氦)往基板的輸送。
電漿控制程式可包含編碼,用於根據本文實施例來設定施加至一或更多製程站中之製程電極的RF功率位準。
壓力控制程式可包含編碼,用於根據本文實施例來維持反應腔室內壓力。
在一些實施例中,可有與系統控制器950相關聯之使用者介面。該使用者介面可包含顯示螢幕、設備及/或製程條件之圖形化軟體顯示器、及使用者輸入裝置(例如指向裝置、鍵盤、觸控螢幕、麥克風等)。
在一些實施例中,由系統控制器450調整之參數可與製程條件有關。非限定示例包含製程氣體組成及流速、溫度、壓力、電漿條件(例如RF偏壓功率位準、頻率及暴露時間)等。可以配方(其可利用使用者介面來輸入)形式將此等參數提供至使用者。
透過系統控制器450之類比及/或數位輸入連接件,可從諸多製程工具感測器提供用以監測製程的訊號。用以控制製程之訊號可在製程工具400之類比及/或數位輸出連接件上輸出。可被監測之製程工具感測器的非限定示例包括質量流量控制器、壓力感測計(例如壓力計)、熱電偶等。經適當程式化之反饋及控制演算法可與來自此些感測器的數據一起使用,以維持製程條件。
系統控制器450可提供用以實施上述沉積製程之程式指令。該等程式指令可控制各種製程參數,如直流 (DC)功率位準、RF偏壓功率位準、壓力、溫度等。該等指令可控制該等參數,以根據本文所述之諸多實施例操作光阻底層沉積製程。
系統控制器450將通常包括一或更多記憶體裝置及一或更多處理器,其配置成執行該等指令,使得該設備將根據所揭示之實施例來執行方法。機器可讀媒體(含有用以根據所揭示之實施例來控制製程操作之指令)可耦接至系統控制器450。
在一些實施方式中,系統控制器450為系統之一部份,其可為上述示例之一部分。此等系統可包括半導體處理裝備,其包含一處理工具或複數工具、一腔室或複數腔室、一處理平台或複數平台、及/或特定處理組成件(晶圓基座、氣流系統等)。此等系統可與電子設備結合,以控制半導體晶圓或基板處理前、處理期間及處理後之其操作。此等電子設備可指”控制器”,其可控制該系統或複數系統之諸多組成件或次部件。取決於處理條件及/或系統類型,系統控制器450可程式化以控制本文所揭示之任何製程,包括處理氣體之輸送、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、射頻匹配電路設定、頻率設定、流速設定、流體輸送設定、位置及操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具、及/或裝載室)。
廣泛地講,系統控制器450可定義為具有用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測以及類似者之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含 : 儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processor)、定義為特殊應用積體電路(ASIC,application specific integrated circuit)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以諸多各別設定(或程式檔案)之形式而傳送至系統控制器450的指令,該各別設定(或程式檔案)為實行(半導體晶圓上,或針對半導體晶圓,或對系統之)特定的製程而定義操作參數。在一些實施例中,操作參數可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分 : 層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒。
系統控制器450在一些實施方式中可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合。舉例而言,系統控制器450可在能容許遠端存取晶圓處理之”雲端”或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查其趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的製程。在一些示例中,遠端電腦(例如,伺服器)可通過網路而提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含能夠進行參數及/或設定輸入或程式設計之使用者介面,接著該參數及/或設定可自遠端電腦傳送至系統。在一些示例中,系統控制器450接收數據形式指令,該指令為即將於一或更多操作期間進行之每一處理步驟指定參數。應當理解,參數可特定針對待執行之製程類型、及系統控制器450與之接合或加以控制之工具類型。因此,如上所述,系統控制器450可為分散式,例如藉由包含以網路方式接在一起、且朝向共同目的(例如,本文所描述之製程及控制)運作之一或更多分離的控制器。用於此目的之分散式控制器舉例為,腔室上與位於遠端的一或更多積體電路(例如,於平臺水平處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上的製程。
示例性系統可包含,但不限於,電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬鍍覆腔室或模組、清潔腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、顯影機(track)腔室或模組、EUV微影腔室(掃描儀)或模組、乾式顯影腔室或模組、及可在半導體晶圓的製造及/或加工中相關聯的、或使用的任何其他半導體處理系統。
如上所述,取決於待藉由工具而執行之製程步驟或複數步驟,系統控制器450可與半導體製造工廠中的一或更多以下者進行通訊 : 其他工具電路或模組、其他工具組成件、叢集工具、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具攜帶晶圓容器往返工具位置及/或裝載埠。
現在描述感應耦合式電漿(ICP)反應器,其在某些實施例中可能適用於適於實施某些實施例之蝕刻操作。儘管本文描述ICP反應器,但在一些實施例中,應當理解,亦可使用電容耦合式電漿反應器。
10 示意性地示出感應耦合式電漿設備500之剖面圖,其適合於實施某些實施例或實施例態樣,例如氣相(乾式)沉積、乾式顯影及/或蝕刻,其示例為加州費里蒙科林研發公司(Lam Research Corporation)所生產之Kiyo®反應器。在其他實施例中,具有執行本文所述乾式沉積、顯影及/或蝕刻製程之功能的其他工具或工具類型可用於實施。
感應耦合式電漿設備500包括結構上由腔室壁501及窗口511所定義之整個製程腔室524。腔室壁501可由不銹鋼或鋁製成。窗口511可由石英或其他介電材料製成。可選的內部電漿格柵550將整個製程腔室分成上部次腔室502及下部次腔室503。在大多數實施例中,可移除電漿格柵550,因而利用次腔室502及503所構成之腔室空間。吸盤517位於下部次腔室503內靠近底部內表面處。吸盤517配置成接收並固持在其上執行蝕刻及沉積製程之半導體晶圓519。吸盤517可為用於支撐晶圓519(當存在時)的靜電吸盤。在一些實施例中,邊緣環(未示出)環繞吸盤517,並具有與晶圓519(當存在於吸盤517上方時)之頂表面大致平坦的上表面。吸盤517亦包括用於對晶圓519進行吸附及脫附的靜電電極。為此目的,可提供濾波器及直流(DV)箝位功率供應源(未示出)。亦可提供用於將晶圓519提高離開吸盤517之其他控制系統。吸盤517可使用RF功率供應源523進行充電。RF功率供應源523透過連接527連接至匹配電路521。匹配電路521透過連接525連接至吸盤517。以此方式,RF功率供應源523連接至吸盤517。在諸多實施例中,取決於根據所揭示之實施例所執行的製程,靜電吸盤的偏壓功率可設為約50 V或可設為不同的偏壓功率。例如,偏壓功率可介於約20 Vb與約100 V之間,或介於約30 V與約150 V之間。
用於產生電漿之元件包括位於窗口511上方之線圈533。在一些實施例中,所揭示之實施例中不使用線圈。線圈533係由導電材料製成並包括至少一整匝。 10 所示之線圈533示例包括三匝。線圈533之剖面用符號示出,且具有“ X”之線圈旋轉延伸進入頁面,而具有“●”之線圈旋轉延伸出頁面外。用於產生電漿之元件亦包括配置成供應RF功率至線圈533之RF功率供應源541。一般而言,RF功率供應源541透過連接545連接至匹配電路539。匹配電路539透過連接543連接至線圈533。以此方式,RF功率供應源541連接至線圈533。可選的法拉第屏蔽549位於線圈533與窗口511之間。法拉第屏蔽549可相對於線圈533保持間隔開的關係。在一些實施例中,法拉第屏蔽549設置在窗口511正上方。在一些實施例中,法拉第屏蔽549位於窗口511與吸盤517之間。在一些實施例中,法拉第屏蔽549未相對於線圈533保持間隔開的關係。例如,法拉第屏蔽549可在窗口511正下方而無間隙。線圈533、法拉第屏蔽549及窗口511各自配置成相互呈實質上平行。法拉第屏蔽549可防止金屬或其他物種沉積在製程腔室524之窗口511上。
製程氣體可透過設於上部次腔室502中之一或更多主氣流入口560及/或透過一或更多側氣流入口570流入製程腔室。同樣地,儘管未明確示出,但類似氣流入口可用於供應製程氣體至電容耦合式電漿處理腔室。真空泵(例如一階或二階機械乾式及/或渦輪分子泵) 540可用於將製程氣體從製程腔室524中抽出並維持製程腔室524內的壓力。例如,在ALD之沖洗操作期間,真空泵可用於抽空下部次腔室503。閥控制導管可用於將真空泵流體連接至製程腔室524,以選擇性地控制真空泵所提供之真空環境的施加。此可在操作電漿處理期間採用閉環控制之限流裝置來完成,例如節流閥(未示出)或鐘擺錘(未示出)。同樣地,對電容耦合式電漿處理腔室亦可採用真空泵浦及閥控式流體連接。
在設備500之操作期間,一或更多製程氣體可透過氣流入口560及/或570來供應。在某些實施例中,製程氣體可僅透過主氣流入口560或僅透過側氣流入口570來供應。在一些例子中,圖中所示之氣流入口可用更複雜的氣流入口(例如一或更多噴淋頭)代替。法拉第屏蔽549及/或可選格柵550可包括內部通道及孔,其允許製程氣體輸送至製程腔室524。法拉第屏蔽549與可選格柵550中之一者或兩者可作為用於製程氣體之輸送的噴淋頭。在一些實施例中,液體汽化及輸送系統可位於製程腔室524的上游,如此一旦液體反應物或前驅物被汽化,汽化反應物或前驅物即透過氣流入口560及/或570引入製程腔室524中。
射頻功率係從RF功率供應源541供應至線圈533,以使RF電流流過線圈533。流過線圈533之RF電流在線圈533周圍產生電磁場。電磁場在上部次腔室502內產生感應電流。諸多產生之離子及自由基與晶圓519之物理及化學相互作用蝕刻晶圓519之特徵部並選擇性地在晶圓519上沉積層。
若使用電漿格柵550而有上部次腔室502及下部次腔室503兩者,則感應電流作用在上部次腔室502中存在的氣體上,以在上部次腔室502中產生電子-離子電漿。該可選之內部電漿格柵550限制下部次腔室503中之熱電子數。在一些實施例中,設備500係設計並操作成使得存在於下部次腔室503中之電漿為離子-離子電漿。
上部電子-離子電漿與下部離子-離子電漿兩者均可含有正及負離子,但離子-離子電漿將具有更大的負離子比上正離子的比率。揮發性蝕刻及/或沉積副產物可透過埠522從下部次腔室503中去除。本文所揭示之吸盤517可在介於約10℃與約250℃之間的升高溫度下操作。溫度將取決於製程操作及特定配方。
當設備500安裝在無塵室或製造設施中時,其可耦接至設施(未示出)。設施包括提供處理氣體、真空、溫度控制及環境顆粒控制之配管。當安裝在目標製造設施中時,此些設施耦接至設備500。另外,設備500可耦接至轉移腔室,其允許機器人使用典型自動化來轉移半導體晶圓進出設備500。
在一些實施例中,系統控制器530(其可包括一或更多物理或邏輯控制器)控制製程腔室524之一些或全部操作。系統控制器530可包括一或更多記憶體裝置及一或更多處理器。在一些實施例中,設備500包括切換系統,用於在執行所揭示之實施例時控制流速及持續時間。在一些實施例中,設備500可具有高達約500 ms或高達約750 ms的切換時間。切換時間可能取決於流動化學、所選配方、反應器結構及其他因素。
在一些實施方式中,系統控制器530為系統之一部份,其可為上述示例之一部分。此等系統可包括半導體處理裝備,其包含一處理工具或複數工具、一腔室或複數腔室、一處理平台或複數平台、及/或特定處理組成件(晶圓基座、氣流系統等)。此等系統可與電子設備結合,以控制半導體晶圓或基板處理前、處理期間及處理後之其操作。此等電子設備可整合於系統控制器530中,其可控制該系統或複數系統之諸多組成件或次部件。取決於處理條件及/或系統類型,系統控制器可程式化以控制本文所揭示之任何製程,包括處理氣體之輸送、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、射頻匹配電路設定、頻率設定、流速設定、流體輸送設定、位置及操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具、及/或裝載室)。
廣泛地講,系統控制器530可定義為具有用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測以及類似者之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含 : 儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processor)、定義為特殊應用積體電路(ASIC,application specific integrated circuit)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以諸多各別設定(或程式檔案)之形式而傳送至控制器的指令,該各別設定(或程式檔案)為實行(半導體晶圓上,或針對半導體晶圓,或對系統之)特定的製程而定義操作參數。在一些實施例中,操作參數可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分 : 層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒。
系統控制器530在一些實施方式中可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合。舉例而言,控制器可在能容許遠端存取晶圓處理之”雲端”或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查其趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的製程。在一些示例中,遠端電腦(例如,伺服器)可通過網路而提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含能夠進行參數及/或設定輸入或程式設計之使用者介面,接著該參數及/或設定可自遠端電腦傳送至系統。在一些示例中,系統控制器530接收數據形式指令,該指令為即將於一或更多操作期間進行之每一處理步驟指定參數。應當理解,參數可特定針對待執行之製程類型、及控制器與之接合或加以控制之工具類型。因此,如上所述,系統控制器530可為分散式,例如藉由包含以網路方式接在一起、且朝向共同目的(例如,本文所描述之製程及控制)運作之一或更多分離的控制器。用於此目的之分散式控制器舉例為,腔室上與位於遠端的一或更多積體電路(例如,於平臺水平處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上的製程。
示例性系統可包含,但不限於,電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(如PECVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、顯影(track)腔室或模組、EUV微影腔室(掃描儀)或模組、乾式顯影腔室或模組、及可在半導體晶圓的製造及/或加工中相關聯的、或使用的任何其他半導體處理系統。
如上所述,取決於待藉由工具而執行之製程步驟或複數步驟,控制器可與半導體製造工廠中的一或更多以下者進行通訊:其他工具電路或模組、其他工具組成件、叢集工具、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具攜帶晶圓容器往返工具位置及/或裝載埠。
EUVL圖案化可使用通常稱為掃描儀之任何合適的工具來執行,例如由ASML(荷蘭Veldhoven)所供應之TWINSCAN NXE:3300B®平台。EUVL圖案化工具可為供基板移動進出以進行本文所述沉積及蝕刻之獨立裝置。或者,如下所述,EUVL圖案化工具可為較大多組件工具上的模組。 11 繪出具有真空整合沉積、EUV圖案化及乾式顯影/蝕刻模組(與真空轉移模組相接)之半導體製程叢集工具架構600,其適用於實施本文所述之製程。儘管可在沒有此等真空整合設備下執行製程,但此等設備在一些實施方式中可能是有利的。
11 繪出具有真空整合沉積及圖案化模組(其與真空轉移模組相接)之半導體製程叢集工具架構,其適用於實施本文所述之製程。用於在多個儲存設施與處理模組之間”轉移”晶圓之轉移模組的佈設可稱為”叢集工具架構”系統。沉積及圖案化模組根據特定製程之要求而為真空整合。其他模組(例如用於蝕刻)亦可包含於該叢集中。
真空轉移模組(VTM)638與四個處理模組620a-620d(其可分別被最佳化以執行諸多製造製程)相接。舉例來說,處理模組620a-620d可實施為執行沉積、蒸發、ELD、乾式顯影、蝕刻、剝離及/或其他半導體製程。例如,模組620a可為ALD反應器,其可被操作以在非電漿中執行如本文所述之熱原子層沉積,例如可獲自加州費里蒙科林研發公司(Lam Research Corporation)之Vector工具。又,模組620b可為PECVD工具,例如Lam Vector®。應當理解,該圖不一定按比例繪製。
氣室642及646(亦稱為裝載室或轉移模組)與VTM 638及圖案化模組640相接。例如,如上所述,合適之圖案化模組可為ASML(荷蘭-費爾德霍溫)所供應之TWINSCAN NXE:3300B®平台。此工具架構允許工件(例如半導體基板或晶圓)在真空下轉移,以不在曝光前反應。考慮到環境氣體(如H2 O、O2 等)對入射光子之強烈光吸收,且EUVL亦需大幅下降的壓力,因而促使沉積模組與與微影工具整合。
如上所述,此整合架構僅是用於實施所述製程之工具的可能實施例。該等製程亦可使用更習知的獨立EUVL掃描儀及沉積反應器(例如Lam Vector工具)來實施,其為獨立或與其他工具(例如蝕刻、剝離等,如 Lam Kiyo或Gamma工具)整合在叢集架構中作為模組,例如參考 11 所述,但無整合的圖案化模組。
氣室642可為”輸出”裝載室,其係指基板從用於沉積模組620a 之VTM 638轉移至圖案化模組640,而氣室646可為”進入” 裝載室,其係指基板自圖案化模組640轉移返回VTM 638。進入裝載室646亦可對工具外部提供界面,用於基板之進入與移出。每一製程模組具有將模組接合至VTM 638的端面(facet)。例如,沉積製程模組620a具有端面636。在每一端面內,感測器(例如所示的感測器1-18)用以偵測晶圓626在相對應站之間移動時的通過。圖案化模組640及氣室642與646可類似地裝配額外的端面與感測器(未示出)。
主要VTM機器人622在模組(包括氣室642與646)之間轉移晶圓626。在一實施例中,機器人622具有一手臂,而在另一實施例中,機器人622具有兩手臂,其中每一手臂具有末端執行器624以拾取晶圓(如晶圓626)進行轉移。前端機器人644用以將晶圓626自輸出氣室642轉移至圖案化模組640中、自圖案化模組640轉移至進入氣室646。前端機器人644亦可在進入裝載室與工具外部之間轉移晶圓626,用於基板之進入與移出。因進入氣室模組646具有匹配大氣與真空之間環境的能力,故晶圓626能在兩壓力環境之間移動而不受損。
應注意的是,EUVL工具通常在比沉積工具在更高真空下操作。若為此情況,則期望自沉積轉移至EUV工具期間增加基板之真空環境,以允許基板在進入圖案化工具之前除氣。輸出氣室642可藉由將轉移的晶圓維持在較低壓力(不高於圖案化模組640中的壓力)達一段時間並排出任何排放氣來提供此功能,使得圖案化工具640的光學件不受來自基板的排放氣所污染。合適的輸出排放氣氣室壓力不超過1E-8 Torr。
在一些實施例中,系統控制器650(其可包括一或更多物理或邏輯控制器)控制叢集工具及/或其分開模組之一些或所有操作。應注意的是,控制器可在叢集架構本地、或可位在製造層中之叢集架構的外部、或在遠端位置並經由網路連接至叢集架構。系統控制器650可包括一或更多記憶裝置與一或更多處理器。處理器可包括中央處理單元(CPU)或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制板、與其他類似組成件。用以執行適當控制操作之指令在處理器上執行。此些指令可儲存在與控制器相關聯之記憶裝置上,或其可透過網路被提供。在某些實施例中,系統控制器執行系統控制軟體。
系統控制軟體可包括指令,用以控制工具或模組操作之任何態樣的施加及/或大小的時序。系統控制軟體可以任何適當的方式配置。例如,可寫入諸多製程工具組成件子程序或控制物件,以控制實現諸多製程工具製程所需之製程工具組成件的操作。系統控制軟體可以任何合適的電腦可讀取程式語言來進行編碼。 在一些實施例中,系統控制軟體包括用以控制上述諸多參數之輸入/輸出控制(IOC) 定序指令。例如,半導體製造製程之每一階段可包括由系統控制器執行之一或更多指令。例如,用以設定用於凝結(condensation)、沉積、蒸發、圖案化及/或蝕刻階段之製程條件的指令可包含於相對應的配方階段中。
在諸多實施例中,提供用於形成負型圖案遮罩之設備。該設備可包括用於圖案化、沉積及蝕刻之處理腔室,以及包括用於形成負型圖案遮罩之指令的控制器。指令可包括編碼,其用於在處理腔室中透過EUV曝光以曝光基板之表面而在半導體基板上之化學放大(CAR)阻劑中圖案化特徵部、對光圖案化阻劑進行乾式顯影、並使用圖案化阻劑作為遮罩以蝕刻底層或層堆。
應注意的是,控制晶圓移動之電腦可在叢集架構本地、或可位在製造層中之叢集架構的外部、或在遠端位置並經由網路連接至叢集架構。如上所述關於 8910 中之任一者的控制器可用 11 中之工具來實施。
12 示出沉積腔室的示例(如用於基於蒸氣的沉積,例如用於成像層及/或底層)。可看出,設備700包括處理腔室702,該處理腔室702具有蓋708及晶圓轉移通道704,晶圓轉移通道704之尺寸被設計為允許基板722穿過其中並置於晶圓支撐件724上。晶圓轉移通道704可具有閘閥706或類似門機構,其可被操作成密封或打開晶圓轉移通道。例如,處理腔室702可透過位於相鄰轉移腔室中之晶圓裝卸機器人而被供予基板722。
晶圓支撐件724可例如包括靜電吸盤(ESC)726,以提供用於支撐基板722之晶圓支撐表面。ESC 726可包括例如底板734,其接合至放置於頂板728之頂表面。在所繪出之示例中,頂板728具有嵌入其內部之兩個分開電性系統。一此等系統為靜電夾持電極系統,其可具有一或更多夾持電極732,以在基板722內產生電荷,從而將基板722拉抵於頂板728之晶圓支撐表面。
另一系統為熱控制系統,以在處理條件期間控制基板722的溫度。在 12 中,熱控制系統特徵在於四個環形電阻加熱器跡線730a、730b、730c及730d位於夾持電極732下方。每一電阻加熱器跡線730a/b/c/d可被各別控制,以在頂板728中提供各種徑向加熱曲線,例如以在一些例子中維持基板722具有±0.5℃的溫度均勻性。其他實施方式可使用具有多於或少於四區域之單區域或多區域加熱系統。在例如以上討論之溫度控制機構的一些實施方式中,可使用熱泵或帕爾帖接面(Peltier junctions)代替電阻加熱跡線。
ESC 726亦可包括底板734,以對頂板728之下側提供結構支撐並亦可用作散熱系統。例如,底板734可包括一或更多熱交換通道736;且熱交換介質(例如水或惰性氟化液體)可在使用期間循環通過熱交換通道736。
ESC 726可由與晶圓支撐柱744連接並由其支撐之晶圓支撐殼體742支撐。晶圓支撐柱744可具有佈線通道748及其他貫通孔,用於將纜線(例如用於提供電力)、流體流導管(例如用於輸送熱交換介質)及其他裝備佈線至底板734及/或頂板728之下側。
12 之設備700亦包括晶圓支撐z致動器746,其可對晶圓支撐柱744提供可移動支撐。晶圓支撐件z致動器746可被致動,以引起晶圓支撐柱744及由此支撐之晶圓支撐件724在處理腔室702之反應空間720內垂直地上下移動, 例如多達幾英寸。如此做時,基板722與噴淋頭710下側之間的間隙距離X可根據諸多製程條件來進行調變。
晶圓支撐件724亦可包括一或更多邊緣環,其可用於控制及/或微調諸多製程條件。在 12 中,提供上邊緣環738,其位於例如下邊緣環740a及740b上,且下邊緣環740a及740b又由晶圓支撐殼體742及第三下邊緣環740c支撐。
設備700亦可包括用於在處理結束期間及之後從處理腔室702去除製程氣體的系統。例如,處理腔室702可包括環繞晶圓支撐柱744之環形氣室756。環形氣室756可接著與真空前級管線752流體連接,該真空前級管線可與真空泵連接。調節器閥754可提供於真空前級管線752與處理腔室702之間,並被致動以控制進入真空前級管線752之流動。在一些實施方式中,可提供擋板750(例如環形板或其他結構,其可用於使進入環形氣室756之流動更均勻地分佈在晶圓支撐柱744周源周圍),以降低在流過基板722之反應物中出現流動不均勻的機會。
如所示之噴淋頭710為雙氣室噴淋頭710,並包括第一氣室712(其透過第一入口716被供予製程氣體)及第二氣室714(其透過第二入口718被供予製程氣體)。在釋出前驅物及相對反應物之前,可採用兩個或更多氣室以保持前驅物與相對反應物之間隔開。在一些實例中,使用單個氣室,以將前驅物輸送至處理腔室702之反應空間720中。每一氣室可具有一對應組的氣體分佈埠,氣體分佈埠透過噴淋頭710之面板將相應氣室與反應空間720流體連接(面板為噴淋頭710插置於最下部氣室與反應空間720之間的部分)。
噴淋頭710之第一入口716及第二入口718可透過氣體供應系統被供予處理氣體,該氣體供應系統可配置成提供一或更多前驅物及/或相對反應物,如本文所討論。第一閥歧管768a可配置成提供前驅物至第一入口716,而第二閥歧管768b可配置成提供其他前驅物或其他反應物至第二入口718。在此示例中,第一閥歧管768a例如包括多個閥A1-A5。閥A2可例如為三通閥,其具有與第一汽化器772a流體連接之一埠、與旁通管線770a流體連接之另一埠、以及與另一三通閥A3上之埠流體連接之第三埠。類似地,閥A4可為另一三通閥,其具有與第二汽化器772b流體連接之一埠、與旁通管線770a流體連接之另一埠、以及與另一三通閥A5上之埠流體連接之第三埠。閥A5上之其他埠中的其中一者可與第一入口716流體連接,而閥A5上之其餘埠可與閥A3上之其餘埠的其中一者流體連接。閥A3上之剩餘埠又可與閥A1流體連接,閥A1可流體插置於閥A3與沖洗氣體源774(例如氮、氬或其他合適惰性氣體(相對於前驅物及/或相對反應物))之間。在一些實施例中,僅採用第一閥歧管。
為了本發明目的,術語”流體連接”是用於關於可相互連接以形成流體連接之容積、氣室、孔等,類似於術語” 電性連接”用於關於連接在一起以形成電性連接之構件。術語”流體插置”(若使用的話)可用來指與至少兩其他構件、容積、氣室或孔流體連接之構件、容積、氣室或孔,使得從彼等其他構件、容積、氣室或孔中之一者流至彼等其他構件、容積、氣室或孔中之另一者的流體在到達彼等構件、容積、氣室或孔中之另一者之前,會先流過”流體插置”的構件。例如,若泵流體插置於容器與出口之間,則從容器流至出口之流體會在到達出口之前先流過泵。
第一閥歧管768a例如可為可控制的,以使來自汽化器772a及772b中之一者或兩者的蒸氣例如透過閥A1-A5之被控致動而流至處理腔室702或通過第一旁通管線770a並流入真空前級管線752。第一閥歧管768a亦可為可控制的,以使沖洗氣體從沖洗氣體源774流入第一入口716。
將知悉,可用類似方式控制第二閥歧管768b, 例如,透過控制閥B1-B5,以從汽化器772c及772d提供蒸氣至第二入口718或至第二旁路管線770b。將進一步知悉,亦可利用不同歧管佈設,包括單一整體歧管,單一整體歧管包括用於控制前驅物、相對反應物或其他反應物往第一入口716及第二入口718流動的閥。
如前所述,一些設備700可以較少數量之蒸氣源為特徵,例如僅兩個汽化器772,於該例中閥歧管768可被修改為具有較少數量的閥,例如僅有閥A1-A3。
如上所討論,可用於提供膜之乾式沉積的設備(例如設備700)可配置成保持處理腔室702內之特定溫度曲線。尤其,此等設備700可配置成保持基板722於較低溫度, 例如,比直接與前驅物及/或相對反應物直接接觸之設備702的大多數裝備低例如至少25℃至50℃。
為了提供溫度控制,諸多加熱系統可包含於設備700中。例如,處理腔室702可具有用於接收盒式加熱器758之容器, 例如,用於容納盒式加熱器758之垂直孔可鑽於腔室702殼體之四角中。在一些實施方式中,噴淋頭710可被加熱器毯760覆蓋,加熱器毯760可用於在噴淋頭710之暴露上表面上施加熱以保持噴淋頭溫度升高。對用於將汽化反應物從汽化器772傳導至噴淋頭710之諸多氣體管線加熱亦可能是有利的。例如,電阻加熱帶可纏繞於此等氣體管線周圍,並用於將其加熱至升高溫度。 12 中之任何氣體管線及甚至閘閥706可被主動或間接加熱。
設備700之諸多操作系統可由控制器784控制, 其可包括一或更多處理器786及一或更多記憶體裝置788,其相互可操作地連接並與設備700之諸多系統及子系統通訊連接,以對彼等系統提供控制功能。例如,控制器784可配置成控制閥A1-A5及B1-B5、諸多加熱器758、760、汽化器772、調節器閥754、閘閥706、晶圓支撐z-致動器等等。
設備700可包括之另一特徵示於 13 中,其繪出 12 之基板722、頂板728及上邊緣環738之一部分的特寫側視剖面圖及平面圖。可看出,在一些實施方式中,基板722可透過複數小檯面776而從大部分頂板728上抬高,小檯面可為淺凸台,其從頂板728之標稱上表面突出一小段距離以在基板722下側與大部分頂板728之間提供背側間隙778。周向壁特徵部777可提供於頂板728外圍。周向壁特徵部777可延伸於頂板728整個周邊周圍,且具有與檯面776相同的高度。在處理操作期間,一般惰性氣體(例如氦)可透過一或更多氣體埠782流入背側間隙778。此氣體可接著在遇到周向壁特徵部777之前徑向朝外流動,周向壁特徵部777可接著限制此等徑向朝外流動,並使氣體之較高壓力區域限於基板722與頂板728之間。漏出周向壁777之惰性氣體可能最終會透過基板722外邊緣與上邊緣環738之一部分之間的徑向間隙780流出。此等氣體可透過發揮防止噴淋頭710釋出之氣體到達基板722下側之作用,從而保護基板下側免於受到執行之處理操作不良地影響。同時,釋放至背側間隙778區域中之氣體亦可作用以增加基板722與頂板728之間的熱耦合,因而允許頂板728更有效地加熱或冷卻基板722。由於周向壁提供更高壓力, 故背側間隙778區域內之氣體亦可比腔室其餘處之氣體處於更高的密度,因而可在基板722與頂板728之間提供更有效的熱耦合。
控制器784可例如透過電腦可執行指令之執行而配置成使得設備700執行與以上提供之揭示內容一致的諸多操作。
一旦成像層及/或底層已沉積在基板722上,基板722即可如上所述轉移至一或更多後續處理腔室或工具中以進行額外操作(例如,本文所述之任一者)。進一步沉積設備描述於2020年6月22日申請之國際專利申請案第PCT/US2020/038968號,其標題為” APPARATUS FOR PHOTORESIST DRY DEPOSITION”,其整體內容以引用方式併於此。定義
如本文可互換使用之”醯氧基”或”烷醯氧基”係指透過氧基連接至母分子基團之如本文所定義的醯基或烷醯基。在特定實施例中,烷醯氧基為-O-C(O)-Ak,其中Ak為如本文所定義的烷基。在一些實施例中,未經取代之烷醯氧基為C2-7 烷醯氧基。示例性烷醯氧基包括乙醯氧基。
“脂族基”係指具有至少一碳原子至50個碳原子(C1-50 )之烴基,例如1至25個碳原子(C1-25 )、或1至10個碳原子(C1- 10 ),且其包括烷烴(或烷基)、烯烴(或烯基)、炔烴(或炔基),包含其環狀形式,並進一步包括直鏈及支鏈排列,以及所有立體及位置異構物。此等脂族基可為經取代或經一或更多基團取代,如本文對烷基所述。
“烯基”係指具有一或更多雙鍵之視情況取代之C2-24 烷基。烯基可為環狀(例如,C3-24 環烯基)或非環狀。烯基亦可為經取代或未經取代。例如,烯基可經一或更多取代基取代,如本文對烷基所述。
“亞烯基”係指烯基(其為具有一或更多雙鍵之視情況取代的C2-24 烷基)之多價(例如,二價)形式。亞烯基可為環狀(例如,C3-24 環亞烯基)或非環狀。亞烯基可為經取代或未經取代。例如,亞烯基可經一或更多取代基取代,如本文對烷基所述。示例性之非限定亞烯基包括-CH=CH-或-CH=CHCH2 -。
“烷氧基”係指-OR,其中R為視情況取代之烷基,如本文所述。示例性烷氧基包括甲氧基、乙氧基、丁氧基、三鹵代烷氧基,例如三氟甲氧基等。烷氧基可為經取代或未經取代。例如,烷氧基可經一或更多取代基取代,如本文對烷基所述。示例性未經取代之烷氧基包括C1-3 、C1-6 、C1-12 、C1-16 、C1-18 、C1 20 或C1-24 烷氧基。
“烷基”及字首“烷”係指具有1至24個碳原子之支鏈或非支鏈飽和烴基,例如甲基(Me)、乙基(Et)、正丙基(n -Pr)、異丙基(i -Pr)、環丙基、正丁基(n -Bu)、異丁基(i -Bu)、仲丁基(s -Bu)、叔丁基(t -Bu)、環丁基、正戊基、異戊基、仲戊基、新戊基、己基、庚基、辛基、壬基、癸基、十二烷基、十四烷基、十六烷基、二十烷基、二十四烷基及類似者。烷基可為環狀(例如,C3-24 環烷基)或非環狀。烷基可為支鏈或非支鏈。烷基亦可為經取代或未經取代。例如,烷基可包括鹵代烷基,其中烷基經一或更多鹵素基取代,如本文所述。在另一示例中,烷基可經一、兩、三、或四個(在具有兩個或更多碳之烷基的例子中)取代基取代,取代基獨立選自由以下所組成之群組 : (1) C1-6 烷氧基(例如, -O-Ak,其中Ak為視情況取代之C1-6 烷基); (2)胺基(例如,‑NRN1 RN2 ,其中每一RN1 及RN2 獨立為H或視情況取代之烷基,或RN1 與RN2 與各自連接之氮原子一起形成雜環基);(3)芳基;(4)芳烷氧基(例如,-O-Lk-Ar,其中Lk為視情況取代之烷基的二價形式,且Ar為經情況取代之芳基);(5)芳醯基(例如,-C(O)-Ar,其中Ar為視情況取代之芳基);(6)氰基(例如-CN);(7)羧醛基(例如-C(O)H);(8)羧基(例如-CO2 H); (9)C3-8 環烷基(例如,一價飽和或未飽和之非芳香族環狀C3-8 烴基);(10)鹵素(例如F、Cl、Br或I); (11)雜環基(例如,含有一、兩、三或四個非碳雜原子(例如氮、氧、磷、硫或鹵素)之5、6或7元環,除非另說明;(12)雜環氧基(例如,-O-Het,其中Het為雜環基,如本文所述);(13)雜環醯基(例如,-C(O)-Het,其中Het為雜環基,如本文所述);(14)羥基(例如,-OH);(15)N-經保護胺基;(16)硝基(例如‑NO2 );(17)側氧基(例如= O);(18) -CO2 RA ,其中RA 選自由(a)C1-6 烷基、(b)C4-18 芳基、及(c)(C4-18 芳基)C1-6 烷基(例如,-Lk-Ar,其中Lk為視情況取代之烷基的二價形式,且Ar為視情況取代之芳基)所組成之群組;(19) ‑C(O)NRB RC ,其中每一RB 及RC 獨立地選自由(a)氫、(b)C1-6 烷基、(c)C4-18 芳基、及(d) (C4-18 芳基)C1-6 烷基(例如,-Lk-Ar,其中Lk為視情況取代之烷基的二價形式,並且Ar為視情況取代之芳基)所組成之群組;以及(20) ‑NRG RH ,其中每一RG 及RH 獨立地選自由(a)氫、(b)N-保護基、(c)C1-6 烷基、(d)C2-6 烯基(例如,具有一或更多雙鍵之視情況取代的烷基)、(e)C2-6 炔基(例如,具有一或更多三鍵之視情況取代的烷基)、(f)C4-18 芳基、(g)(C4-18 芳基)C1-6 烷基(例如Lk-Ar,其中Lk為視情況取代之烷基的二價形式,Ar為視情況取代之芳基)、(h)C3-8 環烷基、及(i)(C3-8 環烷基)C1-6 烷基(例如,‑Lk-Cy,其中Lk為視情況取代之烷基的二價形式,且Cy為視情況取代之環烷基,如本文所述)所組成之群組,其中在一實施例中,未有兩個基團透過羰基鍵結至氮原子組。烷基可為經一或更多取代基(例如,一或更多鹵素或烷氧基)取代之一級、二級或三級烷基。在一些實施例中,未經取代之烷基為C1-3 、C1-6 、C1-12 、C1-16 、C1-18 、C1-20 或C1-24 烷基。
“亞烷基”係指烷基之多價(例如,二價)形式,如本文所述。示例性亞烷基包括亞甲基、亞乙基、亞丙基、亞丁基等。在一些實施例中,亞烷基為C1-3 、C1-6 、C1-12 、C1-16 、C1-18 、C1-20 、C1-24 、C2-3 、C2-6 、C2-12 、C2‑16 、C2-18 、C2-20 、或C2-24 亞烷基。亞烷基可為支鏈或非支鏈。亞烷基亦可經取代或未經取代。例如,亞烷基可經一或更多取代基取代,如本文對烷基所述。
“炔基”係指具有一或更多三鍵之視情況取代的C2-24 烷基。炔基可為環狀或非環狀,例如乙炔基、1-丙炔基及類似者。炔基亦可經取代或未經取代。例如,炔基可經一或更多取代基取代,如本文對烷基所述。
“亞炔基”係指炔基(其為具有一或更多三鍵之視情況取代的C2-24 烷基)之多價(例如,二價)形式。亞炔基可為環狀或非環狀。亞炔基可經取代或未經取代。例如,亞炔基可經一或更多取代基取代,如本文對烷基所述。示例性非限定亞炔基包括-C≡C-或‑C≡CCH2 -。
“胺基”係指-NRN1 RN2 ,其中每一RN1 及RN2 獨立為H、視情況取代之烷基或視情況取代之芳基,或RN1 與RN2 與各自連接之氮原子一起形成如本文所定義之雜環基。
“芳香族基”係指5至15個(除非另有說明)環原子之環狀共軛基團或部分,其具有單環(例如,苯基)或多個稠合環(其中至少一個環為芳香族(例如,萘基、吲哚基或吡唑並吡啶基));亦即,至少一個環與可選之多個稠合環具有連續離域化π電子系統。通常,平面π電子之數量對應於Huckel規則(4n + 2)。與母結構之連接點通常是透過稠合環系統之芳香族部分。此等芳香族化合物可為未經未取代或經一或更多基團取代,如本文對烷基或芳基所述。另其他取代基可包括脂族、鹵代脂族、鹵素、硝酸根、氰基、磺酸根、磺醯基或其他。
“芳基”係指含有任何基於碳之芳香族基的基團,包括,但不限於,苯基(phenyl)、芐基(benzyl)、蒽基(anthracenyl)、蒽基(anthryl)、苯並環丁烯基(benzocyclobutenyl)、苯並環辛烯基(benzocyclooctenyl)、聯苯基(biphenylyl)、蔗基(chrysenyl)、二氫茚基(dihydroindenyl)、丙[二]烯合茀基(fluoranthenyl)、二環戊二烯並苯基(indacenyl)、茚基(indenyl)、萘基(naphthyl)、菲基(phenanthryl)、苯氧基芐基(phenoxybenzyl)、苉基(picenyl)、芘基(pyrenyl)、三聯苯基(terphenyl)及類似者,包括稠合苯並-C4-8 環烷基基團(例如,如本文所定義),舉例如二氫茚基(indanyl)、四氫化萘基(tetrahydronaphthyl)、茀基(fluorenyl)及類似者。術語芳基亦包括雜芳基,其定義為含有芳香族基的基團,且芳香族基具有至少一雜原子併入芳香族基之環內。雜原子之示例包括,但不限於,氮、氧、硫及磷。同樣地,術語“非雜芳基”(包含於術語“芳基”內)定義含有不含雜原子之芳香族基的基團。芳基可為經取代或未經取代。芳基可經一、兩、三、四或五個取代基取代,例如本文中對烷基所述之任一者。
“亞芳基”係指如本文所述之芳基的多價(例如,二價)形式。示例性亞芳基包括亞苯基(phenylene)、亞萘基(naphthylene)、亞聯苯基(biphenylene)、亞三聯苯基(triphenylene)、二苯醚(diphenyl ether)、亞二氫苊基(acenaphthenylene)、亞蒽基(anthrylene)或亞菲基(phenanthrylene)。在一些實施例中,亞芳基為C4-18 、C4-14 、C4-12 、C4-10 、C6-18 、C6-14 、C6-12 、或C6-10 亞芳基。亞芳基可為支鏈或非支鏈。亞芳基亦可經取代或未經取代。例如,亞芳基可經一或更多取代基取代,如本文對烷基或芳基所述。
“亞(芳基)(烷基)”係指包括連接至如本文所述亞烷基或亞雜烷基之如本文所述亞芳基的二價形式。在一些實施例中,亞(芳基)(烷基)為-L-Ar-或-L-Ar-L-或-Ar-L-,其中Ar為亞芳基,且每一L獨立為視情況取代之亞烷基或視情況取代之亞雜烷基。
“羰基”係指-C(O)-基團,其亦可表示為>C=O或-CO基團。
“羧基”係指-CO2 H基團。
“羧烷基”係指經一或更多如本文定義羧基取代之如本文定義烷基。
“羧芳基”係指經一或更多本文所定義羧基取代之本文所定義芳基。
“環酐”係指環內具有-C(O)-O-C(O)-基團之3-、4-、5-、6-或7-元環(例如5-,6-或7-元環),除非另有說明。術語”環酐”亦包括雙環、三環及四環基,其中上述環之任一者稠合至獨立選自由芳環、環己烷環、環己烯環、環戊烷環、環戊烯環及另一單環雜環狀環所組成之群組中的一、兩或三個環。示例性環酐基包括由琥珀酸酐(succinic anhydride)、戊二酸酐(glutaric anhydride)、馬來酸酐(maleic anhydride)、鄰苯二甲酸酐(phthalic anhydride)、異色滿-1,3-二酮(isochroman-1,3-dione)、己二酸酐(oxepanedione)、四氫鄰苯二甲酸酐(tetrahydrophthalic anhydride)、六氫鄰苯二甲酸酐(hexahydrophthalic anhydride)、均苯四甲酸二酐(pyromellitic dianhydride)、萘酐(naphthalic anhydride)、1,2-環己烷二羧酐(1,2-cyclohexanedicarboxylic anhydride)等透過去除一或更多氫所形成之基團。其他示例性環酐基包括二氧四氫呋喃基(dioxotetrahydrofuranyl)、二氧二氫異苯並呋喃基(dioxodihydroisobenzofuranyl)等。環酐基亦可經取代或未經取代。例如,環酐基可經一或更多基團(包括本文對雜環基所述之彼者)取代。
“環烯基”係指具有一或更多雙鍵之三至八個碳原子(除非另有說明)的單價未飽和非芳香族或芳香族環狀烴基。環烯基亦可經取代或未經取代。例如,環烯基可經一或更多個基團(包括本文對烷基所述之彼者)取代。
“環烷基”係指三至八個碳(除非另有說明)之單價飽和或不飽和非芳香族或芳香族環狀烴基,且示例為環丙基、環丁基、環戊基、環戊二烯基、環己基、環庚基、雙環[2.2.1.]庚基及類似者。環烷基亦可為經取代或未經取代。例如,環烷基可經一或更多基團(包括本文對烷基所述之彼者)取代。
“鹵素”係指F、Cl、Br或I。
“鹵代烷基”係指經一或更多鹵素取代之本文所定義的烷基。
“雜烷基”係指含有一、兩、三或四個非碳雜原子(例如,獨立選自由氮、氧、磷、硫、硒或鹵素所組成之群組)之如本文所定義的烷基。
“亞雜烷基”係指含有一、兩、三或四個非碳雜原子(例如,獨立選自由氮、氧、磷、硫、硒或鹵素所組成之群組)之本文所定義之烷基的二價形式。亞雜烷基可為經取代或未經取代。例如,亞雜烷基可經一或更多取代基取代,如本文中對烷基所述。
“雜環基”係指含有一、兩、三或四個非碳雜原子(例如,獨立選自由氮、氧、磷、硫、硒或鹵素所組成之群組)之3-、4-、5-、6-或7-元環(例如5-、6-或7-元環),除非另有說明。3-元環具有零至一個雙鍵,4-及5-元環具有零至兩個雙鍵,而6-及7-元環具有零至三個雙鍵。術語”雜環基”亦包括雙環、三環及四環基,其中上述雜環狀環之任一者稠合至獨立選自由芳環、環己烷環、環己烯環、環戊烷環、環戊烯環及另一單環雜環狀環所組成之群組中的一、兩或三個環,例如吲哚基(indolyl)、喹啉基(quinolyl)、異喹啉基(isoquinolyl)、四氫喹啉基(tetrahydroquinolyl)、苯並呋喃基(benzofuryl)、苯並噻吩基(benzothienyl)及類似者。雜環基可為經取代或未經取代。例如,雜環基可經一或更多取代基取代,如本文對烷基所述。
“烴基(hydrocarbyl)”係指透過從烴中除去氫原子而形成之一價基團。非限定之未經取代烴基包括如本文所定義之烷基、烯基、炔基及芳基,其中此些基團僅包括碳及氫原子。烴基可為經取代或未經取代。例如,烴基可經一或更多取代基取代,如本文對烷基所述。在其他實施例中,本文中之任何烷基或芳基可被本文所定義之烴基取代。
“羥基(hydroxyl)”係指-OH。
“羥烷基(hydroxyalkyl)”係指經一至三個羥基取代之本文所定義的烷基,條件是不超過一個羥基可連接至該烷基之單個碳原子,且示例為羥甲基、二羥丙基及類似者。
“羥芳基(hydroxyaryl)”係指經一至三個羥基取代之本文所定義的芳基,條件是不超過一個羥基可連接至該芳基之單個碳原子,且示例為羥苯基、二羥苯基及類似者。
“異氰酸基(isocyanato)”係指-NCO。
“氧負離子基(oxido)”係指-O 基團。
“側氧基(oxo)”係指= O基團。
“膦(phosphine)”係指具有烴基部分之三價或四價磷。在一些實施例中,膦為-PRP 3 基團,其中每一RP 獨立為H、視情況取代之烷基或視情況取代之芳基。膦基可為經取代或未經取代。例如,膦基可經一或更多取代基取代,如本文對烷基所述。
“硒醇(selenol)”係指-SeH基團。
“碲醇(tellurol)”係指-TeH基團。
“硫異氰酸基(thioisocyanato)”係指-NCS。
“硫醇(thiol)”係指-SH基團。
如本文所使用,術語”頂部”、”底部”、”上部”、”下部”、”上方”及”下方”用於提供結構之間的相對關係。此些術語之使用並不表示或要求特定結構必須放置在設備中之特定位置。結論
揭示並描述用於結合光阻底層之圖案化結構與方案,及相關製程及設備,該光阻底層配置成增加基板(例如,硬遮罩)與光阻之間的黏著及/或降低EUV微影期間達有效光阻曝光之EUV劑量。
當理解,本文所述之示例及實施例僅用於說明目的,且將對本領域技術人員建議諸多鑑於此的修改或改變。儘管為清楚起見已省略諸多細節,但可實施諸多設計替代方案。因此,本示例應視為說明性而非限制性,且本發明不限於本文所給出的細節,而是可在本發明之範圍內進行修改。
提供以下示例請求項以進一步說明本發明之某些實施例。本發明不必限於此些實施例。
100:方法 101:步驟 103:步驟 105:步驟 202:基板 204:硬遮罩 206:底層 208:成像層 212:基板 214:硬遮罩 216:底層 216A:氫原子 218:成像層 218A:金屬原子 226:底層 228:成像層 300:製程站 301a:反應物輸送系統 302:製程腔室主體 303:汽化點 304:混合容器 306:噴淋頭 308:基座 310:加熱器 312:基板 314:射頻功率供應源 316:匹配網路 318:蝶閥 320:混合容器入口閥 350:電腦控制器 400:多站處理工具 402:入站裝載室 404:出站裝載室 406:機器人 408:傳送盒 410:大氣埠 412:基座 414:處理腔室 416:腔室轉移埠 418:基座 450:系統控制器 452:處理器 454:大容量儲存裝置 456:記憶體裝置 458:系統控制軟體 490:晶圓裝卸系統 500:感應耦合式電漿設備 501:腔室壁 502:上部次腔室 503:下部次腔室 511:窗口 517:吸盤 519:半導體晶圓 521:匹配電路 522:埠 523:射頻功率供應源 525:連接 527:連接 530:系統控制器 533:線圈 539:匹配電路 540:真空泵 541:射頻功率供應源 543:連接 545:連接 549:法拉第屏蔽 550:電漿格柵 560:主氣流入口 570:側氣流入口 600:半導體製程叢集工具架構 620a:處理模組、沉積模組、沉積製程模組 620b:處理模組 620c:處理模組 620d:處理模組 622:真空轉移模組機器人 624:末端執行器 626:晶圓 636:端面 638:真空轉移模組 640:圖案化模組 642:氣室/輸出氣室 644:前端機器人 646:氣室 650:系統控制器 700:設備 704:晶圓轉移通道 706:閘閥 702:處理腔室 708:蓋 710:噴淋頭 712:第一氣室 714:第二氣室 716:第一入口 718:第二入口 720:反應空間 722:基板 724:晶圓支撐件 726:靜電吸盤 728:頂板 730a:電阻加熱器跡線 730b:電阻加熱器跡線 730c:電阻加熱器跡線 730d:電阻加熱器跡線 732:夾持電極 734:底板 736:熱交換通道 738:上邊緣環 740a:下邊緣環 740b:下邊緣環 740c:第三下邊緣環 742:晶圓支撐殼體 744:晶圓支撐柱 746:晶圓支撐z致動器 748:佈線通道 750:擋板 752:真空前級管線 754:調節器閥 756:環形氣室 758:盒式加熱器 760:加熱器毯 768a:第一閥歧管 768b:第二閥歧管 770a:第一旁通管線 770b:第二旁路管線 772a:第一汽化器 772b:第二汽化器 772c:汽化器 772d:汽化器 774:沖洗氣體源 776:檯面 777:周向壁特徵部 778:背側間隙 780:徑向間隙 782:氣體埠 784:控制器 786:處理器 788:記憶體裝置 A1:閥 A2:閥 A3:閥 A4:閥 A5:閥 B1:控制閥 B2:控制閥 B3:控制閥 B4:控制閥 B5:控制閥
1 呈現非限定方法100之製程流程圖。
2A-2F 呈現示例性圖案化結構之示意圖。提供(A-C)示例性圖案化結構之製造中的階段,如本文所述;(D)示出成像層218與底層216間可能之相互作用的剖面圖;(E)成像層內之非限定反應流程;以及(F)成像層228與底層226間之非限定反應流程。
3 示出諸多實施例之膜性質,其有可灰化硬遮罩(AHM)、底層(實施例1及2)之兩個實施例以及旋塗式碳(SOC)膜。提供參數例如臨界尺寸掃描電子顯微圖(CDSEM)及線臨界尺寸(LnCD)與無偏壓線寬粗糙度(LWRunbi)之比率(比率表示為LnCD/LWRunbi )。
4 示出脈衝化偏壓對底層之非限定實施例(實施例1及3)密度的影響。 提供以0 W、70 W或140 W偏壓功率及0% (未施加偏壓功率)、10%、20%、30%、40%或50%工作週期生產的膜,如圖所示。
5 示出脈衝化偏壓對底層之非限定實施例(實施例3)底切的影響。提供參數例如劑量比上尺寸(DtS)、脈衝偏壓(示出RF偏壓功率為140 W、工作週期(DC)為10%或50%)、CDSEM、LnCD、無偏壓LWR與空間寬度粗糙度之比率(SpWR)(比率表示為無偏LWR/SpWR)、SpWR之諸多頻率成份(包括低頻(LF)、中頻(MF)及高頻(HF)成份,其表示為LF/MF/HFspwr )及底切特性。
6 示出用作底層(AL412)及底層(實施例1、4及5)之N摻雜旋塗式碳膜之非限定實施例的蝕刻速率。
7 示出底層之非限定實施例(實施例11、12及1)的蝕刻速率。
8 呈現用於乾式顯影之製程站300實施例的示意圖。
9 呈現多站製程工具400實施例的示意圖。
10 呈現感應耦合式電漿設備500實施例的示意圖。
11 呈現半導體製程叢集工具架構600實施例的示意圖。
12 繪出乾式沉積設備700示例的剖面示意圖。
13 繪出頂板、基板及邊緣環之一部分的詳細側視圖及平面圖。
212:成像層
214:硬遮罩
216:底層
216A:氫原子
218:成像層
218A:金屬原子

Claims (33)

  1. 一種圖案化結構,包括 : 一輻射敏感成像層,其設置於一基板上方;以及 一底層,其設置於該基板與該成像層之間,該底層配置成 : 增強該基板與該成像層之間的黏著,以及 降低用於該成像層之有效光阻曝光的輻射劑量。
  2. 如請求項1所述之圖案化結構,其中該成像層包括極紫外光(EUV)敏感無機光阻層、化學氣相沉積(CVD)膜、旋塗膜、或氧化錫膜。
  3. 如請求項1所述之圖案化結構,其中該基板為或包括一硬遮罩、非晶碳膜、摻雜有硼(B)之非晶碳膜、摻雜鎢(W)之非晶碳膜、非晶氫化碳膜、氧化矽膜、氮化矽膜、氮氧化矽膜、碳化矽膜、氮硼化矽膜、非晶矽膜、多晶矽膜、或其組合。
  4. 如請求項1-3中任一項所述之圖案化結構,其中該底層具有不大於25 nm之厚度或約2至20 nm之厚度。
  5. 如請求項1或4所述之圖案化結構,其中該底層包括氫化碳,其摻雜有氧(O)、矽(Si)、氮(N)、鎢(W)、硼(B)、碘(I)、氯(Cl)或此些任何之兩者或更多者的組合;以及可選地其中摻雜有碘之氫化碳配置成在暴露於輻射時改善二次電子之產生。
  6. 如請求項5所述之圖案化結構,其中該底層包括約0-30原子%氧(O)及/或約20-50原子%氫(H);及/或其中該底層之一表面包含羥基、羧基、過氧基、sp2 碳、sp碳及/或不飽和含碳鍵。
  7. 如請求項1-6中任一項所述之圖案化結構,其中該底層包括約0.7至2.9 g/cm3 之密度;可選地其中該底層進一步提供增強之蝕刻選擇性;且可選地其中該底層進一步提供減小之線邊緣及線寬粗糙度及/或減少之劑量比上尺寸。
  8. 如請求項1-7中任一項所述之圖案化結構,其中該底層進一步包括配置成在暴露於輻射時被釋放之β氫原子及/或配置成與該成像層中之原子形成氧鍵之氧原子。
  9. 一種圖案化結構,包括 : 一基板,其包括一部分已製成之半導體裝置膜堆; 一輻射敏感成像層,其設置於該基板上方;以及 一底層,其設置於該基板與該成像層之間, 其中該底層包括氫化碳之一氣相沉積膜,該氫化碳摻雜有氧(O)、矽(Si)、氮(N)、鎢(W)、硼(B)、碘(I)、氯(Cl)或此些任何之兩者或更多者的組合,以及 其中該膜具有不大於約25 nm之厚度或約2至20 nm之厚度。
  10. 如請求項9所述之圖案化結構,其中該基板進一步包括設置於其上之一可選摻雜非晶碳硬遮罩。
  11. 如請求項10所述之圖案化結構,其中該底層包括0-30原子%氧(O)及/或約20-50原子%氫(H)。
  12. 一種製造圖案化結構之方法,包括 : 提供一基板; 沉積一底層於該基板上,其中該底層配置成增強該基板與該光阻之間的黏著及/或降低用於有效光阻曝光之輻射劑量;以及 形成一輻射敏感成像層於該底層上。
  13. 如請求項12所述之製造圖案化結構之方法,其中 : 該基板為一部份已製成之半導體裝置膜堆; 該基板進一步包括或為一硬遮罩、非晶碳膜、非晶氫化碳膜、氧化矽膜、氮化矽膜、氮氧化矽膜、碳化矽膜、氮硼化矽膜、非晶矽膜、多晶矽膜、或其組合; 該成像層包括一基於氧化錫之光阻或一基於羥基氧化錫(tin oxide hydroxide)之光阻;且 該底層包括氫化碳之一氣相沉積膜,該氫化碳摻雜有氧(O)、矽(Si)、氮(N)、鎢(W)、硼(B)、碘(I)、氯(Cl)或此些任何之兩者或更多者的組合,其中該膜具有不大於25 nm之厚度。
  14. 如請求項13所述之製造圖案化結構之方法,其中該底層係使用烴前驅物而在碳氧化物前驅物存在或不存在下氣相沉積於該基板上,因而提供一含碳膜;以及可選地其中該碳氧化物前驅物與氫(H2 )或烴共反應,並可選地進一步與矽(Si)源摻雜物共反應。
  15. 如請求項14所述之製造圖案化結構之方法,其中該烴前驅物包括烷烴、烯烴或炔烴。
  16. 如請求項14所述之製造圖案化結構之方法,其中該底層係使用該烴前驅物而在一含氮前驅物、一含鎢前驅物、一含硼前驅物及/或一含碘前驅物存在下氣相沉積,因而提供一摻雜膜。
  17. 如請求項16所述之製造圖案化結構之方法,其中該摻雜膜包含碘;碘與矽之組合;或碘、矽與氮之組合。
  18. 如請求項13所述之製造圖案化結構之方法,其中該底層係透過使用與一氧化劑共反應之一含矽(Si)前驅物而氣相沉積於該基板上,且其中該含矽前驅物可選地進一步與一碳(C)源摻雜物共反應。
  19. 如請求項12-18中任一項所述之製造圖案化結構之方法,其中所述沉積進一步包括以0 W至約1000 W之偏壓功率並使用約5%至 100%之工作週期施加偏壓。
  20. 如請求項19所述之製造圖案化結構之方法,其中相較於未施加該偏壓而形成之一底層,所述施加偏壓提供具有增加密度之該底層。
  21. 如請求項12-19中任一項所述之製造圖案化結構之方法,其中該底層係透過電漿增強化學氣相沉積(PECVD)作為該基板上氣相沉積之終止操作而氣相沉積於該基板上。
  22. 如請求項12-19中任一項所述之製造圖案化結構之方法,其中該底層係透過電漿增強化學氣相沉積(PECVD)或原子層沉積(ALD)而氣相沉積於該基板上。
  23. 如請求項12-22中任一項所述之製造圖案化結構之方法,進一步包括 : 於所述沉積之後,改質該底層以提供一粗糙化表面,並可選地將該底層或該粗糙化表面暴露至一含氧電漿以提供一含氧表面。
  24. 一種沉積底層之方法,該方法包括 : 在一製程腔室中提供一基板;以及 透過電漿增強化學氣相沉積(PECVD)製程沉積氫化碳膜於該基板之一表面上,其中該氫化碳膜為一低密度膜。
  25. 如請求項24所述之沉積底層之方法,其中該PECVD製程包括引入一含碳前驅物,其選自由甲烷(CH4 )、乙炔(C2 H2 )、乙烯(C2 H4 ),、丙烯(C3 H6 )、丙炔(C3 H4 )、丙二烯(C3 H4 )、環丙烯(C3 H4 )、丁烷(C4 H10 )、環己烷(C6 H12 )、苯(C6 H6 )及甲苯 (C7 H8 )所組成之群組。
  26. 如請求項25所述之沉積底層之方法,其中該PECVD製程進一步包括引入一含氮前驅物、一含鎢前驅物、一含硼前驅物及/或一含碘前驅物,因而提供一摻雜膜。
  27. 如請求項24所述之沉積底層之方法,其中該PECVD製程包括一變壓耦合式電漿(TCP)或感應耦合式電漿(ICP)。
  28. 如請求項27所述之沉積底層之方法,其中TCP功率約100-1000 W且無偏壓。
  29. 如請求項27所述之沉積底層之方法,其中該PECVD製程進一步包括約10-1000 mTorr之壓力及/或約0-100°C之溫度。
  30. 如請求項27所述之沉積底層之方法,其中該PECVD製程進一步包括含有約10-1000 W功率之施加脈衝化偏壓或含有約10-500 W功率之施加連續波偏壓。
  31. 如請求項30所述之沉積底層之方法,其中該施加脈衝化偏壓包括約1-99%之工作週期及約10-2000 Hz之脈衝頻率。
  32. 如請求項24-31中任一項所述之沉積底層之方法,進一步包括 : 於所述沉積之後,改質該氫化碳膜以提供一粗糙化表面,並可選地將該粗糙化表面暴露至一含氧電漿以提供一含氧表面。
  33. 一種處理基板之設備,該設備包括 : 一製程腔室,其包括一基板支撐件; 一製程氣體源,其與該製程腔室及相關聯之流量控制硬體連接; 基板裝卸硬體,其與該製程腔室連接;以及 一控制器,其具有一處理器及一記憶體,其中該處理器與該記憶體相互通訊連接,該處理器係至少可操作地與該流量控制及基板裝卸硬體連接,且該記憶體儲存用於執行請求項12-32中任一項之方法所述之該等操作的電腦可執行指令。
TW110101388A 2020-01-15 2021-01-14 圖案化結構及其製造方法、沉積底層之方法及處理基板之設備 TWI828961B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202062961647P 2020-01-15 2020-01-15
US62/961,647 2020-01-15

Publications (2)

Publication Number Publication Date
TW202138905A true TW202138905A (zh) 2021-10-16
TWI828961B TWI828961B (zh) 2024-01-11

Family

ID=76864797

Family Applications (2)

Application Number Title Priority Date Filing Date
TW110143913A TWI795094B (zh) 2020-01-15 2021-01-14 處理設備、圖案化結構及其製造方法
TW110101388A TWI828961B (zh) 2020-01-15 2021-01-14 圖案化結構及其製造方法、沉積底層之方法及處理基板之設備

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW110143913A TWI795094B (zh) 2020-01-15 2021-01-14 處理設備、圖案化結構及其製造方法

Country Status (8)

Country Link
US (2) US11314168B2 (zh)
EP (1) EP3908882A4 (zh)
JP (3) JP7189375B2 (zh)
KR (3) KR20210135004A (zh)
CN (3) CN113574456B (zh)
SG (1) SG11202108851RA (zh)
TW (2) TWI795094B (zh)
WO (1) WO2021146138A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI839027B (zh) 2021-12-17 2024-04-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 含碘碳膜之沈積

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
WO2020232329A1 (en) * 2019-05-16 2020-11-19 Lam Research Corporation Extreme ultraviolet (euv) lithography using an intervening layer or a multi-layer stack with varying mean free paths for secondary electron generation
EP3908882A4 (en) 2020-01-15 2022-03-16 Lam Research Corporation UNDERCOAT FOR PHOTOCOAT ADHESION AND DOSE REDUCTION
WO2021158433A1 (en) * 2020-02-04 2021-08-12 Lam Research Corporation Post application/exposure treatments to improve dry development performance of metal-containing euv resist
US11621172B2 (en) 2020-07-01 2023-04-04 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists
US11886120B2 (en) 2020-07-21 2024-01-30 Applied Materials, Inc. Deposition of semiconductor integration films
US11562904B2 (en) 2020-07-21 2023-01-24 Applied Materials, Inc. Deposition of semiconductor integration films
US20230143629A1 (en) * 2021-11-09 2023-05-11 Tokyo Electron Limited EUV Active Films for EUV Lithography
US20230193460A1 (en) * 2021-12-17 2023-06-22 American Air Liquide, Inc. Deposition of iodine-containing carbon films
WO2023147212A1 (en) * 2022-01-28 2023-08-03 Lam Research Corporation Enhanced euv underlayer effect with diffusion barrier layer
US20230317452A1 (en) * 2022-03-31 2023-10-05 Nanya Technology Corporation Hard mask structure
WO2023230406A1 (en) * 2022-05-24 2023-11-30 Lam Research Corporation Underlayer with bonded dopants for photolithography
US20230408916A1 (en) * 2022-06-06 2023-12-21 Inpria Corpoartion Gas-based development of organometallic resist in an oxidizing halogen-donating environment
WO2023243410A1 (ja) * 2022-06-14 2023-12-21 東京エレクトロン株式会社 基板処理方法及び基板処理システム
WO2024064071A1 (en) * 2022-09-20 2024-03-28 Lam Research Corporation Bake-sensitive underlayers to reduce dose to size of euv photoresist
US20240096622A1 (en) * 2022-09-21 2024-03-21 Tokyo Electron Limited Method and Apparatus for In-Situ Dry Development
WO2024070833A1 (ja) * 2022-09-27 2024-04-04 東京エレクトロン株式会社 基板処理方法及び基板処理システム
EP4350437A1 (fr) * 2022-10-07 2024-04-10 Richemont International S.A. Procédé de fabrication d'un moule pour la formation de pièces métalliques par croissance métallique
WO2024118304A1 (en) * 2022-11-30 2024-06-06 Lam Research Corporation Metal doped carbon non-conformal deposition
US20240184207A1 (en) * 2022-12-06 2024-06-06 Applied Materials, Inc. Euv photoresist and underlayer adhesion modulation

Family Cites Families (411)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3576755A (en) 1964-09-24 1971-04-27 American Cyanamid Co Photochromism in plastic film containing inorganic materials
US3442648A (en) 1965-06-16 1969-05-06 American Cyanamid Co Photographic dodging method
US3513010A (en) 1966-07-11 1970-05-19 Kalvar Corp Conversion foil
US3529963A (en) 1966-08-23 1970-09-22 Du Pont Image-yielding elements and processes
US3720515A (en) 1971-10-20 1973-03-13 Trw Inc Microelectronic circuit production
JPS5119974A (en) 1974-08-12 1976-02-17 Fujitsu Ltd Kibanjoheno pataanno sentakukeiseiho
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4061829A (en) 1976-04-26 1977-12-06 Bell Telephone Laboratories, Incorporated Negative resist for X-ray and electron beam lithography and method of using same
US4292384A (en) 1977-09-30 1981-09-29 Horizons Research Incorporated Gaseous plasma developing and etching process employing low voltage DC generation
US4241165A (en) 1978-09-05 1980-12-23 Motorola, Inc. Plasma development process for photoresist
US4328298A (en) 1979-06-27 1982-05-04 The Perkin-Elmer Corporation Process for manufacturing lithography masks
US4396704A (en) 1981-04-22 1983-08-02 Bell Telephone Laboratories, Incorporated Solid state devices produced by organometallic plasma developed resists
JPS58108744A (ja) 1981-12-23 1983-06-28 Mitsubishi Electric Corp 集積回路の製造方法
JPS6074626A (ja) 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
JPS60115222A (ja) 1983-11-28 1985-06-21 Tokyo Ohka Kogyo Co Ltd 微細パタ−ン形成方法
JPS6112653U (ja) 1984-06-25 1986-01-24 日本電気株式会社 バキユ−ムチヤツク
JPS61234035A (ja) 1985-03-29 1986-10-18 Fujitsu Ltd 遠紫外線照射ドライ現像方法
JPS62160981A (ja) 1986-01-08 1987-07-16 Mitsubishi Heavy Ind Ltd 石油タンカ−の改造法
JPH0778629B2 (ja) 1986-12-19 1995-08-23 ミノルタ株式会社 ポジ型レジスト膜及びそのレジストパターンの形成方法
US5077085A (en) 1987-03-06 1991-12-31 Schnur Joel M High resolution metal patterning of ultra-thin films on solid substrates
US4824763A (en) 1987-07-30 1989-04-25 Ekc Technology, Inc. Triamine positive photoresist stripping composition and prebaking process
US4814243A (en) 1987-09-08 1989-03-21 American Telephone And Telegraph Company Thermal processing of photoresist materials
US4834834A (en) 1987-11-20 1989-05-30 Massachusetts Institute Of Technology Laser photochemical etching using surface halogenation
US4845053A (en) 1988-01-25 1989-07-04 John Zajac Flame ashing process for stripping photoresist
KR920004176B1 (ko) 1988-03-16 1992-05-30 후지쓰 가부시끼가이샤 레지스트 패턴 형성 공정
US4940854A (en) 1988-07-13 1990-07-10 Minnesota Mining And Manufacturing Company Organic thin film controlled molecular epitaxy
US5094936A (en) 1988-09-16 1992-03-10 Texas Instruments Incorporated High pressure photoresist silylation process and apparatus
JPH04226462A (ja) 1990-06-29 1992-08-17 Fujitsu Ltd レジスト材料およびそれを用いるレジストパターンの形成方法
EP0465064B1 (en) 1990-06-29 1998-12-09 Fujitsu Limited Process for forming patterns
KR960000375B1 (ko) 1991-01-22 1996-01-05 가부시끼가이샤 도시바 반도체장치의 제조방법
US5322765A (en) 1991-11-22 1994-06-21 International Business Machines Corporation Dry developable photoresist compositions and method for use thereof
US6013418A (en) 1992-04-29 2000-01-11 Lucent Technologies Inc. Method for developing images in energy sensitive materials
GEP20002074B (en) 1992-05-19 2000-05-10 Westaim Tech Inc Ca Modified Material and Method for its Production
JPH0637050A (ja) 1992-07-14 1994-02-10 Oki Electric Ind Co Ltd 半導体ウエハのドライエッチング装置
JP2601112B2 (ja) 1992-11-30 1997-04-16 日本電気株式会社 半導体装置の製造方法
JPH06232041A (ja) 1993-02-05 1994-08-19 Hitachi Ltd パターン形成方法
KR960010727B1 (ko) 1993-06-03 1996-08-07 현대전자산업 주식회사 반도체 제조용 포토레지스트 제거방법
EP0635884A1 (de) 1993-07-13 1995-01-25 Siemens Aktiengesellschaft Verfahren zur Herstellung eines Grabens in einem Substrat und dessen Verwendung in der Smart-Power-Technologie
JP3654597B2 (ja) 1993-07-15 2005-06-02 株式会社ルネサステクノロジ 製造システムおよび製造方法
JPH07106224A (ja) 1993-10-01 1995-04-21 Hitachi Ltd パターン形成方法
JP3309095B2 (ja) 1994-08-30 2002-07-29 株式会社日立製作所 ドライ現像方法及び半導体装置の製造方法
US5534312A (en) 1994-11-14 1996-07-09 Simon Fraser University Method for directly depositing metal containing patterned films
JP3258199B2 (ja) 1995-05-24 2002-02-18 沖電気工業株式会社 半導体装置のパターン形成方法
JPH08339950A (ja) 1995-06-09 1996-12-24 Sony Corp フォトレジストパターン形成方法及びフォトレジスト処理装置
US6007963A (en) 1995-09-21 1999-12-28 Sandia Corporation Method for extreme ultraviolet lithography
US20020031920A1 (en) 1996-01-16 2002-03-14 Lyding Joseph W. Deuterium treatment of semiconductor devices
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US5761023A (en) 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
JPH1041206A (ja) 1996-07-19 1998-02-13 Toshiba Corp 半導体処理装置および処理方法
US5914278A (en) 1997-01-23 1999-06-22 Gasonics International Backside etch process chamber and method
JPH10209133A (ja) 1997-01-28 1998-08-07 Toshiba Corp プラズマ灰化装置およびプラズマ灰化方法
US6261938B1 (en) 1997-02-12 2001-07-17 Quantiscript, Inc. Fabrication of sub-micron etch-resistant metal/semiconductor structures using resistless electron beam lithography
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6045877A (en) 1997-07-28 2000-04-04 Massachusetts Institute Of Technology Pyrolytic chemical vapor deposition of silicone films
US6057587A (en) 1997-08-28 2000-05-02 Vlsi Technology, Inc. Semiconductor device with anti-reflective structure
KR100265766B1 (ko) 1997-09-04 2000-09-15 윤종용 반도체장치 제조용 웨이퍼의 리워크방법 및 반도체장치의 제조방법
US6290779B1 (en) 1998-06-12 2001-09-18 Tokyo Electron Limited Systems and methods for dry cleaning process chambers
US6348239B1 (en) 2000-04-28 2002-02-19 Simon Fraser University Method for depositing metal and metal oxide films and patterned films
WO2000003058A1 (en) 1998-07-10 2000-01-20 Ball Semiconductor, Inc. Cvd photo resist and deposition
JP2002525841A (ja) 1998-09-16 2002-08-13 トーレックス・イクイップメント・コーポレーション 低圧における高速シリコン堆積法
JP2000305273A (ja) 1998-11-19 2000-11-02 Applied Materials Inc 遠紫外線ドライフォトリソグラフィー
KR100520670B1 (ko) 1999-05-06 2005-10-10 주식회사 하이닉스반도체 포토레지스트 패턴의 형성방법
JP2000356857A (ja) 1999-06-15 2000-12-26 Toshiba Electronic Engineering Corp パターン形成装置
US6582891B1 (en) 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
WO2001059825A1 (en) 2000-02-08 2001-08-16 Matrix Integrated Systems, Inc. Method for removing photoresist and residues from semiconductor device surfaces
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US20040191423A1 (en) 2000-04-28 2004-09-30 Ruan Hai Xiong Methods for the deposition of silver and silver oxide films and patterned films
US20060001064A1 (en) 2000-04-28 2006-01-05 Hill Ross H Methods for the lithographic deposition of ferroelectric materials
KR100406174B1 (ko) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
US20020015855A1 (en) 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
KR100620651B1 (ko) 2000-06-22 2006-09-13 주식회사 하이닉스반도체 반도체 소자의 미세패턴 제조방법
JP2002015971A (ja) 2000-06-27 2002-01-18 Matsushita Electric Ind Co Ltd パターン形成方法及び半導体装置の製造装置
KR100398312B1 (ko) 2000-06-30 2003-09-19 한국과학기술원 유기금속을 함유하고 있는 노르보넨 단량체, 이들의고분자 중합체를 함유하는 포토레지스트, 및 그제조방법과, 포토레지스트 패턴 형성방법
JP2002134402A (ja) 2000-08-15 2002-05-10 Tokyo Electron Ltd 基板処理方法及び基板処理装置
US6645677B1 (en) 2000-09-18 2003-11-11 Micronic Laser Systems Ab Dual layer reticle blank and manufacturing process
JP2002100558A (ja) 2000-09-26 2002-04-05 Nikon Corp 厚膜レジスト塗布方法
JP2002118096A (ja) 2000-10-06 2002-04-19 Sony Corp アッシング装置
US6368924B1 (en) 2000-10-31 2002-04-09 Motorola, Inc. Amorphous carbon layer for improved adhesion of photoresist and method of fabrication
EP1347468A4 (en) 2000-12-28 2005-04-20 Nissan Chemical Ind Ltd METHOD FOR MODELING THE CONTOURS OF AN ELECTRO-CONDUCTIVE TIN OXIDE LAYER
US6596641B2 (en) 2001-03-01 2003-07-22 Micron Technology, Inc. Chemical vapor deposition methods
US6797439B1 (en) 2001-03-30 2004-09-28 Schott Lithotec Ag Photomask with back-side anti-reflective layer and method of manufacture
US6686132B2 (en) 2001-04-20 2004-02-03 The Regents Of The University Of California Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake
US6933673B2 (en) 2001-04-27 2005-08-23 Semiconductor Energy Laboratory Co., Ltd. Luminescent device and process of manufacturing the same
US20020185067A1 (en) 2001-06-07 2002-12-12 International Business Machines Corporation Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US6926957B2 (en) 2001-06-29 2005-08-09 3M Innovative Properties Company Water-based ink-receptive coating
US6448097B1 (en) 2001-07-23 2002-09-10 Advanced Micro Devices Inc. Measure fluorescence from chemical released during trim etch
DE10138105A1 (de) 2001-08-03 2003-02-27 Infineon Technologies Ag Fotolack und Verfahren zum Strukturieren eines solchen Fotolacks
JP2003213001A (ja) 2001-11-13 2003-07-30 Sekisui Chem Co Ltd 光反応性組成物
JP2003280155A (ja) 2002-03-22 2003-10-02 Fuji Photo Film Co Ltd 自動現像装置
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
EP1498936B1 (en) 2002-04-11 2012-11-14 Hoya Corporation Reflection type mask blank and reflection type mask and production methods for them
JP3806702B2 (ja) 2002-04-11 2006-08-09 Hoya株式会社 反射型マスクブランクス及び反射型マスク及びそれらの製造方法並びに半導体の製造方法
US7169440B2 (en) 2002-04-16 2007-01-30 Tokyo Electron Limited Method for removing photoresist and etch residues
DE10219173A1 (de) 2002-04-30 2003-11-20 Philips Intellectual Property Verfahren zur Erzeugung von Extrem-Ultraviolett-Strahlung
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US20050142885A1 (en) 2002-08-30 2005-06-30 Tokyo Electron Limited Method of etching and etching apparatus
ATE419558T1 (de) 2002-10-21 2009-01-15 Nanoink Inc Verfahren zur herstellung von strukturen im nanometerbereich zur anwendung im bereich der maskenreparatur
US6624127B1 (en) 2002-11-15 2003-09-23 Intel Corporation Highly polar cleans for removal of residues from semiconductor structures
JP4153783B2 (ja) 2002-12-09 2008-09-24 株式会社東芝 X線平面検出器
JP4325301B2 (ja) 2003-01-31 2009-09-02 東京エレクトロン株式会社 載置台、処理装置及び処理方法
JP2004259786A (ja) 2003-02-24 2004-09-16 Canon Inc 露光装置
JP2006522480A (ja) 2003-03-31 2006-09-28 東京エレクトロン株式会社 多層フォトレジストのドライ現像のための方法及び装置
US20040203256A1 (en) 2003-04-08 2004-10-14 Seagate Technology Llc Irradiation-assisted immobilization and patterning of nanostructured materials on substrates for device fabrication
KR20060055547A (ko) * 2003-09-24 2006-05-23 히다치 가세고교 가부시끼가이샤 감광성 엘리먼트, 레지스트 패턴의 형성방법 및 프린트배선판의 제조방법
GB0323805D0 (en) 2003-10-10 2003-11-12 Univ Southampton Synthesis of germanium sulphide and related compounds
JP4313749B2 (ja) 2003-10-10 2009-08-12 エーエスエムエル ネザーランズ ビー.ブイ. 基板を支持部材上に配置する方法、及び基板ハンドラ
US7126128B2 (en) 2004-02-13 2006-10-24 Kabushiki Kaisha Toshiba Flat panel x-ray detector
JP4459666B2 (ja) 2004-03-12 2010-04-28 株式会社半導体エネルギー研究所 除去装置
JP5115798B2 (ja) 2004-09-01 2013-01-09 アクセリス テクノロジーズ インコーポレーテッド フォトレジストの除去速度を増加する装置及びプラズマアッシング方法
JP2006253282A (ja) 2005-03-09 2006-09-21 Ebara Corp 金属膜のパターン形成方法
US20060068173A1 (en) 2004-09-30 2006-03-30 Ebara Corporation Methods for forming and patterning of metallic films
US20060128127A1 (en) 2004-12-13 2006-06-15 Jung-Hun Seo Method of depositing a metal compound layer and apparatus for depositing a metal compound layer
US7885387B2 (en) 2004-12-17 2011-02-08 Osaka University Extreme ultraviolet light and X-ray source target and manufacturing method thereof
KR100601979B1 (ko) 2004-12-30 2006-07-18 삼성전자주식회사 반도체 웨이퍼의 베이킹 장치
KR100607201B1 (ko) 2005-01-04 2006-08-01 삼성전자주식회사 극자외선 리소그래피 공정에서 웨이퍼 상의 임계 치수편차를 보정하는 방법
US7381633B2 (en) 2005-01-27 2008-06-03 Hewlett-Packard Development Company, L.P. Method of making a patterned metal oxide film
US7365026B2 (en) 2005-02-01 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. CxHy sacrificial layer for cu/low-k interconnects
US7868304B2 (en) 2005-02-07 2011-01-11 Asml Netherlands B.V. Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby
US7608367B1 (en) * 2005-04-22 2009-10-27 Sandia Corporation Vitreous carbon mask substrate for X-ray lithography
TWI338171B (en) 2005-05-02 2011-03-01 Au Optronics Corp Display device and wiring structure and method for forming the same
JP2006310681A (ja) 2005-05-02 2006-11-09 Dainippon Screen Mfg Co Ltd 基板処理方法および装置
KR100705416B1 (ko) 2005-06-15 2007-04-10 삼성전자주식회사 포토레지스트 제거용 조성물, 이의 제조방법, 이를 이용한포토레지스트의 제거 방법 및 반도체 장치의 제조 방법
US7691559B2 (en) 2005-06-30 2010-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion lithography edge bead removal
JP4530933B2 (ja) 2005-07-21 2010-08-25 大日本スクリーン製造株式会社 基板熱処理装置
US7482280B2 (en) 2005-08-15 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a lithography pattern
JP4530980B2 (ja) 2005-08-26 2010-08-25 東京応化工業株式会社 膜形成用材料およびパターン形成方法
US7909960B2 (en) 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
JP5055743B2 (ja) * 2005-11-04 2012-10-24 セントラル硝子株式会社 含フッ素高分子コーティング用組成物、該コーティング用組成物を用いた含フッ素高分子膜の形成方法、ならびにフォトレジストまたはリソグラフィーパターンの形成方法。
US20070117040A1 (en) 2005-11-21 2007-05-24 International Business Machines Corporation Water castable-water strippable top coats for 193 nm immersion lithography
KR100891779B1 (ko) 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
JP2007207530A (ja) 2006-01-31 2007-08-16 Toshiba Corp 異方性導電膜及びこれを用いたx線平面検出器、赤外線平面検出器及び表示装置
US7662718B2 (en) 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
US7682659B1 (en) 2006-04-10 2010-03-23 The Regents Of The University Of California Fabrication of suspended carbon micro and nanoscale structures
CN101180532A (zh) * 2006-04-20 2008-05-14 多弗电子股份有限公司 用于恶劣环境的涂层以及使用所述涂层的传感器
KR100721206B1 (ko) 2006-05-04 2007-05-23 주식회사 하이닉스반도체 반도체소자의 스토리지노드 컨택 형성방법
US20070287073A1 (en) 2006-06-07 2007-12-13 Francis Goodwin Lithography systems and methods
JP2009543159A (ja) 2006-07-10 2009-12-03 ピクセリジェント・テクノロジーズ・エルエルシー リソグラフィ用レジスト
US7534627B2 (en) 2006-08-07 2009-05-19 Sokudo Co., Ltd. Methods and systems for controlling critical dimensions in track lithography tools
US7718542B2 (en) 2006-08-25 2010-05-18 Lam Research Corporation Low-k damage avoidance during bevel etch processing
US7771895B2 (en) 2006-09-15 2010-08-10 Applied Materials, Inc. Method of etching extreme ultraviolet light (EUV) photomasks
JP2008091215A (ja) 2006-10-02 2008-04-17 Nitto Kasei Co Ltd 酸化錫膜形成剤、該酸化錫膜形成剤を用いる酸化錫膜形成方法、及び該形成方法により形成される酸化錫膜
JP4428717B2 (ja) 2006-11-14 2010-03-10 東京エレクトロン株式会社 基板処理方法及び基板処理システム
JP5132920B2 (ja) 2006-11-22 2013-01-30 東京エレクトロン株式会社 塗布・現像装置および基板搬送方法、ならびにコンピュータプログラム
TW200903192A (en) 2007-01-17 2009-01-16 Sony Corp Developing solution and method for production of finely patterned material
KR101392291B1 (ko) * 2007-04-13 2014-05-07 주식회사 동진쎄미켐 포토레지스트 조성물 및 이를 이용한 박막트랜지스터기판의 제조방법
US8105660B2 (en) * 2007-06-28 2012-01-31 Andrew W Tudhope Method for producing diamond-like carbon coatings using PECVD and diamondoid precursors on internal surfaces of a hollow component
WO2009049048A2 (en) 2007-10-12 2009-04-16 Ultradots, Inc. Solar modules with enhanced efficiencies via use of spectral concentrators
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
KR100921932B1 (ko) 2007-10-25 2009-10-15 포항공과대학교 산학협력단 다원자분자를 이용한 패터닝방법
SG153748A1 (en) 2007-12-17 2009-07-29 Asml Holding Nv Lithographic method and apparatus
CN101910456A (zh) * 2007-12-20 2010-12-08 贝卡尔特股份有限公司 涂覆有无定形氢化碳的基材
US20090197086A1 (en) 2008-02-04 2009-08-06 Sudha Rathi Elimination of photoresist material collapse and poisoning in 45-nm feature size using dry or immersion lithography
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
JP4978501B2 (ja) * 2008-02-14 2012-07-18 日本電気株式会社 熱型赤外線検出器及びその製造方法
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5017147B2 (ja) 2008-03-06 2012-09-05 東京エレクトロン株式会社 基板の処理方法、プログラム及びコンピュータ記憶媒体及び基板処理システム
US7985513B2 (en) 2008-03-18 2011-07-26 Advanced Micro Devices, Inc. Fluorine-passivated reticles for use in lithography and methods for fabricating the same
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US20090286397A1 (en) 2008-05-15 2009-11-19 Lam Research Corporation Selective inductive double patterning
JP2009294439A (ja) 2008-06-05 2009-12-17 Toshiba Corp レジストパターン形成方法
JP5171422B2 (ja) 2008-06-19 2013-03-27 ルネサスエレクトロニクス株式会社 感光性組成物、これを用いたパターン形成方法、半導体素子の製造方法
US20090321707A1 (en) 2008-06-25 2009-12-31 Matthew Metz Intersubstrate-dielectric nanolaminate layer for improved temperature stability of gate dielectric films
US20090325387A1 (en) 2008-06-26 2009-12-31 Applied Materials, Inc. Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
JP5391594B2 (ja) 2008-07-02 2014-01-15 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4966922B2 (ja) 2008-07-07 2012-07-04 東京エレクトロン株式会社 レジスト処理装置、レジスト塗布現像装置、およびレジスト処理方法
KR20110050427A (ko) 2008-07-14 2011-05-13 아사히 가라스 가부시키가이샤 Euv 리소그래피용 반사형 마스크 블랭크 및 euv 리소그래피용 반사형 마스크
KR20110046439A (ko) 2008-07-24 2011-05-04 코비오 인코포레이티드 알루미늄 잉크 및 이의 제조 방법, 알루미늄 잉크 증착 방법 및 알루미늄 잉크의 인쇄 및/또는 증착에 의해 형성된 필름
US8435723B2 (en) 2008-09-11 2013-05-07 Nikon Corporation Pattern forming method and device production method
US9257142B2 (en) 2008-10-14 2016-02-09 Asahi Kasei E-Materials Corporation Heat-reactive resist material, layered product for thermal lithography using the material, and method of manufacturing a mold using the material and layered product
US8105954B2 (en) 2008-10-20 2012-01-31 aiwan Semiconductor Manufacturing Company, Ltd. System and method of vapor deposition
JP5225815B2 (ja) 2008-11-19 2013-07-03 東京エレクトロン株式会社 インターフェイス装置、基板を搬送する方法及びコンピュータ可読記憶媒体
US7977235B2 (en) 2009-02-02 2011-07-12 Tokyo Electron Limited Method for manufacturing a semiconductor device with metal-containing cap layers
JP4880004B2 (ja) 2009-02-06 2012-02-22 東京エレクトロン株式会社 基板処理システム
JP2010239087A (ja) * 2009-03-31 2010-10-21 Tokyo Electron Ltd 基板支持装置及び基板支持方法
JP5193121B2 (ja) 2009-04-17 2013-05-08 東京エレクトロン株式会社 レジスト塗布現像方法
US8114306B2 (en) 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
US20100310790A1 (en) 2009-06-09 2010-12-09 Nanya Technology Corporation Method of forming carbon-containing layer
TW201131005A (en) 2009-09-29 2011-09-16 Tokyo Electron Ltd Process for production of ni film
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
WO2011081151A1 (ja) 2009-12-28 2011-07-07 旭硝子株式会社 感光性組成物、隔壁、カラーフィルタおよび有機el素子
JP5544914B2 (ja) 2010-02-15 2014-07-09 大日本印刷株式会社 反射型マスクの製造方法
JP5003773B2 (ja) 2010-02-15 2012-08-15 東京エレクトロン株式会社 現像装置、現像方法及び記憶媒体
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
KR20130115085A (ko) 2010-04-30 2013-10-21 어플라이드 머티어리얼스, 인코포레이티드 개선된 스택 결함을 위한 비결정질 탄소 증착 방법
JP5392190B2 (ja) 2010-06-01 2014-01-22 東京エレクトロン株式会社 基板処理システム及び基板処理方法
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US8138097B1 (en) 2010-09-20 2012-03-20 Kabushiki Kaisha Toshiba Method for processing semiconductor structure and device based on the same
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8470711B2 (en) 2010-11-23 2013-06-25 International Business Machines Corporation Tone inversion with partial underlayer etch for semiconductor device formation
US20110147350A1 (en) 2010-12-03 2011-06-23 Uvtech Systems Inc. Modular apparatus for wafer edge processing
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
JP5572560B2 (ja) 2011-01-05 2014-08-13 東京エレクトロン株式会社 成膜装置、基板処理システム、基板処理方法及び半導体装置の製造方法
US8836082B2 (en) 2011-01-31 2014-09-16 Brewer Science Inc. Reversal lithography approach by selective deposition of nanoparticles
US8778816B2 (en) 2011-02-04 2014-07-15 Applied Materials, Inc. In situ vapor phase surface activation of SiO2
JP5708522B2 (ja) 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
JP5842338B2 (ja) * 2011-02-17 2016-01-13 セイコーエプソン株式会社 波長可変干渉フィルター、光モジュール、および電子機器
US9281207B2 (en) 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
FR2975823B1 (fr) 2011-05-27 2014-11-21 Commissariat Energie Atomique Procede de realisation d'un motif a la surface d'un bloc d'un substrat utilisant des copolymeres a bloc
KR101295791B1 (ko) 2011-05-31 2013-08-09 세메스 주식회사 기판 처리 설비 및 기판 처리 방법
US8709706B2 (en) 2011-06-15 2014-04-29 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
EP2729844B1 (en) 2011-07-08 2021-07-28 ASML Netherlands B.V. Lithographic patterning process and resists to use therein
US8741775B2 (en) 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
CN102610516B (zh) 2011-07-22 2015-01-21 上海华力微电子有限公司 一种提高光刻胶与金属/金属化合物表面之间粘附力的方法
EP2587518B1 (en) * 2011-10-31 2018-12-19 IHI Hauzer Techno Coating B.V. Apparatus and Method for depositing Hydrogen-free ta C Layers on Workpieces and Workpiece
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
WO2013078211A1 (en) 2011-11-21 2013-05-30 Brewer Science Inc. Assist layers for euv lithography
US8809994B2 (en) 2011-12-09 2014-08-19 International Business Machines Corporation Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate
US20130177847A1 (en) 2011-12-12 2013-07-11 Applied Materials, Inc. Photoresist for improved lithographic control
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
EP2608247A1 (en) 2011-12-21 2013-06-26 Imec EUV photoresist encapsulation
JP5705103B2 (ja) 2011-12-26 2015-04-22 株式会社東芝 パターン形成方法
JP5919896B2 (ja) 2011-12-28 2016-05-18 住友ベークライト株式会社 硬化膜の処理方法および半導体装置の製造方法
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
KR101920711B1 (ko) 2012-01-16 2018-11-22 삼성전자주식회사 박막 패터닝 방법 및 이를 이용한 반도체소자의 제조방법
SG193093A1 (en) 2012-02-13 2013-09-30 Novellus Systems Inc Method for etching organic hardmasks
CN103243310B (zh) 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
US8703386B2 (en) 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
US9048294B2 (en) 2012-04-13 2015-06-02 Applied Materials, Inc. Methods for depositing manganese and manganese nitrides
US20150125679A1 (en) 2012-05-14 2015-05-07 Konica Minolta, Inc. Gas barrier film, manufacturing method for gas barrier film, and electronic device
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
JP6034598B2 (ja) 2012-05-31 2016-11-30 ギガフォトン株式会社 Euv光生成装置の洗浄方法
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US8969997B2 (en) 2012-11-14 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structures and methods of forming the same
US8927989B2 (en) 2012-11-28 2015-01-06 International Business Machines Corporation Voltage contrast inspection of deep trench isolation
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
CN104853855B (zh) 2012-12-18 2020-07-24 海星化学有限公司 用于薄膜沉积反应器和薄膜层的原位干式清洁的过程和方法
JP5913077B2 (ja) 2012-12-18 2016-04-27 信越化学工業株式会社 ポジ型レジスト材料及びこれを用いたパターン形成方法
JP6134522B2 (ja) 2013-01-30 2017-05-24 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
JP6068171B2 (ja) 2013-02-04 2017-01-25 株式会社日立ハイテクノロジーズ 試料の処理方法および試料処理装置
US9304396B2 (en) * 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
CN105190847A (zh) 2013-03-08 2015-12-23 应用材料公司 具有适于保护抵抗氟等离子体的保护涂层的腔室部件
US9607904B2 (en) 2013-03-11 2017-03-28 Intermolecular, Inc. Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9411237B2 (en) 2013-03-14 2016-08-09 Applied Materials, Inc. Resist hardening and development processes for semiconductor device manufacturing
US10953441B2 (en) 2013-03-15 2021-03-23 Kla Corporation System and method for cleaning optical surfaces of an extreme ultraviolet optical system
US10074544B2 (en) 2013-04-23 2018-09-11 Massachusetts Institute Of Technology Developer free positive tone lithography by thermal direct write
JP6242095B2 (ja) 2013-06-28 2017-12-06 株式会社日立国際電気 クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム
US20150020848A1 (en) 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9372402B2 (en) 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
US9405204B2 (en) 2013-09-18 2016-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of overlay in extreme ultra-violet (EUV) lithography
US9653319B2 (en) 2013-11-08 2017-05-16 Tokyo Electron Limited Method for using post-processing methods for accelerating EUV lithography
JP5917477B2 (ja) 2013-11-29 2016-05-18 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US9305839B2 (en) 2013-12-19 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Curing photo resist for improving etching selectivity
US9324606B2 (en) 2014-01-09 2016-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned repairing process for barrier layer
KR102306612B1 (ko) 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
TWI600966B (zh) 2014-02-21 2017-10-01 東京威力科創股份有限公司 光敏化學增幅型光阻材料及使用該光阻材料之圖案形成方法、半導體器件、光微影用光罩,以及奈米壓印用模板
US9618848B2 (en) 2014-02-24 2017-04-11 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
KR102233577B1 (ko) 2014-02-25 2021-03-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9977331B2 (en) 2014-02-26 2018-05-22 Nissan Chemical Industries, Ltd. Resist overlayer film forming composition and method for producing semiconductor device including the same
US10685846B2 (en) 2014-05-16 2020-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor integrated circuit fabrication with pattern-reversing process
US9377692B2 (en) 2014-06-10 2016-06-28 Applied Materials, Inc. Electric/magnetic field guided acid diffusion
WO2016007303A1 (en) 2014-07-08 2016-01-14 Tokyo Electron Limited Negative tone developer compatible photoresist composition and methods of use
GB201412201D0 (en) 2014-07-09 2014-08-20 Isis Innovation Two-step deposition process
US20160041471A1 (en) 2014-08-07 2016-02-11 International Business Machines Corporation Acidified conductive water for developer residue removal
JP6456967B2 (ja) 2014-09-02 2019-01-23 富士フイルム株式会社 パターン形成方法、電子デバイスの製造方法、レジスト組成物、及び、レジスト膜
WO2016043200A1 (ja) 2014-09-17 2016-03-24 Jsr株式会社 パターン形成方法
US20160086864A1 (en) 2014-09-24 2016-03-24 Lam Research Corporation Movable gas nozzle in drying module
JP6314779B2 (ja) 2014-10-01 2018-04-25 東京エレクトロン株式会社 液処理方法、記憶媒体及び液処理装置
KR20230156842A (ko) 2014-10-23 2023-11-14 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9551924B2 (en) 2015-02-12 2017-01-24 International Business Machines Corporation Structure and method for fixing phase effects on EUV mask
KR102517882B1 (ko) 2015-03-09 2023-04-03 버슘머트리얼즈 유에스, 엘엘씨 저항성 랜덤 액세스 메모리로서 사용하기 위한 다공성 유기실리케이트 유리 막을 증착시키는 방법
JP6404757B2 (ja) * 2015-03-27 2018-10-17 信越化学工業株式会社 レジスト下層膜材料用重合体、レジスト下層膜材料、及びパターン形成方法
US9607834B2 (en) 2015-04-02 2017-03-28 Tokyo Electron Limited Trench and hole patterning with EUV resists using dual frequency capacitively coupled plasma (CCP)
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
DE102015208492A1 (de) 2015-05-07 2016-11-10 Reiner Diefenbach Endlager für die Lagerung von radioaktivem Material, sowie Verfahren zu seiner Herstellung
JP6494417B2 (ja) 2015-05-20 2019-04-03 株式会社ディスコ プラズマエッチング装置
US9829790B2 (en) 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
US9659771B2 (en) 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
JP6817692B2 (ja) 2015-08-27 2021-01-20 東京エレクトロン株式会社 プラズマ処理方法
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
JP6805244B2 (ja) 2015-10-13 2020-12-23 インプリア・コーポレイションInpria Corporation 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
EP3382452B1 (en) 2015-11-25 2021-03-10 Osaka University Resist-pattern formation method and resist material
JP6603115B2 (ja) 2015-11-27 2019-11-06 信越化学工業株式会社 ケイ素含有縮合物、ケイ素含有レジスト下層膜形成用組成物、及びパターン形成方法
US10503070B2 (en) 2015-12-10 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
JP6517678B2 (ja) * 2015-12-11 2019-05-22 株式会社Screenホールディングス 電子デバイスの製造方法
NL2018040A (en) 2015-12-23 2017-06-28 Asml Netherlands Bv Method for removing photosensitive material on a substrate
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
JP6742748B2 (ja) 2016-02-17 2020-08-19 株式会社Screenホールディングス 現像ユニット、基板処理装置、現像方法および基板処理方法
US10018920B2 (en) 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
GB201603988D0 (en) * 2016-03-08 2016-04-20 Semblant Ltd Plasma deposition method
CN108780739B (zh) 2016-03-11 2023-09-15 因普里亚公司 预图案化光刻模板、基于使用该模板的辐射图案化的工艺及形成该模板的工艺
WO2017176282A1 (en) 2016-04-08 2017-10-12 Intel Corporation Two-stage bake photoresist with releasable quencher
WO2017188451A1 (ja) 2016-04-28 2017-11-02 三菱瓦斯化学株式会社 レジスト下層膜形成用組成物、リソグラフィー用下層膜、及び、パターン形成方法
CN109154772B (zh) 2016-05-19 2023-11-07 Asml荷兰有限公司 抗蚀剂组合物
JP2017222928A (ja) 2016-05-31 2017-12-21 東京エレクトロン株式会社 表面処理による選択的堆積
EP3258317B1 (en) 2016-06-16 2022-01-19 IMEC vzw Method for performing extreme ultra violet (euv) lithography
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
WO2018004551A1 (en) 2016-06-28 2018-01-04 Intel Corporation Polysilane-, polygermane-, and polystannane-based materials for euv and ebeam lithography
WO2018004646A1 (en) 2016-07-01 2018-01-04 Intel Corporation Metal oxide resist materials
US10866516B2 (en) 2016-08-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
JP2018025686A (ja) 2016-08-10 2018-02-15 株式会社リコー 電界効果型トランジスタの製造方法、位置合わせ方法、露光装置
TWI725220B (zh) 2016-08-12 2021-04-21 美商因普利亞公司 減少邊緣珠區域中來自含金屬光阻劑之金屬殘留物的方法
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
KR20190036547A (ko) 2016-09-29 2019-04-04 후지필름 가부시키가이샤 처리액, 및 적층체의 처리 방법
KR101966808B1 (ko) 2016-09-30 2019-04-08 세메스 주식회사 기판 세정 조성물, 기판 처리 방법 및 기판 처리 장치
KR102614850B1 (ko) 2016-10-05 2023-12-18 삼성전자주식회사 반도체 소자 제조방법
US10755942B2 (en) 2016-11-02 2020-08-25 Massachusetts Institute Of Technology Method of forming topcoat for patterning
US10520821B2 (en) 2016-11-29 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process with enhanced etch selectivity
US10510538B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing EUV-induced material property changes
JP6781031B2 (ja) 2016-12-08 2020-11-04 東京エレクトロン株式会社 基板処理方法及び熱処理装置
US9929012B1 (en) 2016-12-14 2018-03-27 International Business Machines Corporation Resist having tuned interface hardmask layer for EUV exposure
US10866511B2 (en) 2016-12-15 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with developer composition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
KR102047538B1 (ko) 2017-02-03 2019-11-21 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10096477B2 (en) 2017-02-15 2018-10-09 International Business Machines Corporation Method to improve adhesion of photoresist on silicon substrate for extreme ultraviolet and electron beam lithography
WO2018173446A1 (ja) 2017-03-22 2018-09-27 Jsr株式会社 パターン形成方法
JP2020095068A (ja) 2017-03-31 2020-06-18 富士フイルム株式会社 パターン形成方法、電子デバイスの製造方法
US20180308687A1 (en) 2017-04-24 2018-10-25 Lam Research Corporation Euv photopatterning and selective deposition for negative pattern mask
KR102030056B1 (ko) 2017-05-02 2019-11-11 세메스 주식회사 챔버 세정 방법, 기판 처리 방법, 그리고 기판 처리 장치
US10553409B2 (en) 2017-05-12 2020-02-04 Tokyo Electron Limited Method of cleaning plasma processing apparatus
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
CN108962986B (zh) 2017-05-18 2021-07-06 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
JP6852566B2 (ja) 2017-05-26 2021-03-31 大日本印刷株式会社 パターン形成方法、凹凸構造体の製造方法、レプリカモールドの製造方法、レジストパターン改質装置及びパターン形成システム
US10954129B2 (en) * 2017-06-08 2021-03-23 Applied Materials, Inc. Diamond-like carbon as mandrel
CA2975104A1 (en) 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide
JP6579173B2 (ja) 2017-09-19 2019-09-25 セイコーエプソン株式会社 電気光学装置、電気光学装置の駆動方法、及び、電子機器
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US20190131130A1 (en) 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition
KR102067081B1 (ko) * 2017-11-01 2020-01-16 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
KR102634520B1 (ko) 2017-11-20 2024-02-06 인프리아 코포레이션 유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
SG11202005150YA (en) * 2017-12-01 2020-06-29 Applied Materials Inc Highly etch selective amorphous carbon film
WO2019111727A1 (ja) 2017-12-06 2019-06-13 Jsr株式会社 感放射線性組成物及びレジストパターン形成方法
KR102632799B1 (ko) 2017-12-18 2024-02-01 도쿄엘렉트론가부시키가이샤 리소그래피를 위한 표면 접착력을 강화하기 위한 플라즈마 처리 방법
US10347486B1 (en) 2017-12-19 2019-07-09 International Business Machines Corporation Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
KR102540963B1 (ko) * 2017-12-27 2023-06-07 삼성전자주식회사 미세 패턴 형성 방법 및 기판 처리 장치
KR20190085654A (ko) 2018-01-11 2019-07-19 삼성전자주식회사 반도체 소자의 제조 방법
JP7005369B2 (ja) 2018-02-05 2022-01-21 キオクシア株式会社 薬液塗布装置および半導体デバイスの製造方法
JP7340524B2 (ja) 2018-02-13 2023-09-07 エーエスエムエル ネザーランズ ビー.ブイ. Euvチャンバにおける構造物表面の洗浄
CN111742262A (zh) 2018-02-22 2020-10-02 株式会社大赛璐 基板亲水化处理剂
CN111937122A (zh) 2018-03-30 2020-11-13 朗姆研究公司 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化
TWI778248B (zh) 2018-04-05 2022-09-21 美商英培雅股份有限公司 錫十二聚物及具有強euv吸收的輻射可圖案化塗層
US10787466B2 (en) 2018-04-11 2020-09-29 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US11673903B2 (en) 2018-04-11 2023-06-13 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
JP7101036B2 (ja) 2018-04-26 2022-07-14 東京エレクトロン株式会社 処理液供給装置及び処理液供給方法
US20190348292A1 (en) * 2018-05-10 2019-11-14 International Business Machines Corporation Transferring euv resist pattern to eliminate pattern transfer defectivity
KR20200144580A (ko) 2018-05-11 2020-12-29 램 리써치 코포레이션 Euv 패터닝 가능한 하드 마스크들을 제조하기 위한 방법들
WO2019222320A1 (en) 2018-05-16 2019-11-21 Applied Materials, Inc. Atomic layer self aligned substrate processing and integrated toolset
WO2019230462A1 (ja) 2018-05-29 2019-12-05 東京エレクトロン株式会社 基板処理方法、基板処理装置、及びコンピュータ読み取り可能な記録媒体
KR102211158B1 (ko) 2018-06-08 2021-02-01 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
EP3807721A4 (en) 2018-06-13 2022-04-13 Brewer Science, Inc. ADHESION LAYERS FOR EXTREME UV LITHOGRAPHY
US11393703B2 (en) 2018-06-18 2022-07-19 Applied Materials, Inc. Apparatus and method for controlling a flow process material to a deposition chamber
KR102536479B1 (ko) 2018-06-21 2023-05-24 인프리아 코포레이션 모노알킬 주석 알콕사이드 및 이들의 가수분해 및 축합 생성물의 안정적인 용액
US11437238B2 (en) 2018-07-09 2022-09-06 Applied Materials, Inc. Patterning scheme to improve EUV resist and hard mask selectivity
US11092889B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US10840082B2 (en) 2018-08-09 2020-11-17 Lam Research Corporation Method to clean SnO2 film from chamber
FI129480B (en) 2018-08-10 2022-03-15 Pibond Oy Silanol-containing organic-inorganic hybrid coatings for high-resolution patterning
US10838304B2 (en) 2018-08-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Priming material for organometallic resist
JP7241486B2 (ja) 2018-08-21 2023-03-17 東京エレクトロン株式会社 マスクの形成方法
JP7213642B2 (ja) 2018-09-05 2023-01-27 東京エレクトロン株式会社 レジスト膜の製造方法
TW202016279A (zh) 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
JP6816083B2 (ja) 2018-10-22 2021-01-20 キオクシア株式会社 半導体装置の製造方法
US10845704B2 (en) 2018-10-30 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance
JP6597872B2 (ja) 2018-11-13 2019-10-30 東京エレクトロン株式会社 基板処理方法
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
TW202038011A (zh) 2018-11-30 2020-10-16 台灣積體電路製造股份有限公司 製造半導體裝置的方法
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
CN109521657A (zh) * 2018-12-11 2019-03-26 中国科学院光电技术研究所 一种表面等离子体光刻中小分子光刻胶的干法显影方法
US20220020584A1 (en) 2018-12-20 2022-01-20 Lam Research Corporation Dry development of resists
US11498934B2 (en) 2019-01-30 2022-11-15 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with particulate contamination and corresponding methods
US11966158B2 (en) 2019-01-30 2024-04-23 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with low metal contamination and/or particulate contamination, and corresponding methods
EP3931863A4 (en) 2019-02-25 2023-04-26 Board of Regents, The University of Texas System LARGE SURFACE METROLOGY AND PROCESS CONTROL FOR ANISOTROPIC CHEMICAL ETCHING
KR20210129739A (ko) 2019-03-18 2021-10-28 램 리써치 코포레이션 극자외선 (Extreme Ultraviolet) 리소그래피 레지스트들의 거칠기 감소
US20200326627A1 (en) 2019-04-12 2020-10-15 Inpria Corporation Organometallic photoresist developer compositions and processing methods
US11935758B2 (en) 2019-04-29 2024-03-19 Lam Research Corporation Atomic layer etching for subtractive metal etch
KR20210149893A (ko) 2019-04-30 2021-12-09 램 리써치 코포레이션 극자외선 리소그래피 레지스트 개선을 위한 원자 층 에칭 및 선택적인 증착 프로세스
EP3990987A4 (en) 2019-06-26 2023-08-16 Lam Research Corporation DEVELOPMENT OF PHOTORESIST WITH HALOGEN CHEMICALS
CN114365044A (zh) 2019-06-27 2022-04-15 朗姆研究公司 用于光致抗蚀剂干式沉积的设备
WO2020264556A1 (en) 2019-06-28 2020-12-30 Lam Research Corporation Bake strategies to enhance lithographic performance of metal-containing resist
TW202113506A (zh) 2019-06-28 2021-04-01 美商蘭姆研究公司 光阻膜的乾式腔室清潔
CN114270266A (zh) 2019-06-28 2022-04-01 朗姆研究公司 具有多个图案化辐射吸收元素和/或竖直组成梯度的光致抗蚀剂
TW202104645A (zh) 2019-07-09 2021-02-01 荷蘭商Asm Ip私人控股有限公司 包括光阻底層之結構及其形成方法
US11782345B2 (en) 2019-08-05 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom antireflective coating materials
CN114730133A (zh) 2019-10-02 2022-07-08 朗姆研究公司 利用用于高性能euv光致抗蚀剂的高euv吸收剂的衬底表面改性
TW202132621A (zh) 2019-10-08 2021-09-01 美商蘭姆研究公司 Cvd euv 阻劑膜的正調性顯影
EP3908882A4 (en) 2020-01-15 2022-03-16 Lam Research Corporation UNDERCOAT FOR PHOTOCOAT ADHESION AND DOSE REDUCTION
WO2021158433A1 (en) 2020-02-04 2021-08-12 Lam Research Corporation Post application/exposure treatments to improve dry development performance of metal-containing euv resist
KR20220147617A (ko) 2020-03-02 2022-11-03 인프리아 코포레이션 무기 레지스트 패터닝을 위한 공정 환경
US11705332B2 (en) 2020-03-30 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US11822237B2 (en) 2020-03-30 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
WO2021202146A1 (en) 2020-03-30 2021-10-07 Lam Research Corporation Structure and method to achieve positive tone dry develop by a hermetic overlayer
WO2021202681A1 (en) 2020-04-03 2021-10-07 Lam Research Corporation Pre-exposure photoresist curing to enhance euv lithographic performance
US20230314954A1 (en) 2020-06-22 2023-10-05 Lam Research Corporation Dry backside and bevel edge clean of photoresist
US20230230811A1 (en) 2020-06-22 2023-07-20 Lam Research Corporation Surface modification for metal-containing photoresist deposition
US11621172B2 (en) 2020-07-01 2023-04-04 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists
CN115004110A (zh) 2020-07-07 2022-09-02 朗姆研究公司 用于图案化辐射光致抗蚀剂图案化的集成干燥工艺
CN116171403A (zh) 2020-07-17 2023-05-26 朗姆研究公司 来自Sn(II)前体的光致抗蚀剂
US20230288798A1 (en) 2020-07-17 2023-09-14 Lam Research Corporation Photoresists containing tantalum
CN116194838A (zh) 2020-07-17 2023-05-30 朗姆研究公司 利用有机共反应物的干式沉积光致抗蚀剂
JP2023535349A (ja) 2020-07-17 2023-08-17 ラム リサーチ コーポレーション 感光性ハイブリッド膜の形成方法
WO2022016126A1 (en) 2020-07-17 2022-01-20 Lam Research Corporation Metal chelators for development of metal-containing photoresist
WO2022103764A1 (en) 2020-11-13 2022-05-19 Lam Research Corporation Process tool for dry removal of photoresist
WO2022125388A1 (en) 2020-12-08 2022-06-16 Lam Research Corporation Photoresist development with organic vapor

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI839027B (zh) 2021-12-17 2024-04-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 含碘碳膜之沈積

Also Published As

Publication number Publication date
EP3908882A1 (en) 2021-11-17
US20220035247A1 (en) 2022-02-03
JP2022101465A (ja) 2022-07-06
KR20210135004A (ko) 2021-11-11
KR102539806B1 (ko) 2023-06-05
US20220043334A1 (en) 2022-02-10
TWI828961B (zh) 2024-01-11
JP7419326B2 (ja) 2024-01-22
TW202212958A (zh) 2022-04-01
CN113574456A (zh) 2021-10-29
EP3908882A4 (en) 2022-03-16
CN114200776A (zh) 2022-03-18
KR20210124492A (ko) 2021-10-14
CN116705595A (zh) 2023-09-05
CN113574456B (zh) 2023-05-26
KR102431292B1 (ko) 2022-08-09
SG11202108851RA (en) 2021-09-29
JP2023138626A (ja) 2023-10-02
JP2022534843A (ja) 2022-08-04
US11988965B2 (en) 2024-05-21
KR20220115628A (ko) 2022-08-17
US11314168B2 (en) 2022-04-26
WO2021146138A1 (en) 2021-07-22
TWI795094B (zh) 2023-03-01
JP7189375B2 (ja) 2022-12-13

Similar Documents

Publication Publication Date Title
TWI795094B (zh) 處理設備、圖案化結構及其製造方法
KR102474327B1 (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
CN107680903B (zh) 用于半导体图案化应用的掺杂ald膜
KR102514839B1 (ko) Ald 갭충진 스페이서 마스크를 사용하는 자기-정렬된 다중 패터닝 프로세스 플로우
TW201834008A (zh) 用於高模數原子層沉積二氧化矽間隔件的方法
TW201700786A (zh) 超薄原子層沉積膜精度厚度控制
CN114730133A (zh) 利用用于高性能euv光致抗蚀剂的高euv吸收剂的衬底表面改性
WO2022016127A1 (en) Photoresists from sn(ii) precursors
KR20240063062A (ko) 고종횡비 실린더 에칭을 위해 측벽 패시베이션 증착 컨포멀성을 튜닝하는 기법
TW202417974A (zh) 圖案化結構及其製造方法、沉積底層之方法及處理基板之設備
WO2024064071A1 (en) Bake-sensitive underlayers to reduce dose to size of euv photoresist
TW202401131A (zh) 含金屬光阻的顯影後處理
TW202340879A (zh) 高吸收性含金屬光阻的顯影策略
TW202246893A (zh) 具有量子效率之光阻及其方法
WO2023178273A1 (en) Reducing capacitance in semiconductor devices
CN115702474A (zh) 高选择性掺杂硬掩模膜