JP2002525841A - 低圧における高速シリコン堆積法 - Google Patents

低圧における高速シリコン堆積法

Info

Publication number
JP2002525841A
JP2002525841A JP2000570390A JP2000570390A JP2002525841A JP 2002525841 A JP2002525841 A JP 2002525841A JP 2000570390 A JP2000570390 A JP 2000570390A JP 2000570390 A JP2000570390 A JP 2000570390A JP 2002525841 A JP2002525841 A JP 2002525841A
Authority
JP
Japan
Prior art keywords
wafer
gas
deposition
temperature
rate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000570390A
Other languages
English (en)
Inventor
クック,ロバート・シイ
ブロアズ,ダニエル・エル
Original Assignee
トーレックス・イクイップメント・コーポレーション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by トーレックス・イクイップメント・コーポレーション filed Critical トーレックス・イクイップメント・コーポレーション
Publication of JP2002525841A publication Critical patent/JP2002525841A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Formation Of Insulating Films (AREA)
  • Silicon Compounds (AREA)

Abstract

(57)【要約】 低圧における高速シリコン堆積方法であって、高度の温度およびガス流の均一性を有するCVDリアクタ(56)を運転する方法を含み、ウェーハ温度、ガス流および室圧の組み合わせを提供する運転方法である。この方法に従うと、基板(60)を真空室に置き、反応物ガスを、温度制御している複数のガス注入器(64)によって基板と並行に高速で供給し、堆積速度が未反応ガスの基板表面への配送速度と反応副生物の除去速度のみによって制限される条件を提供する。プロセス条件の組み合わせによって、ウェーハ表面における反応を堆積速度が結晶化速度を上回る状況に移し、非常に小さい結晶成長、したがって、表面粗さが2500Å厚のフィルムに対して概略5nm〜7nmの非常に平滑なポリシリコン・フィルムをもたらす。

Description

【発明の詳細な説明】
【0001】 (発明の背景) (発明の分野) 本発明は、一般的には、ドープしてないシリコンとドープしてあるシリコンの
気相成長(CVD)の方法に関し、より詳細には、低圧における高速の堆積でフ
ィルム特性の改良を達成する、流速、温度、圧力の新規な組み合わせを採用する
ドープしてないシリコンとドープしてあるシリコンのCVDの方法に関する。
【0002】 (従来技術の簡単な説明) 無定形シリコン、多結晶質シリコンおよびエピタキシ・シリコンは半導体装置
の製造において使用され、気相成長法によって基板(すなわちウェーハ)に堆積
する。そのような作業は様々な市販のホット・ウォール・リアクタおよびコール
ド・ウォール・リアクタ内で行われる。堆積は、基板を真空室に置き、その基板
を加熱し、そしてシランまたはジシラン、ジクロロシラン、四塩化ケイ素その他
類似の前駆物質のいずれかをその他のガスと共にまたはその他のガス無しで導入
して達成される。低圧法(1Torr未満)では、分当たり約30オングストロ
ーム〜200オングストロームの堆積速度が達成されることが、「Polycr
ystalline Silicon for Integrated Cir
cuit Applications」(29頁、T.Kamins著、Klu
wer Academic Publishers、1988年版)に記載され
ている。また、高圧(25〜350Torr)の方法で利用可能なものがいくつ
かあり、米国特許第5576059号、米国特許第5607724号、米国特許
第5614257号に詳細に記載されているように、分当たり約3,000オン
グストロームまでの堆積速度を達成できる。
【0003】 標準的従来技術である垂直炉低圧気相成長法(LPCVD)システムは、図1
に描いてあるが、複数の基板16を運ぶためのボート14を中に差し込んである
石英管10と室シール板12を備えた室を有する。シランまたはその他類似の前
駆物質および水素等キャリヤ・ガスおよびホスフィン等ドーパント・ガスは室シ
ール板12を通ってガス引き入れ管(複数の管のこともある)20からガス注入
管(複数の管のこともある)18に入る。ガスは作業室からシール板12を通っ
て排気口24の外に出る。ガスがガス注入管18から室の排気口24まで流れる
とき、よく知られたフィード・ガス濃度の減少を補償するために、複数の熱源2
6が別々に制御し調節することができる。このタイプの堆積システムでは一般に
200mTorr〜500mTorrの範囲(200×10-3Torr〜500
×10-3Torr)で運転する。シランまたはその他類似の前駆物質のこの低い
分圧での運転では、一般に純粋のシリコンで分当たり30オングストローム〜2
00オングストローム、ドーパント・ガスを導入する場合は5オングストローム
〜30オングストロームという低い堆積速度となる。反応物ガス濃度をもっと高
くした運転では、基板を横切る不均一な堆積と基板間の堆積速度に大きな違いを
もたらす。流速を増加すると高圧における堆積均一性を改良することができるが
、ガス流量の増加によって注入管の穴のところで反応性ガスが圧力を増加しガス
相の核生成をひき起こし、その結果粒子が基板上に堆積する。このリアクタと関
連するその他の問題として、石英管10の内側とガス注入管18の内側へのフィ
ルムの堆積が挙げられる。この好ましくない堆積は基板16の表面近くで反応性
フィード・ガス濃度の分圧を低下させて堆積速度を減少させ、管10および注入
管18の壁に堆積したフィルムがフレークになって剥がれ基板16に付着して起
こる汚染の可能性をもたらす。最後に、この型のリアクタの入り口から出口に行
く反応性化学種の浪費を埋め合わせるため、基板のロード・ゾーン間の温度勾配
を定め、均一な堆積速度の形を与える。しかしながら、これは別の問題を生み出
す。なぜなら、ポリシリコン堆積の場合、粒子の大きさは温度に依存するので、
この温度勾配によりポリシリコン粒子の大きさがロード・ゾーン中で変化するか
らである。複数の基板の中で粒子の大きさが基板によってこのように変化するこ
とは、ポリシリコンのその後のパタニングで問題を起こしたり、集積回路の電気
性能を変化させることになり得る。
【0004】 もう1つの従来技術によるリアクタが図2に示されており、米国特許第510
8792号に詳細が記載されている。回転する基板の担体30の上に基板28を
置き、上の石英ドーム32と下の石英ドーム34および付随する室の壁36を有
するしっかり真空にできる室に閉じ込める。基板28を上のランプ38と下のラ
ンプ40によって加熱する。反応物ガスをガス導入管42から注入し、排気管4
4から排気する。このリアクタは図1の垂直炉リアクタにおける限界のいくつか
に打ち勝つ。このリアクタは垂直のLPCVDより高圧で操作することができ、
注入管およびそれと関連する問題を持たない。このリアクタの構造並びに高圧(
一般に10Torrより高い)における高速の堆積については、米国特許第55
76059号、米国特許第5607724号、米国特許第5614257号に説
明されている。
【0005】 堆積速度の増加は、機械の生産性を高め、さらに重要なことには、基板が高温
、すなわち、>600℃、に曝される時間を減少させる。高温時間の減少は、半
導体装置の組み立てで装置の大きさが小さくなるにしたがって重要となる。高め
られた温度、すなわち、>600℃、は、多少でも時間が引き延ばされると、半
導体装置構造の好ましくない変化の原因となる。従来技術の高圧法の不利な点は
、高圧での運転がウェーハ上の粒子汚染をもたらすガス相反応の原因となり得る
ことである。
【0006】 Brors他による米国特許第5551985号には、ウェーハの加熱におけ
る改良された均一性およびウェーハ表面全体の高度に均一なガス流を提供するC
VDリアクタが記載されている。米国特許出願一連番号08/909,461(
1997年8月11日出願)、米国特許出願一連番号09/228,835(1
999年1月12日出願)、米国特許出願一連番号09/228,840(19
99年1月12日出願)は、その開示を本明細書に組み込むが、ウェーハ室につ
いて記載しており、関係ある方法がそこでも使用される。
【0007】 (発明の概要) シリコンの均一な堆積におけるさらなる改良を提供するCVDリアクタの運転
方法を提供することが本発明の1つの目的である。
【0008】 シリコン堆積の速度と均一性を最適化するCVDリアクタの運転方法を提供す
ることが本発明のさらなる目的である。
【0009】 作業間で高い度合いの堆積均一性をもたらすCVDリアクタの運転方法を提供
することが本発明のなおさらなる目的である。
【0010】 簡潔にいえば、本発明の好ましい実施形態としては、高度の温度とガス流の均
一性を有するCVDリアクタを運転する方法、つまり、ウェーハ温度、ガス流、
室圧の新規な組み合わせを提供する運転の方法が挙げられる。その方法に従えば
、ウェーハを真空室に置き、その中で、反応物ガス流を、堆積速度が未反応ガス
のウェーハ表面への放出速度および反応副生物の除去の速さによってのみ制限さ
れるようにする速度を選択して、複数の温度制御しているガス注入器によって、
ウェーハと並行の方向に向ける。このプロセス条件の新規な組み合わせは、ウェ
ーハ表面における反応を堆積速度が結晶化速度を超える状況のところに移して、
非常に小さい結晶成長、したがって、2500オングストローム厚のフィルムに
対しておよそ5nm〜7nmの表面粗さを有する非常に滑らかなポリシリコン・
フィルムをもたらす。この方法は「遷移」温度として知られる温度以下で運転す
るように設定し、その水準では、各フィルム層は無定形状態で堆積し、次いで堆
積が進行するにつれて、多結晶質構造のエネルギーが低いために、結晶化がおこ
る。その結果、シリコン・フィルムは堆積物質とウェーハ表面間の界面近くが結
晶性となり、堆積物質の表面上部付近は無定形となって、従来技術の市販の装置
で達成できるものよりはるかに平滑な表面をもたらす。
【0011】 本発明の1つの利点は、より平滑な堆積フィルム表面をもたらすことである。
【0012】 本発明のさらなる利点は、フィルム堆積のバッチ間均一性の改良をもたらす方
法を提供することである。
【0013】 本発明のなおさらなる利点は、改良されたフィルム平滑性と改良されたバッチ
間再現性を有する高速のシリコン堆積をもたらす方法を提供することである。
【0014】 (好ましい実施形態の詳細な説明) 本発明の方法をここで図3のフロー・チャートと関連しながら説明する。工程
は、ポリシリコンおよび/または無定形シリコンの堆積のために、ウェーハを堆
積室内の担体上に置く(46)ことから始まる。担体を回転させ(48)、加熱
する(50)。48と50の段階の順序は重要ではなく、その中で回転について
はシリコン堆積の均一性を促進することを目的とするものであって、反応物ガス
の注入前の何時開始してもよく、そのまま堆積中は持続する。ウェーハは、好ま
しくは、上、下、およびウェーハの縁の周りの熱源で、できるだけ均一に加熱す
る。ウェーハを加熱する温度は、好ましくは、「遷移」温度として知られる温度
より下で、シリコン堆積の好ましい温度範囲は500℃〜700℃である。遷移
温度については本明細書の以下の本文中でより十分に述べる。
【0015】 ウェーハが所望の温度になったら、シリコン堆積のためのプロセス・ガスの注
入を開始する(52)。室内のガス圧は3Torr未満の、いや好ましくは、1
Torr未満の圧力を選んで維持し、このガスを、好ましくは、注入口がウェー
ハの縁にきわめて接近してつけてある複数の冷却した注入ノズルを通して、流れ
がウェーハ表面と並行に接近して向くようにノズルを方向づけ、注入する。ガス
は10cm/秒を超える、好ましくは、少なくとも50cm/秒〜100cm/
秒の速度で、ウェーハ表面から最大でウェーハの上0.5インチ(約1.27c
m)〜1.5インチ(約3.81cm)までの空間領域に閉じ込めた狭い空間内
でウェーハ表面を横切って流れるように制御する。この利点と理由については以
下十分に説明する。ガスの速度は、ガスの滞留時間を500ミリ秒未満、好まし
くは、200ミリ秒未満に減少させるのに十分でなければならない。堆積の後、
ガスを切って室から排出し、回転を止め、ウェーハを取り除く(54)。
【0016】 本発明の方法で達成可能な結果は、図3と関連づけて上で説明したように、シ
リコンの堆積における主要な改良をしたことを示す。シリコン堆積またはシリコ
ン等の用語は、この開示においてはポリシリコン、無定形シリコン、およびドー
ピング物質を有するシリコンを含む一般的用語として使用している。従来技術の
項で述べたように、先行のシステムは、一般に10Torrを超える比較的高い
圧力で室を運転して高速のシリコン堆積(1,000Å/分〜3,000Å/分
)を達成している。そのような高い圧力において起こるシリコン堆積には、不利
な点があり、ウェーハ上に粒子の汚染を生成するガス相の反応の原因となり得る
。本発明の主要な利点は、この方法が非常に低い室圧において非常に高い堆積速
度を与え、非常に平滑で均一且つ一貫した表面をもたらすことである。本発明の
方法で、室圧が300mTorr〜700mTorrの範囲において、3,00
0Å/分の堆積速度が達成される。フィルムの均一性は、直径200mmのシリ
コン・ウェーハの中央とそのウェーハの縁から3mmの点の間で測定して、標準
的には1%である。このように性能が改良された理由を、重要なパラメータであ
る圧力、温度、ガスの流速の各々と関連づけて、ここで詳細に説明する。
【0017】 本発明によれば、ウェーハ/基板を、真空室内の回転できる担体の上に置く。
そこで、シランおよび必要があればドーパント・ガス等、シリコン堆積用の高速
反応物ガスを、回転している加熱ウェーハに比較的密着接近して、リアクタ/室
に入れる。このガスを10cm/秒を超える、好ましくは、50cm/秒〜10
0cm/秒またはそれ以上の速度でウェーハ全体に注入し、ウェーハ表面でガス
濃度を最大にするように、ウェーハ上の非常に狭い領域に閉じ込める。本方法に
従えば、反応物ガスは、好ましくは、ウェーハ表面から0.5インチ(約1.2
7cm)まで、または、ウェーハ上1.5インチ(約3.81cm)未満まで広
がる領域に閉じ込める。ウェーハ表面を通過する高速ガス流は、ウェーハ真上の
「境界層」として知られるものを希釈する効果を有する。境界層は好ましくない
反応副生物が集まる領域である。この層は普通反応物ガスの作用速度を低下させ
、それによって堆積速度を低下させる。本発明の高速ガス流は、好ましくない副
生物を払いのけ、境界層を希釈して、所望の反応物ガスが高い割合でウェーハ表
面に到達することを可能にする。すなわち、好ましい反応物種の相対濃度のさら
なる増加と堆積フィルム中の好ましくない反応副生物の合体の減少をもたらす。
【0018】 さらに均一で平滑なフィルムを達成するには、本発明の方法に関わる要素の組
み合わせを選択する。例えば、上記の早いガス流は、約260mTorrの室圧
および約650℃のプロセス温度と組み合わせると、堆積の性格が従来技術の性
格から変化する。すなわち、堆積速度が結晶化速度を上回る「遷移」温度として
知られる温度以下で反応が起きている状況に堆積を移し、著しく小さい結晶成長
の利点を提供する。その結果は、2,500Å厚のフィルムに対して概略5nm
〜7nmの表面粗さを持つ非常に平滑なポリシリコン・フィルムとなる。対照的
に、通常の従来技術の方法を用いた公称表面粗さは、T.Kamins著、「P
olycrystalline Silicon for Integrate
d Circuit Applications」の書籍の54頁に記されてい
るようにおよそ70nmである。
【0019】 「遷移」温度として知られる現象の本質と背景、および本発明の方法がどのよ
うにこの分野の仕事に寄与するかをここでさらに詳細に説明する。
【0020】 シリコン気相成長法(CVD)の従来技術による方法においては、一定の圧力
でシリコンをCVD堆積している間に、遷移温度が存在し、その温度を超えると
、堆積が進行するにつれて多結晶質構造物を堆積する。そのような場合、下にあ
るシリコン原子は堆積したシリコン原子のさらなる層によって覆われた後は再配
列することはない。これは粗いフィルム表面の原因となり、好ましくない結果で
ある。しかしながら、遷移温度より少し低いところで堆積したフィルムにおいて
は、フィルムの各層は無定形状態で堆積し、多結晶質構造のエネルギーが低いた
めに、堆積が進行するにしたがって結晶化がおこる。微結晶の核生成は低めのシ
リコン−シリコン・ジオキシド界面における不均一核生成によって最も起こり易
い。無定形シリコンの結晶化は、固相のエピタキシャル成長によって結晶領域が
フィルムまで広がりながら、このような最初の核から進行する。結晶化速度が堆
積速度より低いときは、結晶化の進行はシランの流れを止めて堆積を終えた後に
起こるその後の加熱の間も続くとしても、堆積中にはフィルムの低い部分のみが
(シリコン−シリコン・ジオキシド界面から始まって)結晶化する。したがって
、シリコンフィルムは、界面付近は結晶質であり、表面上部付近は無定形であっ
て非常に平滑な表面のきめをもたらす。これは現在入手可能な市販の装置で行う
通常のポリシリコン堆積フィルムから得られる標準的な値よりは5倍から10倍
平滑である。遷移温度以下で運転すれば平滑なフィルム表面をもたらすことは知
られているけれども、従来技術の装置と方法では堆積速度が非常に遅いので実用
的な応用でのそのような運転が可能にはなっていない。従来技術に従えば、3,
000Å/分の高い堆積速度は、10Torrより上の室圧でのみ可能である。
対照的に、本発明の方法は、反応物ガスの迅速な適用と好ましくない副生物の除
去、境界層の減少、500℃〜700℃の間および3Torr未満の圧力におけ
る運転を組み合わせたものとなっており、3,000Å/分を含む範囲の非常に
高い堆積速度での遷移温度以下の運転をもたらす。堆積シリコン層の不均一度は
、直径200mmのウェーハの中央とウェーハの縁から3mmの点の間で測定し
て、1.5%より少ない。表面粗さは、1Torr以下の室圧で堆積して、2,
500Å厚のフィルムに対して概略5nm〜7nmである。
【0021】 本発明の方法の開発は、図4の断面図で示すものと似たリアクタの使用を通じ
て達成された。この装置の構造は、米国特許第5551985号に詳細に記載さ
れている。この方法に従えば、反応物ガスは、ウェーハの縁に密着接近して注入
され、高速でウェーハ表面を横切って並行に向けられ、ウェーハ上の狭い領域に
閉じ込められる。図4は、ウェーハ60を乗せ回転できるサセプタ58を有する
リアクタ56を示す。複数の噴射口/開口部64がついているガス注入装置62
は、ウェーハの縁66に密着接近した位置にあり、反応物ガスがウェーハ60を
横切って並行に向かうように方向づけてある。ガスはさらにウェーハ表面上の幅
Dの狭い領域にウェーハの上に位置する熱版68によって閉じ込められる。板6
8の位置取りの実験により、最適の間隙Dは、0.5インチ(約1.27cm)
と1.5インチ(約3.81cm)の間にあることを決定した。同様に実験によ
って、ウェーハを横切る注入器62からのガス流のスピードは、上記の方法に従
うウェーハ表面への最適な反応物ガスの供給と反応副生物の除去を目的とすると
き、矢印70が指す方向に50cm/分〜100cm/分を超えるのが最適であ
ることが見出された。
【0022】 図1に関連して説明した操作の順序に従えば、基板/ウェーハは、まず担体5
8上に置き、次いで500℃と700℃の間の操作温度に持っていく。一般に、
図1の装置は、その温度に約20秒で到達することができる。図4に示すような
装置は、ヒータ72が上に、ヒータ74が下に、加熱ブロック76が担体の周り
に付属している。この組み合わせがウェーハ60の均一な加熱を与える。続いて
担体を約5RPMのスピードで回転させ、反応物ガスを注入する。本発明の方法
は、反応物ガスを基板上部の狭い領域に閉じ込めるということをして、室の表面
上への堆積を最小限にしている。図4の装置はさらに、反応物ガスが基板の下を
通過するのをさえぎることが、この目的に加わっている。これは非反応性ガス(
アルゴン)を低圧で、基板下の熱板78と下の石英窓80の間に注入することに
よって達成される。この装置の構造の詳細は、米国特許第5551985号に全
部が記載されている。
【0023】 本発明に従う方法の好ましい仕様を図5にまとめた。すなわち、シランの流速
は、250sccm〜700sccmの範囲、室圧は、200mTorr〜75
0mTorrの範囲、ガス速度は、ウェーハ上0.5インチ(約1.27cm)
に閉じ込めてガス滞留時間が200msec未満となるように100cm/秒を
超えること、ウェーハは、550℃〜700℃の範囲の温度に均一に加熱するこ
と、ウェーハの回転は5RPMの速度が好ましいことが挙げられる。その他の回
転速度もまた本発明の精神に含まれる。
【0024】 図4の装置を適用する方法が性能に寄与するその他の要因としては、複数の水
冷却型注入器ノズル、反応物ガスのウェーハ下への流れ防止、ウェーハの末端ま
で横切るように向け、ウェーハの末端に接近して位置取りしたガス・ノズル/噴
出口、上下およびウェーハの縁周りのヒータを用いる均一なウェーハ加熱が挙げ
られる。
【0025】 図6〜図10のグラフに様々な性能の要因を示してある。図6は、室圧が25
0mTorrにおけるシリコン堆積速度対ウェーハ温度の関係を示す。堆積速度
は、250mTorrにおいて温度に敏感に作用し、したがってかなり重要であ
ることがわかる。図7は、温度650℃における堆積速度対室圧の関係を示す。
【0026】 図8は、シランの流速を要因とする堆積速度を示す。これはウェーハ上のガス
速度に比例する。
【0027】 図9は、25個のウェーハに対して各ウェーハごとの堆積の厚さの違いをプロ
ットしたものである。最大のフィルム厚さの違いは、平均の違いが1.5%近辺
なのにたいし、約2.9%である。
【0028】 図10は、25個のウェーハを対象にしたウェーハ間の堆積フィルムの平均値
の違いを示す。バッチの平均値からの最大偏差は約2%である。
【0029】 以上本発明を特定の実施形態に関して述べたが、それらの変更および修正が当
業者にとって当然明白となることが予想される。したがって、そのような変更お
よび修正すべてが本発明の真の精神と範囲に入り、以下の請求項はそれらすべて
を含むものと解釈されるよう意図したものである。
【図面の簡単な説明】
【図1】 図1は、多重ウェーハ積み重ね用従来技術のリアクタを示す。
【図2】 図2は、単一ウェーハ用従来技術のリアクタを示す。
【図3】 図3は、本発明の好ましい実施形態の段階を示すフロー・チャートである。
【図4】 図4は、本発明の方法を実施するために使用することができるリアクタを示す
【図5】 図5は、本発明に従って行う操作のパラメータをまとめたものである。
【図6】 図6は、堆積速度対温度のグラフである。
【図7】 図7は、堆積速度対圧力のグラフである。
【図8】 図8は、堆積速度対シランの流速のグラフである。
【図9】 図9は、多数のウェーハを対象にしたフィルムの厚さの違いを示すプロットで
ある。
【図10】 図10は、多数のウェーハを対象にした各々のフィルムの厚さの違いを示すプ
ロットである。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ブロアズ,ダニエル・エル アメリカ合衆国・94550・カリフォルニア 州・リバーモア・アローヨ ヴィスタ・ 4771・スイート エイチ・トーレックス・ イクイップメント・コーポレーション内 Fターム(参考) 4K030 AA06 BA29 CA04 EA03 EA04 FA10 GA06 JA03 JA09 JA10 JA11 JA12 5F045 AA06 AB03 AB04 AC01 AD09 AD10 AD11 AE02 AE03 AE05 AE07 AE09 AE11 AE13 AE15 AE17 AE19 AE21 BB09 DP04 EF13 EK01 EM10

Claims (16)

    【特許請求の範囲】
  1. 【請求項1】 (a)堆積室内の担体上にウェーハを置くことと、 (b)前記担体を回転させることと、 (c)前記ウェーハを500℃以上で、それ以下ではシリコンが無定形状態で
    堆積する温度として定義される遷移温度より低い温度まで加熱することと、 (d)シリコン堆積用のプロセス・ガスを前記ウェーハの表面と並行に10c
    m/秒以上の速度で注入することと、 (e)室圧を3Torr未満の値に維持すること とを含むシリコンを堆積させる方法。
  2. 【請求項2】 前記ウェーハを、500℃と700℃の間の温度まで加熱す
    る請求項1に記載の方法。
  3. 【請求項3】 前記速度を、平均ガス滞留時間が500ミリ秒未満となるよ
    うに調節する請求項1に記載の方法。
  4. 【請求項4】 前記プロセス・ガスを、前記室中に250sccm〜700
    sccmの範囲の流速で導入する請求項1に記載の方法。
  5. 【請求項5】 前記ウェーハを、650℃〜700℃の範囲にある温度まで
    加熱する請求項1に記載の方法。
  6. 【請求項6】 前記室圧を、前記プロセス・ガスを前記室内に導入している
    間、200mTorrから750mTorrまでの範囲内に維持する請求項1に
    記載の方法。
  7. 【請求項7】 前記プロセス・ガスを、ウェーハの縁に密着接近している複
    数のガス・ノズルに導入する請求項1に記載の方法。
  8. 【請求項8】 ドーパント・ガスを、ウェーハの縁に密着接近しているガス
    ・ノズルに導入する請求項1に記載の方法。
  9. 【請求項9】 前記ガス・ノズルを温度制御する請求項7に記載の方法。
  10. 【請求項10】 前記ガス・ノズルを水冷する請求項7に記載の方法。
  11. 【請求項11】 前記ガス・ノズルをウェーハ表面に向ける請求項7に記載
    の方法。
  12. 【請求項12】 複数の反応物ガスが複数の各反応物ガス用の別々のノズル
    から入る請求項7に記載の装置。
  13. 【請求項13】 前記反応物ガスをウェーハ上の狭い空間に閉じ込める請求
    項1に記載の方法。
  14. 【請求項14】 ウェーハと上部熱シールドの間隔が1.5インチ(約3.
    81cm)未満である請求項11に記載の方法。
  15. 【請求項15】 ウェーハと上部熱シールドの間隔が0.6インチ(約1.
    52cm)未満である請求項12に記載の方法。
  16. 【請求項16】 前記プロセス・ガスが、ウェーハの下を通過するのを防ぐ
    請求項1に記載の方法。
JP2000570390A 1998-09-16 1999-09-15 低圧における高速シリコン堆積法 Pending JP2002525841A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10059498P 1998-09-16 1998-09-16
US60/100,594 1998-09-16
PCT/US1999/021200 WO2000015868A1 (en) 1998-09-16 1999-09-15 High rate silicon deposition method at low pressures

Publications (1)

Publication Number Publication Date
JP2002525841A true JP2002525841A (ja) 2002-08-13

Family

ID=22280545

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000570390A Pending JP2002525841A (ja) 1998-09-16 1999-09-15 低圧における高速シリコン堆積法

Country Status (5)

Country Link
EP (1) EP1123423B1 (ja)
JP (1) JP2002525841A (ja)
AT (1) ATE368756T1 (ja)
DE (1) DE69936727D1 (ja)
WO (1) WO2000015868A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006013423A (ja) * 2004-06-29 2006-01-12 Hynix Semiconductor Inc 半導体素子のポリシリコン膜形成方法
JPWO2005015619A1 (ja) * 2003-08-07 2006-10-05 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP2008504447A (ja) * 2004-06-28 2008-02-14 ケンブリッジ ナノテック インコーポレイテッド 蒸着システムおよび蒸着方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004039443B4 (de) * 2004-08-13 2023-05-25 Beijing E-Town Semiconductor Technology, Co., Ltd. Verfahren zum thermischen Behandeln von scheibenförmigen Substraten
CN103901479B (zh) * 2014-03-18 2016-06-22 电子科技大学 三维声波近探头104通道的微弱信号同步采集与处理系统
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
CN111048416A (zh) * 2019-12-25 2020-04-21 上海华力微电子有限公司 多晶硅薄膜的沉积方法
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
US20230107357A1 (en) * 2020-11-13 2023-04-06 Lam Research Corporation Process tool for dry removal of photoresist

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6294922A (ja) * 1985-10-22 1987-05-01 Fuji Photo Film Co Ltd プラズマcvd法による製膜装置
JPH0547673A (ja) * 1991-08-13 1993-02-26 Toshiba Corp 薄膜形成方法
JPH05121337A (ja) * 1991-10-28 1993-05-18 Toshiba Corp 固体表面と気体とを反応させる方法
JPH08236458A (ja) * 1995-02-24 1996-09-13 Sumitomo Sitix Corp 半導体基板の製造方法
WO1997007259A1 (en) * 1995-08-18 1997-02-27 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4401687A (en) * 1981-11-12 1983-08-30 Advanced Semiconductor Materials America Plasma deposition of silicon
JPS62230979A (ja) * 1986-03-31 1987-10-09 Canon Inc 堆積膜形成法
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
JPS63223178A (ja) * 1987-03-11 1988-09-16 Konica Corp アモルフアスシリコン系膜の製造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6294922A (ja) * 1985-10-22 1987-05-01 Fuji Photo Film Co Ltd プラズマcvd法による製膜装置
JPH0547673A (ja) * 1991-08-13 1993-02-26 Toshiba Corp 薄膜形成方法
JPH05121337A (ja) * 1991-10-28 1993-05-18 Toshiba Corp 固体表面と気体とを反応させる方法
JPH08236458A (ja) * 1995-02-24 1996-09-13 Sumitomo Sitix Corp 半導体基板の製造方法
WO1997007259A1 (en) * 1995-08-18 1997-02-27 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2005015619A1 (ja) * 2003-08-07 2006-10-05 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP2008504447A (ja) * 2004-06-28 2008-02-14 ケンブリッジ ナノテック インコーポレイテッド 蒸着システムおよび蒸着方法
US8202575B2 (en) 2004-06-28 2012-06-19 Cambridge Nanotech, Inc. Vapor deposition systems and methods
US9556519B2 (en) 2004-06-28 2017-01-31 Ultratech Inc. Vapor deposition systems and methods
JP2006013423A (ja) * 2004-06-29 2006-01-12 Hynix Semiconductor Inc 半導体素子のポリシリコン膜形成方法

Also Published As

Publication number Publication date
EP1123423B1 (en) 2007-08-01
ATE368756T1 (de) 2007-08-15
DE69936727D1 (de) 2007-09-13
EP1123423A4 (en) 2005-05-11
WO2000015868A1 (en) 2000-03-23
EP1123423A1 (en) 2001-08-16

Similar Documents

Publication Publication Date Title
US6287635B1 (en) High rate silicon deposition method at low pressures
US6506691B2 (en) High rate silicon nitride deposition method at low pressures
US20030049372A1 (en) High rate deposition at low pressures in a small batch reactor
JP4121555B2 (ja) Cvdによって目的物をエピタキシアル成長させる装置と方法
US20010047764A1 (en) Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
JP2008508430A (ja) Dcバイアスを利用したリモートプラズマ原子層蒸着装置及び方法
JP2004529489A (ja) 高誘電率ゲート絶縁層の形成方法
US20200190692A1 (en) Vapor phase growth apparatus and vapor phase growth method
CN1570225A (zh) 通过气相淀积制备单晶的设备和方法
JP2002525841A (ja) 低圧における高速シリコン堆積法
JP4979578B2 (ja) 単一ウエハチャンバを用いたナノ結晶シリコンの堆積
US20020098671A1 (en) Method of forming silicon-germanium film
US6780464B2 (en) Thermal gradient enhanced CVD deposition at low pressure
KR100274944B1 (ko) 박막작성장치
EP0744768B1 (en) Device comprising films of beta-C3N4
JPS62263629A (ja) 気相成長装置
JPH01253229A (ja) 気相成長装置
US6235652B1 (en) High rate silicon dioxide deposition at low pressures
JP2002016004A (ja) シリコンエピタキシャルウェーハの製造方法
WO1999036588A1 (en) Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
JPS6114195A (ja) 有機金属熱分解縦型気相成長装置
JPH11102871A (ja) 半導体単結晶薄膜の製造方法
JPH1116838A (ja) 多結晶シリコン膜の成長方法およびcvd装置
WO2000016387A1 (en) High rate silicon nitride deposition method at low pressures
JPH0562913A (ja) 堆積膜の成膜方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060905

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090828

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090929

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100316