TW202246893A - 具有量子效率之光阻及其方法 - Google Patents

具有量子效率之光阻及其方法 Download PDF

Info

Publication number
TW202246893A
TW202246893A TW111104645A TW111104645A TW202246893A TW 202246893 A TW202246893 A TW 202246893A TW 111104645 A TW111104645 A TW 111104645A TW 111104645 A TW111104645 A TW 111104645A TW 202246893 A TW202246893 A TW 202246893A
Authority
TW
Taiwan
Prior art keywords
film
resist
euv
optionally substituted
precursor
Prior art date
Application number
TW111104645A
Other languages
English (en)
Inventor
希瓦難陀 克里希那 卡那卡沙巴怕希
艾瑞克 卡爾文 漢森
蒂莫西 威廉 魏德曼
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202246893A publication Critical patent/TW202246893A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Luminescent Compositions (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)

Abstract

本發明係關於由有機金屬前驅物與摻雜物前驅物所形成之組成物,以及形成並採用此等組成物的方法。在特定實施例中,摻雜物前驅物提供元素至組成物中以增加量子效率,例如透過增加輻射吸收及/或透過增加組成物中之二次電子發射或二次電子產量(SEY)。在非限定實施例中,輻射可包括極紫外光(EUV)或深紫外光(DUV)輻射。

Description

具有量子效率之光阻及其方法
本發明係關於由有機金屬前驅物與摻雜物前驅物所形成之組成物,以及形成並採用此等組成物的方法。在特定實施例中,摻雜物前驅物提供元素至組成物中以增加量子效率,例如透過增加輻射吸收及/或透過增加組成物中之二次電子發射或二次電子產量(SEY)。在非限定實施例中,輻射可包括極紫外光(EUV)或深紫外光(DUV)輻射。
本文所提供的背景係為了概述本技術脈絡之目的。本案發明人的成果(在此先前技術段落中所述之範圍內)、以及在申請時可能未以其他方式認定為先前技術之描述態樣,並未明示或默示地被承認為相對於本發明的先前技術。
半導體處理中之薄膜圖案化通常是半導體製造中的重要步驟。圖案化涉及微影。在習知光微影(例如193 nm光微影)中,圖案係透過以下來進行列印 : 將來自光子源之光子發射至遮罩上並將圖案列印至光敏光阻上,因而在光阻中引起化學反應,其在顯影後去除光阻之某些部分以形成圖案。
先進技術節點(由國際半導體構裝技術藍圖定義)包括節點22 nm、16 nm及以外。例如,在16 nm節點中,鑲嵌結構中典型貫孔或線的寬度通常不大於約30 nm。先進半導體積體電路(IC)及其他裝置上之特徵部的微縮正推動微影以改善解析度。
極紫外光(EUV)微影可透過移動到比習知光微影方法所能達到之更小成像源波長來擴展微影技術。約10-20 nm或11-14 nm波長(例如13.5 nm波長)之EUV光源可用於尖端(leading-edge)微影工具,亦稱為掃描儀。EUV輻射在廣泛範圍之固體及流體材料(包括石英和水蒸氣)中被強吸收,因此在真空中操作。
本發明係關於使用有機金屬前驅物與摻雜物前驅物以提供摻雜阻膜。此等膜可以具有一或更多摻雜物之有機金屬材料為特徵。在特定實施例中,摻雜物可提供增加量子效率之元素,例如透過增加輻射吸收及/或透過增加膜內之二次電子發射或二次電子產量(SEY)產量。例如,氙(Xe)具有高圖案化輻射截面,而摻雜膜可包含一或更多Xe原子於膜內。當該膜包括有機金屬氧籠時,則可提供Xe原子作為困陷於該籠內之內嵌摻雜物。
在另一實例中,摻雜物可包括以高SEY為特徵之元素。此等產量的特徵在於因每一入射粒子(一次電子)照射而發射之二次電子的數量。SEY 取決於一次電子的能量。在一些實施例中,摻雜物前驅物中之元素的SEY大於有機金屬前驅物中存在之金屬原子的SEY。在其他實施例中,摻雜物係選自鈹(Be)、硼(B)、鎂(Mg)、鋁(Al)、鈧(Sc)及其組合。
據此,在第一態樣中,本發明包括圖案化輻射敏感組成物(例如,作為膜或層),其包括有機金屬材料及選自Be、B、Mg、Al、Sc、Xe或其組合之摻雜物。在一些實施例中,該組成物包括極紫外光(EUV)敏感組成物或EUV敏感膜。
在一些實施例中,有機金屬材料包括有機錫-氧籠,而摻雜物為Xe作為籠內之內嵌摻雜物。在其他實施例中,該組成物進一步包括約1-8個Xe原子於該籠內。
在另其他實施例中,有機金屬材料包括有機錫氧化物,且摻雜物為Be、B、Mg、Al、Sc或其組合。在另其他些實施例中,摻雜物透過氧橋(-O-)附接至有機金屬材料內之金屬原子。在特定實施例中,摻雜物包括Xe與Be、B、Mg、Al或Sc中之一或更多者。
在第二態樣中,本發明包括一堆疊,其包括 : 具有頂表面之半導體基板; 以及設在半導體基板之頂面上的圖案化輻射敏感膜。在一些實施例中,該膜包括有機金屬材料及選自由Be、B、Mg、Al、Sc、Xe及其組合所組成之群組的摻雜物。在該堆疊之一些實施例中,圖案化輻射敏感膜包括EUV敏感膜。
在一些實施例中,有機金屬材料包括有機錫-氧籠且摻雜物為Xe作為籠內之內嵌摻雜物。在進一步實施例中,約1-8個Xe原子設於籠內。
在其他實施例中,有機金屬材料包括有機錫氧化物,且摻雜物為Be、B、Mg、Al或Sc。在特定實施例中,摻雜物透過氧橋(-O-)附接至有機金屬材料內之金屬原子。
在第三態樣中,本發明包括採用阻劑的方法,該方法包括 : 在基板之表面上沉積有機金屬前驅物,以提供阻膜;以及使阻膜暴露至摻雜物前驅物,因而提供摻雜膜,其中摻雜物前驅物包括氙(Xe)或以高SEY為特徵之元素。在一些實施例中,阻膜包括有機錫氧(organotin oxy)、有機錫氧化物或有機錫氧化氫氧化物(organotin oxide hydroxide)。
在特定實施例中,其中摻雜物前驅物包括Xe。在進一步實施例中,摻雜膜包括有機錫-氧籠及作為籠內之內嵌摻雜物的Xe。在一些實施例中,所述暴露包括在約1-200 atm之壓力及/或約30-150℃之溫度下暴露於含Xe氣體。 在其他實施例中,所述暴露持續約30秒至約4小時之時段。
在其他實施例中,摻雜物前驅物包括選自Be、B、Mg、Al、Sc或其組合之元素。在一些實施例中,摻雜物透過氧橋(-O-)附接至有機金屬材料內之金屬原子。
在一些實施例中,摻雜物前驅物包括具有式( II)之結構 : X aZ b( II), 其中 : 每一X獨立為以高SEY為特徵之元素;每一Z獨立為H、鹵素、視情況取代之烷基、視情況取代之芳基、視情況取代之胺基、視情況取代之雙(三烷基甲矽烷基)胺基、視情況取代之三烷基甲矽烷基、視情況取代之烷氧基、或配位基(例如其與相對反應物具反應性);a≥1(例如a為1、2、或3);且b≥2(例如b為2、3、4、5、6、7、或8)。
在一些實施例中,摻雜膜包括約1-20原子百分比之該元素。
在一些實施例中,所述沉積及所述暴露同時進行。在特定實施例中,所述沉積及所述暴露包括 : 共同輸送有機金屬前驅物、可選之相對反應物及摻雜物前驅物至配置成提供該基板之腔室,因而形成摻雜膜。
在其他實施例中,所述沉積及所述暴露依序或以交替循環方式進行。 在一些實施例中,所述沉積及所述暴露包括 : 輸送有機金屬前驅物與可選之相對反應物至配置成提供基板之腔室;沖洗腔室以去除有機金屬前驅物及/或可選之相對反應物;以及輸送摻雜物前驅物至腔室,因而形成摻雜膜。在某些實施例中,所述輸送有機金屬前驅物、所述沖洗、及所述輸送摻雜物前驅物可執行一或更多次。
在一些實施例中,摻雜膜包括梯度膜或奈米層壓體。
在一些實施例中,該方法進一步包括 : 透過曝光於圖案化輻射對摻雜膜圖案化,因而提供具有輻射曝光區與輻射未曝光區之曝光膜;以及對曝光膜顯影,因而去除輻射曝光區以在正型阻膜內提供圖案或去除輻射未曝光膜以在負型阻膜內提供圖案。
在一些實施例中,所述圖案化包括在真空環境中具有在約10 nm至約20 nm範圍內波長之EUV曝光。
在其他實施例中,所述顯影包括濕式顯影或乾式顯影。非限定濕式顯影可包括水、酸、鹼、酮、酯、醇、醚或其組合。在進一步實施例中,濕式顯影進一步包括一或更多界面活性劑。在其他實施例中,乾式顯影包括氣態酸。在一些實施例中,所述顯影包括去除輻射曝光區以在正型阻膜內提供圖案。在其他實施例中,所述顯影包括去除輻射未曝光區以在負型阻劑內提供圖案。
在第四態樣中,本發明包括形成阻膜的設備,該設備包括 : 沉積模組及控制器,該控制器包括一或更多記憶體裝置、一或更多處理器、以及編有包含機器可讀指令之指令的系統控制軟體。在特定實施例中,沉積模組包括用於沉積阻膜(例如,圖案化輻射敏感膜,如EUV敏感膜)的腔室,其中腔室可配置成容置半導體基板。
在一些實施例中,指令包括用於(例如,在沉積模組中)使有機金屬前驅物沉積在半導體基板之頂表面上以形成阻膜,以及使阻膜暴露於摻雜物前驅物,因而提供摻雜膜。在特定實施例中,摻雜物前驅物包括Xe或以高SEY為特徵之元素。
在一些實施例中,該設備進一步包括 : 圖案化模組。在其他實施例中,圖案化模組包括具有小於300 nm波長輻射源(例如,其中該源可為小於30 nm波長輻射源)的光微影工具。在一些實施例中, 該等指令包括用於(例如,在圖案化模組中)使該阻膜直接透過圖案化輻射曝光(例如透過EUV曝光)以小於300 nm解析度(例如或以小於30 nm 波長輻射)進行圖案化因而形成具有輻射曝光區及輻射未曝光區之曝光膜的機器可讀指令。在其他實施例中,曝光膜具有EUV曝光區與EUV未曝光區。
在一些實施例中,該設備進一步包括 : 顯影模組。在其他實施例中,顯影模組包括用於對阻膜顯影之腔室。在另外實施例中,該等指令包括用於(例如,在顯影模組中)使曝光膜顯影以去除輻射曝光區或輻射未曝光區以在阻膜內提供圖案之機器可讀指令。 在特定實施例中,機器可讀指令包括用於使EUV曝光區域或EUV未曝光區域去除的指令。
在本文之任一實施例中,圖案化輻射敏感膜(例如,阻膜或摻雜膜)包括極紫外光(EUV)敏感膜、深紫外光(EUV)敏感膜、光阻膜、 或可光圖案化膜。
在本文之任一實施例中,圖案化輻射敏感膜包括有機金屬材料或有機金屬氧化物材料。
在本文之任一實施例中,有機金屬前驅物包括具有式( I)、( Ia)、( III)、( IV)、( V)、( VI)、( VII)或( VIII)之結構,如本文所述。
在本文之任一實施例中,有機金屬前驅物包括具有式( I)之結構 : M aR bL c( I), 其中 : M為金屬或類金屬(例如本文之任一者);每一R獨立為鹵素、視情況取代之烷基、視情況取代之芳基、視情況取代之胺基、視情況取代之烷氧基、或L;每一L獨立為配位基、離子或與相對反應物具反應性之其他部分,其中R與L連同M一起可視情況地形成雜環基或其中R與L一起可視情況地形成雜環基;a≥1(例如,a為1、2或3);b≥1(例如,b為1、2、3、4、5或6);且c≥1(例如,c為1、2、3、4、5、6)。在一些實施例中,每一R為L及/或M為錫(Sn)。在其他實施例中,每一L獨立為H、鹵素、視情況取代之烷基、視情況取代之芳基、視情況取代之胺基、視情況取代之雙(三烷基甲矽烷基)胺基、視情況取代之三烷基甲矽烷基、或視情況取代之烷氧基。
在本文之任一實施例中,摻雜物前驅物包括具有式( II)或( IIa)之結構 : X aZ b( II)或XZ b( IIa), 其中 : 每一X獨立為以高SEY為特徵之元素;每一Z獨立為H、鹵素、視情況取代之烷基、視情況取代之芳基、視情況取代之胺基、視情況取代之雙(三烷基甲矽烷基)胺基、視情況取代之三烷基甲矽烷基、視情況取代之烷氧基、或配位基(例如其與相對反應物具反應性);a≥1(例如a為1、2、或3);且b≥2(例如b為2、3、4、5、6、7、或8)。
在本文之任一實施例中,摻雜物前驅物包括鹵化鈹、有機鈹、雙(二烷基)胺基鈹、β-酮鈹、硼烷或其錯合物、鹵化硼、有機硼烷、烷基硼酸酯、三(二烷基胺基)硼烷、有機鎂、雙(環戊二烯基)鎂或其取代形式、β-酮鎂、β-脒基鎂、鹵化鋁、有機鋁、烷氧化鋁、β-酮鋁、三(二烷基胺基)鋁、含烷氧基之鋁前驅物、含胺基之鋁前驅物、有機鈧、β-酮鈧、β-脒基鈧、含有三烷基甲矽烷基醯胺之鈧化合物、或本文所述之任一者。
在本文之任一實施例中,單一有機金屬前驅物與一或更多摻雜物前驅物一起使用。在其他實施例中,在一或更多摻雜物前驅物中採用兩個、三個、四個或更多個不同之有機金屬前驅物。
在本文之任一實施例中,單一有機金屬前驅物與單一摻雜物前驅物一起使用。在其他實施例中,單一有機金屬前驅物與兩、三、四或更多不同摻雜物前驅物一起使用。在另其他實施例中,兩個或更多不同有機金屬前驅物與兩或更多不同摻雜物前驅物一起使用。
在本文之任一實施例中,有機金屬前驅物與摻雜物前驅物之莫耳比約1000 : 1至約1 : 4(例如,約1000 : 1至1 : 4、100 : 1至10 : 1、50 : 1至1 : 4等)。
在本文之任一實施例中,所述沉積包括提供呈氣相之有機金屬前驅物及/或摻雜物前驅物。在其他實施例中,所述沉積包括提供呈氣相之有機金屬前驅物、摻雜物前驅物及/或相對反應物。非限定沉積製程包括化學氣相沉積(CVD),以及原子層沉積(ALD)、分子層沉積(MLD)及其電漿增強形式。
在本文之任一實施例中,所述沉積進一步包括提供相對反應物。非限定相對反應物包括含氧或硫屬化物前驅物,以及本文所述之任一者(例如含氧相對反應物,包括氧(O 2)、臭氧(O 3)、水、過氧化物、過氧化氫、氧電漿、水電漿、醇、二羥基醇、多羥基醇、氟化二羥基醇、氟化多羥基醇、氟化二醇(fluorinated glycols)、甲酸及其他羥基部分之來源、以及其組合)。額外細節如下。 定義
「烯基」係指具有一或更多雙鍵之視情況取代之C 2-24烷基。烯基可為環狀(例如,C 3-24環烯基)或非環狀。烯基亦可為經取代或未經取代。例如,烯基可經一或更多取代基取代,如本文對烷基所述。
「亞烯基」係指烯基(其為具有一或更多雙鍵之視情況取代的C 2-24烷基)之多價(例如,二價)形式。亞烯基可為環狀(例如,C 3-24環亞烯基)或非環狀。亞烯基可為經取代或未經取代。例如,亞烯基可經一或更多取代基取代,如本文對烷基所述。示例性之非限定亞烯基包括-CH=CH-或-CH=CHCH 2-。
「烷氧基」係指-OR,其中R為視情況取代之烷基,如本文所述。示例性烷氧基包括甲氧基、乙氧基、丁氧基、三鹵代烷氧基,例如三氟甲氧基等。烷氧基可為經取代或未經取代。例如,烷氧基可經一或更多取代基取代,如本文對烷基所述。示例性未經取代之烷氧基包括C 1-3、C 1-6、C 1-12、C 1-16、C 1-18、C 1 20或C 1-24烷氧基。
「烷基」及字首「烷」係指具有1至24個碳原子之支鏈或非支鏈飽和烴基,例如甲基(Me)、乙基(Et)、正丙基(n-Pr或nPr)、異丙基(i-Pr或iPr)、環丙基、正丁基(n-Bu或nBu)、異丁基(i-Bu或iBu)、仲丁基(s-Bu或sBu)、叔丁基(t-Bu或tBu)、環丁基、正戊基、異戊基、仲戊基、新戊基、己基、庚基、辛基、壬基、癸基、十二烷基、十四烷基、十六烷基、二十烷基、二十四烷基及類似者。烷基可為環狀(例如,C 3-24環烷基)或非環狀。烷基可為支鏈或非支鏈。烷基亦可為經取代或未經取代。例如,烷基可包括鹵代烷基,其中烷基經一或更多鹵素基取代,如本文所述。在另一示例中,烷基可經一、兩、三、或四個(在具有兩個或更多碳之烷基的例子中)取代基取代,取代基獨立選自由以下所組成之群組 : (1) C 1-6烷氧基(例如,-O-Ak,其中Ak為視情況取代之C 1-6烷基);(2)胺基(例如,‑NR N1R N2,其中每一R N1及R N2獨立為H或視情況取代之烷基,或R N1與R N2連同各自連接之氮原子一起形成雜環基);(3)芳基;(4)芳烷氧基(例如,-O-Lk-Ar,其中Lk為視情況取代之烷基的二價形式,且Ar為經情況取代之芳基);(5)芳醯基(例如,-C(O)-Ar,其中Ar為視情況取代之芳基);(6)氰基(例如-CN);(7)羧醛基(例如-C(O)H);(8)羧基(例如-CO 2H);(9)C 3-8環烷基(例如,一價飽和或未飽和之非芳香族環狀C 3-8烴基);(10)鹵素(例如F、Cl、Br或I);(11)雜環基(例如,含有一、兩、三或四個非碳雜原子(例如氮、氧、磷、硫或鹵素)之5、6或7元環,除非另說明);(12)雜環氧基(例如,-O-Het,其中Het為雜環基,如本文所述);(13)雜環醯基(例如,-C(O)-Het,其中Het為雜環基,如本文所述);(14)羥基(例如,-OH);(15)N-經保護胺基;(16)硝基(例如‑NO 2);(17)側氧基(例如= O);(18) -CO 2R A,其中R A選自由(a)C 1-6烷基、(b)C 4-18芳基、及(c)(C 4-18芳基)C 1-6烷基(例如,-Lk-Ar,其中Lk為視情況取代之烷基的二價形式,且Ar為視情況取代之芳基)所組成之群組;(19) ‑C(O)NR BR C,其中R B及R C各自獨立地選自由(a)氫、(b)C 1-6烷基、(c)C 4-18芳基、及(d) (C 4-18芳基)C 1-6烷基(例如,-Lk-Ar,其中Lk為視情況取代之烷基的二價形式,並且Ar為視情況取代之芳基)所組成之群組;以及(20) ‑NR GR H,其中R G及R H各自獨立地選自由(a)氫、(b)N-保護基、(c)C 1-6烷基、(d)C 2-6烯基(例如,具有一或更多雙鍵之視情況取代的烷基)、(e)C 2-6炔基(例如,具有一或更多三鍵之視情況取代的烷基)、(f)C 4-18芳基、(g)(C 4-18芳基)C 1-6烷基(例如Lk-Ar,其中Lk為視情況取代之烷基的二價形式,Ar為視情況取代之芳基)、(h)C 3-8環烷基、及(i)(C 3-8環烷基)C 1-6烷基(例如,‑Lk-Cy,其中Lk為視情況取代之烷基的二價形式,且Cy為視情況取代之環烷基,如本文所述)所組成之群組,其中在一實施例中,未有兩個基團透過羰基鍵結至氮原子。烷基可為經一或更多取代基(例如,一或更多鹵素或烷氧基)取代之一級、二級或三級烷基。在一些實施例中,未經取代之烷基為C 1-3、C 1-6、C 1-12、C 1-16、C 1-18、C 1-20或C 1-24烷基。
「亞烷基」係指烷基之多價(例如,二價)形式,如本文所述。示例性亞烷基包括亞甲基、亞乙基、亞丙基、亞丁基等。在一些實施例中,亞烷基為C 1-3、C 1-6、C 1-12、C 1-16、C 1-18、C 1-20、C 1-24、C 2-3、C 2-6、C 2-12、C 2‑16、C 2-18、C 2-20、或C 2-24亞烷基。亞烷基可為支鏈或非支鏈。亞烷基亦可經取代或未經取代。例如,亞烷基可經一或更多取代基取代,如本文對烷基所述。
「炔基」係指具有一或更多三鍵之視情況取代的C 2-24烷基。炔基可為環狀或非環狀,例如乙炔基、1-丙炔基及類似者。炔基亦可經取代或未經取代。例如,炔基可經一或更多取代基取代,如本文對烷基所述。
「亞炔基」係指炔基(其為具有一或更多三鍵之視情況取代的C 2-24烷基)之多價(例如,二價)形式。亞炔基可為環狀或非環狀。亞炔基可經取代或未經取代。例如,亞炔基可經一或更多取代基取代,如本文對烷基所述。示例性非限定亞炔基包括-C≡C-或‑C≡CCH 2-。
「胺基」係指-NR N1R N2,其中R N1及R N2各自獨立為H、視情況取代之烷基或視情況取代之芳基,或R N1與R N2連同各自連接之氮原子一起形成如本文所定義之雜環基。
「胺基烷基」係指經如本文所定義之胺基取代之如本文所定義的烷基。
「胺基芳基」係指經如本文所定義之胺基取代之如本文所定義之芳基。
「芳基」係指含有任何基於碳之芳香族基的基團,包括,但不限於,苯基(phenyl)、芐基(benzyl)、蒽基(anthracenyl、anthryl)、苯並環丁烯基(benzocyclobutenyl)、苯並環辛烯基(benzocyclooctenyl)、聯苯基(biphenylyl)、蔗基(chrysenyl)、二氫茚基(dihydroindenyl)、丙[二]烯合茀基(fluoranthenyl)、二環戊二烯並苯基(indacenyl)、茚基(indenyl)、萘基(naphthyl)、菲基(phenanthryl)、苯氧基芐基(phenoxybenzyl)、苉基(picenyl)、芘基(pyrenyl)、三聯苯基(terphenyl)及類似者,包括稠合苯並-C 4-8環烷基基團(例如,如本文所定義),舉例如二氫茚基(indanyl)、四氫化萘基(tetrahydronaphthyl)、茀基(fluorenyl)及類似者。術語芳基亦包括雜芳基,其定義為含有芳香族基的基團,且芳香族基具有至少一雜原子併入芳香族基之環內。雜原子之示例包括,但不限於,氮、氧、硫及磷。類似地,術語「非雜芳基」(其亦包含於術語「芳基」內)定義含有不含雜原子之芳香族基的基團。芳基可為經取代或未經取代。芳基可經一、兩、三、四或五個取代基取代,例如本文中對烷基所述之任一者。
「羰基」係指-C(O)-基團,其亦可表示為>C=O。
「環烷基」係指三至八個碳(除非另有說明)之單價飽和或不飽和非芳香族或芳香族環狀烴基,且示例為環丙基、環丁基、環戊基、環戊二烯基、環己基、環庚基、雙環[2.2.1.]庚基及類似者。環烷基亦可為經取代或未經取代。例如,環烷基可經一或更多基團(包括本文對烷基所述之彼等)取代。
「二羰基」係指包括兩個如本文所定義之羰基的任何部分或化合物。 非限定二羰基部分包括1,2-二羰基(例如,R C1-C(O)-C(O)R C2,其中R C1與R C2各自獨立為視情況取代之烷基、鹵素、視情況取代之烷氧基、羥基、或離去基);1,3-二羰基(例如,R C1-C(O)-C(R 1aR 2a)-C(O)R C2,其中R C1與R C2各自獨立為視情況取代之烷基、鹵素、視情況取代之烷氧基、羥基、或離去基,且其中R 1a與R 2a各自獨立為H或針對如本文所定義之烷基所提供的可選取代基);以及1,4-二羰基(例如,R C1-C(O)-C(R 1aR 2a)-C(R 3aR 4a)-C(O)R C2,其中R C1與R C2各自獨立為視情況取代之烷基、鹵素、視情況取代之烷氧基、羥基、或離去基,且其中R 1a、R 2a、R 3a與R 4a各自獨立為H或針對如本文所定義之烷基所提供的可選取代基)。
「鹵素」係指F、Cl、Br或I。
「鹵代烷基」係指經一或更多鹵素取代之本文所定義的烷基。
「鹵代亞烷基」係指經一或更多鹵素取代之本文所定義的亞烷基。
「雜環基」係指含有一、兩、三或四個非碳雜原子(例如,獨立選自由氮、氧、磷、硫、硒或鹵素所組成之群組)之3-、4-、5-、6-或7-元環(例如5-、6-或7-元環),除非另有說明。3-元環具有零至一個雙鍵,4-及5-元環具有零至兩個雙鍵,而6-及7-元環具有零至三個雙鍵。術語「雜環基」亦包括雙環、三環及四環基,其中上述雜環狀環之任一者稠合至獨立選自由芳環、環己烷環、環己烯環、環戊烷環、環戊烯環及另一單環雜環狀環所組成之群組中的一、兩或三個環,例如吲哚基(indolyl)、喹啉基(quinolyl)、異喹啉基(isoquinolyl)、四氫喹啉基(tetrahydroquinolyl)、苯並呋喃基(benzofuryl)、苯並噻吩基(benzothienyl)及類似者。雜環包括吖啶基(acridinyl)、腺嘌呤基(adenyl)、咯嗪基(alloxazinyl)、氮雜金剛烷基(azaadamantanyl)、氮雜苯並咪唑基(azabenzimidazolyl)、氮雜雙環壬基(azabicyclononyl)、氮雜環庚基(azacycloheptyl)、氮雜環辛基(azacyclooctyl)、氮雜環壬基(azacyclononyl)、氮雜次黃嘌呤基(azahypoxanthinyl)、氮雜吲唑基(azaindazolyl)、氮雜吲哚基(azaindolyl)、氮雜癸因基(azecinyl)、氮雜環庚烷基(azepanyl)、氮雜庚因基(azepinyl)、吖丁啶基(azetidinyl)、氮雜環丁二烯基(azetyl) 、吖丙啶基(aziridinyl)、次乙亞胺基(azirinyl)、氮雜環辛烷基(azocanyl)、吖辛因基(azocinyl)、氮雜環壬烷基(azonanyl)、苯並咪唑基(benzimidazolyl)、苯並異噻唑基(benzisothiazolyl)、苯並異噁唑基(benzisoxazolyl)、苯並二氮雜庚因基(benzodiazepinyl)、苯並二吖辛因基(benzodiazocinyl)、苯並二氫呋喃基(benzodihydrofuryl)、苯並二氧庚因基(benzodioxepinyl)、苯並二氧雜環己烯基(benzodioxinyl)、苯並二氧雜環己基(benzodioxanyl)、苯並二氧辛因基(benzodioxocinyl)、苯並二氧雜環戊烯基(benzodioxolyl)、苯並二噻庚因基(benzodithiepinyl)、苯並二硫雜環己二烯基 (benzodithiinyl)、苯並二氧辛因基(benzodioxocinyl)、苯並呋喃基(benzofuranyl)、苯並吩嗪基(benzophenazinyl)、苯並吡喃酮基(benzopyranonyl)、苯並哌喃基(benzopyranyl)、苯並芘基(benzopyrenyl)、苯並吡喃酮基(benzopyronyl)、苯並喹啉基(benzoquinolinyl)、苯並喹嗪基(benzoquinolizinyl)、苯並硫二氮庚因基(benzothiadiazepinyl)、苯並噻二唑基(benzothiadiazolyl)、苯並硫氮庚因基(benzothiazepinyl)、苯並硫吖辛因基(benzothiazocinyl)、苯並噻唑基(benzothiazolyl)、苯並噻吩基(benzothienyl)、苯並苯硫基(benzothiophenyl)、苯並噻嗪酮基(benzothiazinonyl)、苯並噻嗪基(benzothiazinyl)、苯並噻喃基(benzothiopyranyl)、苯並噻喃酮基(benzothiopyronyl)、苯並三氮庚因基(benzotriazepinyl)、苯並三嗪酮基(benzotriazinonyl)、苯並三嗪基(benzotriazinyl)、苯並三唑基(benzotriazolyl)、苯並氧硫雜環己二烯基(benzoxathiinyl)、苯並三氧庚因基(benzotrioxepinyl)、苯並氧二氮庚因基(benzoxadiazepinyl)、苯並氧硫氮庚因基(benzoxathiazepinyl)、苯並氧硫庚因基(benzoxathiepinyl)、苯並氧硫辛因基(benzoxathiocinyl)、苯並氧氮庚因基(benzoxazepinyl)、苯並噁嗪基(benzoxazinyl)、苯並氧吖辛因基(benzoxazocinyl)、苯並噁唑啉酮基(benzoxazolinonyl)、苯並噁唑啉基(benzoxazolinyl)、苯並噁唑基(benzoxazolyl)、苄基磺內醯胺基(benzylsultamyl)、苄基亞磺內醯胺基(benzylsultimyl)、雙吡嗪基(bipyrazinyl)、雙吡啶基(bipyridinyl)、咔唑基(carbazolyl) (例如4H-咔唑基)、咔啉基(carbolinyl)(例如β-咔啉基)、色滿酮基(chromanonyl)、𠳭基(chromanyl)、𠳭烯基(chromenyl)、㖕啉 (cinnolinyl)、香豆素基(coumarinyl)、胞苷基(cytdinyl)、胞嘧啶基(cytosinyl)、十氫異喹啉基(decahydroisoquinolinyl)、十氫喹啉基(decahydroquinolinyl)、二氮雜二環辛烷基(diazabicyclooctyl)、二氮雜環丁二稀基(diazetyl)、二氮丙啶亞硫醯基(diaziridinethionyl)、二氮丙啶酮基(diaziridinonyl)、二吖丙啶基(diaziridinyl)、二次乙亞胺基(diazirinyl)、二苯異喹啉基(dibenzisoquinolinyl)、二苯並吖啶基(dibenzoacridinyl)、二苯並咔唑基(dibenzocarbazolyl)、二苯並呋喃基(dibenzofuranyl)、二苯並吩嗪基(dibenzophenazinyl)、二苯並吡喃酮基(dibenzopyranonyl)、二苯並吡喃酮基(dibenzopyronyl)(咕吨酮基/xanthonyl)、二苯並喹噁啉(dibenzoquinoxalinyl)、二苯並硫氮庚因基(dibenzothiazepinyl)、二苯並硫庚因基(dibenzothiepinyl)、二苯並苯硫基(dibenzothiophenyl)、二苯並氧庚因基(dibenzoxepinyl)、二氫氮庚因基(dihydroazepinyl)、二氫氮雜環丁二烯基(dihydroazetyl)、二氫呋喃基(dihydrofuranyl、dihydrofuryl)、二氫異喹啉基(dihydroisoquinolinyl)、二氫哌喃基(dihydropyranyl)、二氫吡啶基(dihydropyridinyl、dihydroypyridyl)、二氫喹啉基(dihydroquinolinyl)、二氫噻吩基(dihydrothienyl)、二氫吲哚基(dihydroindolyl)、二氧雜環己基(dioxanyl)、二噁嗪基(dioxazinyl)、二氧吲哚基(dioxindolyl)、二環氧乙烷基(dioxiranyl)、二環氧乙烯基(dioxenyl)、二氧雜環己烯基(dioxinyl)、二氧苯並呋喃基(dioxobenzofuranyl)、二氧雜環戊烯基(dioxolyl)、二氧四氫呋喃基(dioxotetrahydrofuranyl)、二氧硫代嗎啉基(dioxothiomorpholinyl)、二噻環己基(dithianyl)、二噻唑基(dithiazolyl)、二噻吩基(dithienyl)、硫雜環己二烯基(dithiinyl)、呋喃基(furanyl)、呋吖基(furazanyl)、呋喃甲醯基(furoyl)、呋喃基(furyl)、鳥嘌呤基(guaninyl)、均哌嗪基(homopiperazinyl)、均哌啶基(homopiperidinyl)、次黃嘌呤基(hypoxanthinyl)、乙內醯脲基(hydantoinyl)、咪唑啉啶基(imidazolidinyl)、咪唑啉基(imidazolinyl)、咪唑基(imidazolyl)、吲唑基(indazolyl)(例如1H-吲唑基)、吲哚烯基(indolenyl)、吲哚啉基(indolinyl)、吲哚嗪基(indolizinyl)、吲哚基(indolyl)(例如,1H-吲哚基或3H-吲哚基)、靛紅基(isatinyl、isatyl)、異苯並呋喃基(isobenzofuranyl)、異𠳭基(isochromanyl)、異𠳭烯基(isochromenyl)、異吲唑基(isoindazoyl)、異吲哚啉基(isoindolinyl)、異吲哚基(isoindolyl)、異吡唑醯基(isopyrazolonyl)、異吡唑基(isopyrazolyl)、異噁唑啶基 (isoxazolidiniyl)、異噁唑基(isoxazolyl)、異喹啉基(isoquinolinyl)、異喹啉基(isoquinolinyl)、異噻唑啶基(isothiazolidinyl)、異噻唑基(isothiazolyl)、嗎啉基(morpholinyl)、萘並吲唑基(naphthindazolyl)、萘並吲哚基(naphthindolyl)、二氮雜萘基(naphthiridinyl)、萘並吡喃基(naphthopyranyl)、萘並噻唑基(naphthothiazolyl)、萘並硫酮基(naphthothioxolyl)、萘並三唑基(naphthotriazolyl)、萘並氧代吲哚基(naphthoxindolyl)、㖠啶基(naphthyridinyl)、八氫異喹啉基(octahydroisoquinolinyl)、氧雜雙環庚烷基(oxabicycloheptyl)、氧雜脲嘧啶(oxauracil)、噁二唑基(oxadiazolyl)、噁嗪基(oxazinyl)、噁吖丙啶基(oxaziridinyl)、噁唑啶基(oxazolidinyl)、噁唑啶酮基(oxazolidonyl)、噁唑啉基(oxazolinyl)、噁唑酮基(oxazolonyl)、噁唑基(oxazolyl)、氧雜環庚烷基(oxepanyl)、氧雜環丁烷酮基 (oxetanonyl)、氧雜環丁烷基(oxetanyl)、氧雜環丁二烯基(oxetyl)、氧雜環丁烷基(oxtenayl)、氧吲哚基(oxindolyl)、環氧乙烷基(oxiranyl)、氧代苯並異噻唑基(oxobenzoisothiazolyl)、 氧代𠳭烯基(oxochromenyl)、氧代異喹啉基(oxoisoquinolinyl)、氧代喹啉基(oxoquinolinyl)、氧代硫雜環戊基(oxothiolanyl)、啡啶基(phenanthridinyl)、啡啉基(phenanthrolinyl)、啡嗪基(phenazinyl)、啡噻嗪基(phenothiazinyl)、啡噻吩基(phenothienyl)(苯並硫代呋喃基/benzothiofuranyl)、啡噁噻基(phenoxathiinyl)、啡噁嗪基(phenoxazinyl)、酞嗪基(phthalazinyl)、酞嗪酮基(phthalazonyl)、酞基(phthalidyl)、苯並吡咯烷酮基(phthalimidinyl)、哌嗪基(piperazinyl)、哌啶基(piperidinyl)、哌啶酮基(piperidonyl)(例如,4-哌啶酮基)、喋啶基(pteridinyl)、嘌呤基(purinyl)、哌喃基(pyranyl)、吡嗪基(pyrazinyl)、吡唑啶基(pyrazolidinyl)、吡唑啉基(pyrazolinyl)、吡唑並嘧啶基(pyrazolopyrimidinyl)、吡唑基(pyrazolyl)、噠嗪基(pyridazinyl)、吡啶基(pyridinyl)、吡啶並吡嗪基(pyridopyrazinyl)、吡啶並嘧啶基(pyridopyrimidinyl)、吡啶基(pyridyl)、嘧啶基(pyrimidinyl、pyrimidyl)、吡喃酮基(pyronyl)、吡咯啶基(pyrrolidinyl)、吡咯啶酮基(pyrrolidonyl)(例如,2-吡咯啶酮基)、吡咯啉基(pyrrolinyl)、吡咯嗪烷基(pyrrolizidinyl)、吡咯基(pyrrolyl)(例如,2H-吡咯基)、吡喃鎓(pyrylium)、喹唑啉基(quinazolinyl)、喹啉基(quinolinyl)、喹嗪基(quinolizinyl)(例如,4H-喹嗪基)、喹噁啉(quinoxalinyl)、喹嚀環基(quinuclidinyl)、硒雜吖嗪基(selenazinyl)、硒雜唑基(selenazolyl)、硒吩基(selenophenyl)、琥珀醯亞胺基(succinimidyl)、環丁碸基(sulfolanyl)、四氫呋喃基(tetrahydrofuranyl、tetrahydrofuryl)、四氫異喹啉基(tetrahydroisoquinolinyl、tetrahydroisoquinolyl)、四氫吡啶基(tetrahydropyridinyl、tetrahydropyridyl)、哌啶基(piperidyl)、四氫哌喃基(tetrahydropyranyl)、四氫吡喃酮基(tetrahydropyronyl)、四氫喹啉基(tetrahydroquinolinyl、tetrahydroquinolyl)、四氫噻吩基(tetrahydrothienyl)、 四氫苯硫基(tetrahydrothiophenyl)、四嗪基(tetrazinyl)、四唑基(tetrazolyl)、噻二嗪基(thiadiazinyl)(例如,6H-1,2,5-噻二嗪基或2H,6H-1,5,2-二噻二嗪基)、噻二唑基(thiadiazolyl)、噻嗯基(thianthrenyl)、噻環己基(thianyl)、硫茚基(thianaphthenyl)、硫氮庚因基(thiazepinyl)、噻嗪基(thiazinyl)、噻唑烷二酮基(thiazolidinedionyl)、噻唑啶基(thiazolidinyl)、噻唑基(thiazolyl)、噻吩基(thienyl)、硫雜環庚烷基(thiepanyl)、硫雜庚因基(thiepinyl)、氧雜環丁烷基(thietanyl)、硫雜雜環丁烯基(thietyl)、硫雜環丙基(thiiranyl)、硫雜環辛烷基(thiocanyl)、硫代色滿酮基(thiochromanonyl)、硫代𠳭基(thiochromanyl)、硫代𠳭烯基(thiochromenyl)、硫代二嗪基(thiodiazinyl)、噻二唑基(thiodiazolyl)、噻茚酚基(thioindoxyl)、硫代嗎啉基(thiomorpholinyl)、苯硫基(thiophenyl)、噻喃基(thiopyranyl)、硫代吡喃酮基(thiopyronyl)、硫代三唑基(thiotriazolyl)、硫代 脲唑基(thiourazolyl)、硫氧雜環己基(thioxanyl)、硫氧雜環戊烯基(thioxolyl)、胸嘧啶基(thymidinyl)、胸苷基(thyminyl)、三嗪基(triazinyl)、三唑基(triazolyl)、三噻環己基(trithianyl)、脲嗪基(urazinyl)、脲唑基(urazolyl)、脲丁啶基(uretidinyl)、脲啶基(uretinyl)、脲嘧啶基(uricyl)、脲苷基(uridinyl)、呫吨基(xanthenyl)、黄嘌呤基(xanthinyl)、𠮿硫酮基(xanthionyl) 及類似者,以及其修飾形式(例如,包括一或更多側氧基及/或胺基)及其鹽類。雜環基可為經取代或未經取代。例如,雜環基可經一或更多取代基取代,如本文對烷基所述。
「羥基(hydroxyl)」係指-OH。
「亞胺基(imino)」係指-NR-,其中R可為H或視情況取代之烷基。
「側氧基(oxo)」係指= O基團。
「氧(oxy)」係指-O-。
如本文所使用,術語「約」係指任何所載值的+/-10%。如本文所使用,此術語修飾任何所載值、值的範圍、或一或更多範圍的端點。
如本文所使用,術語「頂部」、「底部」、「上部」、「下部」、「上方」及「下方」用於提供結構之間的相對關係。此些術語之使用並不表示或要求特定結構必須放置在設備中之特定位置。
本發明之其他特徵及優點將根據以下描述及請求項變得顯而易見。
本發明總體上是關於半導體處理領域。特別地,本發明係針對一或更多有機金屬前驅物與一或更多摻雜前驅物組合的使用,因而提供摻雜膜。在一些實施例中,摻雜物為Xe、Be、B、Mg、Al、Sc或其組合。
在此詳細參考本發明之具體實施例。具體實施例之示例示於附圖中。儘管將結合此些具體實施例來描述本發明,但將理解的是,其並非意欲將本發明限制於此等具體實施例。相反地,其意欲涵蓋可包括於本發明之精神及範圍內之替代、修改及均等者。在以下描述中,闡述許多具體細節以對本發明提供透徹的瞭解。可在沒有此些具體細節之一些或全部者下實行本發明。在其他實例中,不再詳細描述眾所周知的製程操作,以免不必要地模糊本發明。
EUV微影利用被圖案化以形成用於蝕刻底層之遮罩的EUV阻劑。EUV阻劑可為透過基於液體之旋塗技術而形成之基於聚合物的化學放大阻劑(CAR)。CAR之替代者為直接可光圖案化之含金屬氧化物膜,例如可獲自Inpria(科瓦利斯,奧勒岡州)並描述於例如美國專利公開案第US 2017/0102612號、第US 2016/021660號及第US 2016/0116839號中,其以引用方式併於本文,至少用於揭示可光圖案化之含金屬氧化物膜。此等膜可透過旋塗技術或乾式氣相沉積來產生。含金屬氧化物膜可在真空環境中透過EUV曝光直接圖案化(即,無需使用不同的光阻),其提供小於30 nm之圖案化解析度,例如描述於2018年6月12日公告且標題為「EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS」之美國專利案第9,996,004號中及/或2019年5月9日提申且標題為「METHODS FOR MAKING EUV PATTERNABLE HARD MASKS」之國際申請案第PCT/US19/31618號(公開為國際公開案第WO2019/217749號)中,其揭示內容(至少關於直接可光圖案化金屬氧化物膜之組成、沉積及圖案化以形成EUV阻劑遮罩)以引用方式併於本文。一般地,圖案化涉及用EUV輻射對EUV阻劑進行曝光以在阻劑中形成光圖案,接著根據光圖案進行顯影以去除阻劑的一部分以形成遮罩。
直接可光圖案化之EUV或DUV阻劑可由混合於有機成分內之金屬及/或金屬氧化物構成或包含其之。金屬/金屬氧化物因其可增強EUV或DUV光子吸收並產生二次電子及/或相對於底層膜堆及裝置層呈現較大蝕刻選擇性而頗具潛力。迄今為止,此些阻劑已使用濕式(溶劑)方式來進行顯影,其需將晶圓移至顯影機,以在其中將其暴露於顯影溶劑中,並進行乾燥而後烘烤。此濕式顯影步驟不僅限制生產量,還會因細小特徵部之間溶劑蒸發期間之表面張力效應而導致線塌陷。
一般地,透過控制阻劑之化學性及/或顯影劑之溶解度或反應性,阻劑可用作正型阻劑或負型阻劑。具有可作為負型阻劑或正型阻劑之EUV或DUV阻劑將是有利的。 摻雜膜及其方法
本發明係關於摻雜膜之使用並描述此等膜之諸多結構態樣。在特定實施例中,摻雜膜用於堆疊內,且本文描述獲得此等膜及堆疊的方法。
1A提供示例性堆疊,其包括具有頂表面之基板 101(例如,半導體基板)及設置在基板 101之頂表面上的膜 102。在一些實施例中,沉積膜包括有機金屬材料,其又可包括有機金屬-氧籠 105。此非限定籠 105包括形成M-O-M鍵網路之金屬原子(M)及氧原子(O)。此外,金屬原子包括對輻射暴露有反應之配位基(R)。
此等籠可以任何有用方式被摻雜。如圖 1B中所見,摻雜物(X)可內嵌地困陷於籠內。若摻雜物具有高EUV吸收截面(例如,等於或大於 1x10 7cm 2/mol),則經內嵌式滲入之金屬-氧簇可展現增強之EUV吸收及增強之量子效率。
1C中所見,摻雜物可附接至籠內的原子。例如,摻雜物(X)可透過氧橋(-O-)與有機金屬材料內之金屬原子(M)附接。以此方式,摻雜物可接近金屬原子。若摻雜物具有高EUV SEY(例如,在85 eV下大於0.2或約0.2-0.45),則可透過對各個一次電子提供額外二次電子而對該膜電子放大。在特定實施例中,摻雜物可包括高EUV SEY(例如,約0.2至0.45)及等於或大於1x10 2cm 2/mol(例如,約 10 2-10 7cm 2/mol、10 2-10 4cm 2/mol、或10 2-10 6cm 2/mol)之EUV吸收截面。
在特定實施例中,摻雜物不是單價,因此允許摻雜物與有機金屬材料之間的進一步交聯及甚至沉積膜的進一步延伸。如 1D中所見,非限定有機金屬材料可包括M-O-M鍵網路、附接至M之感光配位基R及可接入之氧原子(例如,作為-O-或羥基,-OH)。在有機金屬材料暴露至摻雜物前驅物(例如X aZ b)後,摻雜物原子(X)便可透過二聚(dimeric)-O-橋間接附接至M。
若X不是單價(例如,二價如Mg,或三價如B),則有機金屬前驅物之進一步沉積可使膜生長。例如,如 1D中所見,暴露於X aZ b提供可接入的配位基Z,其又可與含氧相對反應物反應,以提供進一步的X-氧或羥基基團。此些含氧基團又可進一步與有機金屬前驅物中之金屬原子(M)反應以生長膜。在其他實施例中,進一步之X-氧或羥基基團(透過與Z反應而建立)可與膜內的金屬原子反應,因而允許膜內之額外交聯。在一些實施例中,避免M與X間之直接鍵結。 在其他實施例中,當採用摻雜物前驅物時,避免M與R間之鍵結變化。在又其他實施例中,該膜包括Xe與高SEY元素(例如,Be、B、Mg、Al或Sc)。
本發明一般亦包括採用有機金屬前驅物與摻雜物前驅物之任何有用的方法,如本文所述。此等方法可包括任何有用之微影製程、沉積製程、輻射暴露製程、顯影製程及塗佈後製程,如本文所述。儘管下文可能將技術描述為關於EUV製程,但此等技術亦可應用至其他下一代微影技術。可採用諸多輻射源,包括EUV(通常約為13.5 nm)、DUV(深紫外光,一般在準分子雷射源之248 nm或193 nm範圍)、X 射線(包括於X射線範圍之較低能量範圍處的EUV)、以及電子束(包括寬能量範圍)。
示例性方法可包括將金屬有機前驅物與摻雜物前驅物同時或依序輸送至基板。在一實例中,沉積可包括有機金屬與摻雜物金屬前驅物之共流。例如, 1E提供非限定方法 100,其包括在基板 111上沉積 101有機金屬前驅物 10及摻雜物前驅物 12。此等共沉積可提供具有金屬原子、有機成分與摻雜物之基質的摻雜膜 112。在某些實施例中,基質可為合金。視情況地,可對膜進行退火 102以提供退火膜 113
在另一實例中, 1F提供示例性方法 120,其包括在基板 131上沉積 121有機金屬前驅物 10,以提供有機金屬材料 132,接著視情況地沖洗腔室,以去除未反應之有機金屬前驅物。此等沖洗可包括使用惰性氣體,來去除以蒸氣形式存在且因此未沉積在基板上之有機金屬前驅物。在有機金屬材料內,一或更多有機金屬前驅物可一起反應以形成該層。
有機金屬前驅物可視情況地在一或更多相對反應物存在下進行沉積。相對反應物較佳具有取代反應性部分、配位基或離子(例如,本文式中的L) 以透過化學鍵結連接至少兩金屬原子之能力。示例性相對反應物包括含氧相對反應物,例如O 2、O 3、水、過氧化物(例如過氧化氫)、氧電漿、水電漿、醇、二或多羥基醇、氟化二或多羥基醇、氟化二醇(fluorinated glycols)、甲酸及其他羥基部分之來源、以及其組合。在諸多實施例中,相對反應物透過在相鄰金屬原子之間形成氧橋而與有機金屬前驅物反應。其他可能的相對反應物包括硫化氫及二硫化氫,其可透過硫橋使金屬原子交聯;以及雙(三甲基甲矽烷基)碲,其可透過碲橋使金屬原子交聯。另外,可利用碘化氫將碘結合至膜中。其他相對反應物可包括本文所述之任何含硫屬化物前驅物或含硫屬化物化合物。
1F中所見,進一步的操作可包括將有機金屬材料 132暴露 122至摻雜物前驅物 12以提供摻雜層 133。該摻雜層可視情況地進行退火。
可以任何有用方式重複前驅物之依序沉積。例如, 1G提供示例性方法 140,其包括在基板 151上沉積 141有機金屬前驅物 10以提供包括有機金屬材料之第一層 152a,接著沖洗 142腔室以去除未反應之有機金屬前驅物。此等沖洗可包括使用惰性氣體來去除以蒸氣形式存在且因此未沉積在基板上之有機金屬前驅物。在有機金屬材料內,一或更多有機金屬前驅物可一起反應以形成該層。
進一步的操作可包括將第一層 152a沉積或暴露 143至摻雜物前驅物 12,以提供包括摻雜材料之第二層 152b。視情況地,此操作可包括將摻雜物前驅物單獨輸送至該表面,或將摻雜物前驅物與有機金屬前驅物共同輸送至該表面。
前驅物可以相反順序沉積(即,首先是摻雜物前驅物,接著是有機金屬前驅物,其間有可選的沖洗時段),因而在靠近基板處提供摻雜層,接著是設置於摻雜層之頂表面上的含有機金屬層。此等層可為奈米層(例如,具有nm範圍內的厚度,包括本文所揭示之此等範圍)或原子單層(例如,具有埃範圍內的厚度,包括本文所揭示之此等範圍)。
本文所述之氣相沉積製程(例如ALD或MLD)一般包括將基板依序暴露於有機金屬前驅物與摻雜物前驅物,其透過惰性氣體沖洗時段而於時間上區隔開來。有機金屬前驅物提供金屬原子,其在阻膜中作用為EUV吸收劑。摻雜物前驅物提供額外EUV吸收劑原子及/或SEY產生原子以進一步改善量子效率。
可以任何有用方式沉積此等膜,如本文所述。示例性沉積技術包括原子層沉積(ALD)(例如,熱ALD及電漿增強ALD(PE-ALD))、分子層沉積(MLD)、旋塗沉積、物理氣相沉積(PVD)(包括 PVD共濺鍍)、化學氣相沉積(CVD)、電漿增強CVD(PE-CVD)、低壓CVD(LP-CVD)、濺鍍沉積、電子束(e-beam)沉積(包括電子束共蒸鍍等),或其組合。本文描述其他沉積製程及條件。
非限定MLD製程包括 : 提供有機金屬前驅物至腔室內的基板,因而在基板之頂表面上沉積含有機金屬層;沖洗腔室;提供摻雜物前驅物至腔室,因而在含有機金屬層之頂表面上沉積摻雜層;以及再次沖洗腔室。透過以循環方式重複此等沉積與沖洗操作而形成具有交替之含有機金屬層與摻雜層的膜。
可對用於沉積之諸多製程條件進行改變及最佳化。例如,沉積可在介於約20-400°C之間的溫度下進行,例如約50-300°C、約100-200°C、或約150°C。在一些實施例中,有機金屬前驅物與摻雜物前驅物具有高於製程溫度之熱分解點,因而產生自限性表面反應。非限定壓力條件包括在約0.1-50 托耳(Torr)之範圍內,例如約1-15 Torr。在一些實施例中,有機金屬前驅物與摻雜物前驅物在100℃或更低下具有大於0.5 Torr的蒸氣壓。
每一操作之製程步驟時間可包括以下範圍 : 約0.1-10秒之有機金屬前驅物注入;約0.1-60秒之摻雜物前驅物注入;以及約0.1-60秒之沖洗時段。
透過交替地將有機金屬前驅物與摻雜物前驅物輸送至基板上,可重複沉積達任何循環次數,因而形成膜。單一循環可包括有機金屬前驅物之第一沉積操作與隨後之沖洗操作以及摻雜物前驅物之第二沉積操作與隨後之沖洗操作。可以任何順序執行第一與第二沉積操作。此外,此等循環可重複達任何有用的循環次數,例如1-1000次循環以沉積所需之膜厚。如 1G中所見,該方法可包括重複循環 144的沉積以提供摻雜膜 152,其特徵在於一或更多成對 152’之含有機金屬層與摻雜層。
當沉積所欲膜厚時,可執行沉積後處理步驟。在一實例中,此等沉積後處理涉及以浸漬前驅物將膜暴露於長時間注入(或浸漬)。浸漬可用於增加膜之EUV吸收度。在一實施例中,浸漬前驅物為含金屬前驅物,且使用此浸漬前驅物增加膜中金屬原子的濃度。在其他實施例中,浸漬前驅物為摻雜物前驅物。浸漬前驅物可與沉積製程中使用之有機金屬前驅物相同或不同。浸漬可在與沉積製程類似的條件下進行,但一般注入時間可能相對較長,例如在30秒至30分鐘的範圍內。
在其他實施例中,浸漬前驅物可包括Xe,其導致Xe吸附且吸收至有機金屬-氧籠中並增強EUV吸光度。暴露可在約10-400°C(例如,約10-200°C、10-150°C、或30-150°C)之升高溫度及高壓(例如約1-200 atm、3-200 atm、或3-100 atm)下進行。如 3中所見,非限定有機錫膜在高達150°C之溫度下展現不具熱脫烷作用。透過採用升高溫度及高壓,可促進氙原子擴散至膜中。此等暴露可持續任何有用的時段(例如,從約30秒至約4小時)。
可替代地或額外地,沉積後處理可包括退火。如 1G中所見,可對交替層退火 145以形成退火膜 153,其可提供包括有機金屬取代基與摻雜物兩者之均質化合金。
本文之方法可包括直接沉積至基板上或沉積至設於基板表面上之層上。在一實例中,基板可包括黏附層或底層,其可為有機底層或中間蝕刻層。
摻雜膜可具有任何有用的結構。在一實施例中,該膜具有約0.5 nm至約100 nm的厚度(例如,約5 nm至100 nm,以及本文所述之其他厚度)。該膜可包括具有交替有機金屬層與摻雜層的複數層。在沉積有機金屬前驅物或摻雜前驅物之前,膜可包括可選之底層或可選之表面活化步驟。
膜可具有垂直梯度,其特徵在於EUV吸收度之垂直變化。在一些實例中,沿深度(例如,從膜之頂表面朝向基板)之EUV吸收度增加可對應於沿著通過膜層之相同深度的金屬含量或氙含量增加。非限定梯度包括線性梯度、指數梯度、S形梯度及類似者。在特定實施例中,EUV響應部分之梯度密度膜可在膜之所有深度產生EUV曝光區之更均質的膜特性,其可能改善顯影製程、改善EUV敏感性及/或改善圖案化品質(例如,具有改善之線寬粗糙度(LWR)及/或線邊緣粗糙度 (LER))。
摻雜膜可包括有機金屬材料,例如有機金屬氧化物(例如,RM(MO) n,其中M為金屬且R為具有一或更多碳原子之有機部分,例如為烷基、烷基胺基、或烷氧基)。基板可包括任何有用之晶圓、特徵部、層或裝置。在一些實施例中,基板為具有任何有用特徵部(例如,不規則表面形貌)、層(例如,光阻層)或裝置之矽晶圓。
輻射敏感膜可包括金屬成分及有機取代基,其中每一者可包括UV-、DUV-或EUV-敏感部分。此些之非限定示例包括例如具有高EUV吸收截面之金屬或類金屬或原子,例如等於或大於1x10 7cm 2/mol。在其他實施例中,該成分包括或為M(例如,其中M可為錫(Sn)、鉍(Bi)、碲(Te)、銫(Cs)、銻(Sb)、銦(In)、鉬( Mo)、鉿(Hf)、碘(I)、鋯(Zr)、鐵(Fe)、鈷(Co)、鎳(Ni)、銅(Cu)、鋅(Zn)、銀(Ag)、鉑( Pt)、鉛(Pb)、Xe或其組合)。在另其他實施例中,該成分包括Xe、Be、B、Mg、Al及/或Sc。在一些實施例中,該成分包括有機金屬前驅物、摻雜物前驅物或其反應產物。
圖案化輻射敏感膜可具有有機金屬成分、有機成分與摻雜物所構成之任何有用的結構。有用結構之示例可包括以任何有用順序排列之複數有機金屬層與摻雜層。在一非限定實施例中,該膜具有複數交替之有機金屬層與摻雜層(例如A-B-A序列、B-A-B序列、A-B-B-A序列等,其中A為有機金屬層,而B為摻雜層)。在一些實施例中,交替層中之每一者為奈米層(例如,具有約0.5 nm至10 nm的厚度)。此等交替層可以任何有用的方式形成,例如ALD或MLD。在特定實施例中,該膜具多孔性,因而展現改進之乾式顯影選擇性。
膜可為具有第一有機金屬層及第二摻雜層之奈米層壓體,其中此些層為不同。此些層中之每一者可透過 ALD、CVD 或MLD沉積。
在奈米層壓體之另一實例中,此些層中之有機金屬成分與摻雜物不同。第一層可透過沉積第一有機金屬前驅物及第一摻雜物前驅物來形成,而第二層可透過沉積第一有機金屬前驅物及第二摻雜物前驅物來形成,其中第一與第二摻雜物前驅物不同。可替代地,透過使用不同的有機金屬前驅物與摻雜物前驅物,第二層可包括相較於第一層之不同金屬及有機成分。在其他實施例中,交替層之每一者為原子單層(例如,具有約1Å至約10Å的厚度)。
膜可為均質。因此,在一實施例中,該膜為透過對具有交替有機金屬層與摻雜層之奈米層壓體進行退火而形成之均質化基質。在又另一實施例中,該膜為透過有機金屬前驅物與摻雜物前驅物之共沉積而形成的均質化基質。在特定實施例中,均質化基質可為合金膜。共沉積可發生於使用有機金屬與摻雜物前驅物之共流的任何有用方法中(例如,CVD)。
在一些實施例中,膜包括Xe與高SEY元素(例如,Be、B、Mg、Al或Sc)兩者。例如,非限定方法可包括沉積具有高SEY元素之摻雜膜(例如,透過本文所述之任一方法),接著將摻雜膜暴露於Xe氣體,因而將Xe原子困陷於膜內。在另一實例中,非限定方法可包括將Xe原子困陷於膜內,接著將該膜暴露於摻雜物前驅物(在具有或不具有機金屬前驅物下)。可組合沉積有機金屬前驅物及摻雜物前驅物之方法以及沉積與處理膜之其他方法,以形成此等多重摻雜膜。
所得之摻雜膜可用作光阻。在特定非限定實施例中,摻雜層可包括在輻射曝光(例如暴露於UV、DUV及/或EUV輻射)之後促進輻射吸收及/或二次電子產生的部分。在進一步實施例中,有機金屬層可包括促進輻射吸收的金屬中心。沉積後,該膜可透過輻射曝光而後顯影以進行圖案化,因而提供負型或正型阻劑。此等方法可包括任何有用之微影製程、沉積製程、EUV曝光製程、顯影製程及塗佈後製程,如本文所述。
2A提供使用摻雜膜之示例性方法, 其中該方法包括在基板 211之頂表面上將光阻沉積 201為膜 212, 其中該膜包括EUV敏感材料,且沉積包括提供有機金屬前驅物;以及將膜暴露 202於摻雜物前驅物以提供摻雜膜 213。沉積可包括使用相對反應物,例如本文所述之任一者(例如,水蒸氣)。
進一步的步驟可包括透過EUV曝光 202對膜圖案化,以提供具有EUV曝光區 212b及EUV未曝光區 212c之曝光膜。圖案化可包括使用具有EUV透光區域及EUV不透光區域之遮罩 214,其中 EUV束 215被傳遞通過 EUV透光區域並進入摻雜膜 213。EUV曝光可包括例如在真空環境中具有約10 nm至約20 nm範圍內之波長(例如,在真空環境中約13.5 nm)的曝光。
該方法 200可進一步包括對摻雜膜進行顯影 204,因而(i)去除 EUV曝光區,以在正型阻膜內提供圖案或(ii)去除EUV未曝光區,以在負型阻劑內提供圖案。 2A中之途徑(i)導致選擇性去除EUV曝光區 212b。可替代地, 2A中之途徑(ii)導致顯影後保留EUV曝光區 212b
顯影步驟可包括使用呈氣相之鹵化物化學物質(例如,HBr化學物質)或使用呈液相之水性或有機溶劑(例如,如本文所述)。顯影步驟可包括任何有用的實驗條件,例如低壓條件(例如,約1-100 mTorr)、電漿暴露(例如,在真空存在下)、及/或熱條件(例如,約-10-100°C),其可與任何有用之化學物質(例如鹵化物化學物質或水性化學物質)組合。顯影可包括例如基於鹵化物之蝕刻劑,例如HCl、HBr、H 2、C l2、Br 2、BCl 3或其組合,以及本文所述之任何基於鹵化物之顯影製程;水性鹼性顯影溶液;或有機顯影溶液。本文描述額外顯影製程條件。
在特定實施例中,沉積有機金屬前驅物及利用摻雜物前驅物進行暴露可同時發生。在一非限定實例中,此製程可包括將有機金屬前驅物、可選之相對反應物及摻雜物前驅物共同輸送至配置成提供基板之腔室中,因而形成摻雜膜。如 2B中所見,非限定方法 220可包括同時地 221沉積有機金屬前驅物並在基板 231之頂表面上利用摻雜物前驅物進行暴露,以提供摻雜膜 233;以及透過EUV曝光 223對膜圖案化,以提供具有EUV曝光區 232b及EUV未曝光區 232c之曝光膜。圖案化可包括使用具有EUV透光區域及EUV不透光區域之遮罩 234,其中EUV束 235被傳遞通過 EUV透光區域並進入摻雜膜 233。 最後,對摻雜膜顯影 224可導致(i)去除EUV曝光區,以在正型阻膜內提供圖案或(ii)去除EUV未曝光區,以在負型阻劑內提供圖案。
可在本文之任一方法中執行可選步驟以進一步調變、改質或處理EUV敏感膜、基板、光阻層及/或底層。 2C提供具有諸多操作(包括可選操作)之示例性方法 240的流程圖。如所見,在操作 242中,於相對反應物之可選存在下提供有機金屬前驅物,以提供阻膜(例如,在腔室內)。在操作 244中,透過暴露於摻雜物前驅物來對膜進行摻雜。
在一實例中,該方法可包括可選操作 246,清潔基板之背側表面或斜邊或去除先前步驟中所沉積之光阻的邊緣珠。此等清潔或去除操作可用於去除沉積膜層之後可能存在的顆粒。去除步驟可包括用濕式金屬氧化物(MeOx)邊緣珠去除(EBR)步驟處理晶圓。
在另一實例中,該方法可包括可選操作 248,對沉積之光阻層進行塗佈後烘烤(PAB),因而從該層去除殘留水分而形成膜;或以任何有用的方式預處理光阻層。可選之PAB可發生於膜沉積之後且EUV曝光之前;且PAB可涉及熱處理、化學暴露及/或水分的組合,以提高膜之EUV敏感性,因而降低在膜中顯影圖案之EUV劑量。在特定實施例中,PAB步驟係在大於約100℃之溫度或約100-200℃或100-250℃之溫度下執行。在一些實例中,該方法中不執行 PAB。
在操作 250中,將摻雜膜曝光於EUV輻射以顯影圖案。一般地,EUV曝光導致膜之化學組成發生變化,從而產生蝕刻選擇性對比,其可用於去除膜之一部分。此等對比可提供正型阻劑或負型阻劑,如本文所述。
在又另一實例中,該方法可包括可選步驟 252 對曝光膜進行曝光後烘烤(PEB),因而進一步去除殘留水分或促進膜內化學縮合;或以任何有用的方式對該膜進行後處理。在另一實例中,該方法可包括(例如,在顯影之後)硬化圖案化膜,因而提供設在基板之頂表面上的阻劑遮罩。硬化步驟可包括進一步使EUV未曝光或曝光區交聯或反應之任何有用製程,例如暴露於電漿(例如,O 2、Ar、He或CO 2電漿)、暴露於紫外線輻射、退火(例如,在約180-240°C之溫度下)、熱烘烤或其組合(其可用於顯影後烘烤(PDB)步驟) 之步驟。本文描述額外的塗佈後製程,其可執行為本文所述任何方法之可選步驟。
接著,在操作 254中,對PR圖案顯影。在顯影之諸多實施例中,可去除曝光區域 (正型)或去除未曝光區域(負型)。在諸多實施例中,此些步驟可為乾式製程或濕式製程。
2D提供具有諸多操作(包括可選操作)之另一非限定方法 260的流程圖。如所見,在操作 264中,於摻雜物前驅物(及可選之相對反應物)存在下提供有機金屬前驅物,以提供摻雜膜(例如,在腔室內)。可選步驟可包括清潔 266基板之背側表面或斜邊或去除先前步驟中所沉積之光阻的邊緣珠;以及對沉積之光阻層執行 268PAB,因而從該層去除殘留水分以形成膜。該方法可包括將摻雜膜曝光於EUV輻射以提供EUV曝光及未曝光區的操作 270。 該方法可包括執行PEB之可選步驟 272。接著,在操作 274中,對PR圖案顯影。
在沉積、圖案化及/或顯影步驟期間可採用任何有用類型的化學物質。此等步驟可基於採用氣相化學物質之乾式製程或採用濕相化學物質之濕式製程。諸多實施例包括組合透過氣相沉積之成膜、(EUV)微影光圖案化、乾式剝離及乾式顯影之所有乾式操作。諸多其他實施例包括有利地與濕式處理操作組合之本文所述的乾式處理操作,例如旋塗EUV光阻(濕式製程)(例如可獲自Inpria公司)可與本文所述之乾式顯影或其他濕式或乾式製程組合。在諸多實施例中,晶圓清潔可為如本文所述之濕式製程,而其他製程為乾式製程。在另其他實施例中,可使用濕式顯影製程。
在不限制本技術之機制、功能或實用性下,與本領域已知彼等中之濕式顯影製程相比,本技術之乾式製程可提供諸多益處。例如,相較於可使用旋塗技術進行塗佈相比,本文所述之乾式氣相沉積技術可用於沉積更薄且更無缺陷之膜,其中沉積膜之確切厚度可透過增加或減少沉積步驟或序列的長度來調變及控制。據此,乾式製程可提供更多可調性並予以進一步臨界尺寸(CD)控制及浮渣去除。乾式顯影可改善效能(例如,防止因濕式顯影中表面張力所導致之線塌陷)及/或提高產量(例如,透過避免濕式顯影機)。其他優點可能包括消除有機溶劑顯影劑的使用、降低對黏附問題的敏感性、避免塗佈及去除濕式阻劑配方的需要(例如,避免浮渣及圖案畸變)、改善線邊緣粗糙度、直接在裝置形貌上進行圖案化、提供對特定基板及半導體裝置設計調整硬遮罩化學性的能力、以及避免其他基於溶解度的限制。本文描述額外的細節、材料、製程、步驟及設備。 摻雜物前驅物
摻雜物前驅物可為具有高圖案化輻射吸收及/或高SEY之元素的任一者(例如,本文所述之任一者)。此等摻雜物前驅物可在沉積有機金屬前驅物之前、之後或期間使用。在一實施例中,摻雜物前驅物包括呈氣體之Xe。在另一實施例中,摻雜物前驅物包括具有式( II)的結構 : X aZ b( II), 其中 : 每一X獨立為以高SEY為特徵之元素; 每一Z獨立為H、鹵素、視情況取代之烷基、視情況取代之芳基、視情況取代之胺基、視情況取代之雙(三烷基甲矽烷基)胺基、視情況取代之三烷基甲矽烷基、視情況取代之烷氧基、或配位基(例如其與相對反應物具反應性); a≥1(例如a為1、2、或3);且 b≥2(例如b為2、3、4、5、6、7、或8)。
包括Xe及高SEY元素之摻雜物可一起或依序使用。例如,包含Xe(例如,Xe氣體)之第一摻雜物前驅物可與包含高SEY元素(例如,Be、B、Mg、Al、Sc或其組合)之第二摻雜物前驅物一起使用。
在又另一實施例中,摻雜物前驅物包括具有式( IIa)的結構 : XZ b( IIa), 其中: X為以高SEY為特徵之元素; 每一Z獨立為H、鹵素、視情況取代之烷基、視情況取代之芳基、視情況取代之胺基、視情況取代之雙(三烷基甲矽烷基)胺基、視情況取代之三烷基甲矽烷基、視情況取代之烷氧基、或配位基(例如其與相對反應物具反應性); a≥1(例如a為1、2、或3);且 b≥2(例如b為2、3、4、5、6、7、或8)。
在一些實施例中,X為以高EUV SEY(例如,約0.2至0.45)及/或等於或大於1x10 2cm 2/mol(例如,約10 2-10 7cm 2/mol、10 2-10 4cm 2/mol或10 2-10 6cm 2/mol)之EUV吸收截面為特徵之元素。在特定實施例中,X為Be、B、Mg、Al、Sc或其組合。在一些實施例中,Z為本文針對式( I)、( Ia)、( III)、( IV)、( V)、( VI)、( VII)或( VIII)所述之任一配位基(例如為R或L)。
Z之非限定示例包括H、鹵素、視情況取代之烷基、視情況取代之芳基、視情況取代之胺基、視情況取代之雙(三烷基甲矽烷基)胺基、視情況取代之三烷基甲矽烷基、或視情況取代之烷氧基(例如-OR l,其中R 1可為烷基)。在一些實施例中,視情況取代之胺基為‑NR 1R 2,其中每一R 1及R 2獨立為H或烷基;或其中R 1與R 2連同各自連接之氮原子一起形成如本文所定義之雜環基。在其他實施例中,視情況取代之雙(三烷基甲矽烷基)胺基為‑N(SiR 1R 2R 3) 2,其中每一R 1、R 2及R 3獨立為烷基。在另其他實施例中,視情況取代之三烷基甲矽烷基為‑SiR 1R 2R 3,其中每一R 1、R 2及R 3獨立為烷基。
在一些實施例中,每一Z或至少一Z可包括氧原子。在特定實施例中,一或更多Z可為視情況取代之烷氧基(例如,在式( II)或( IIa)中)。非限定Z取代基包括例如甲氧基、乙氧基、異丙氧基(i-PrO)、叔丁氧基(t-BuO)、1-甲氧基-2-甲基-2-丙醇基(mmp)、2,2,6,6-四甲基-3,5-庚二酮基(THD)、乙醯丙酮根(acac)、及-O-C(Z a)-CZ a-C(Z a)-O- (β-二酮根(β-diketonate),其中每一Z a可獨立為H、烷基、烯基、炔基、芳基、苯基或鹵素)。
在一些實施例中,每一Z或至少一Z可包括氮原子。在特定實施例中,一或更多Z可為視情況取代之胺基(例如,在式( II)或( IIa)中)。非限定Z取代基包括例如二烷基胺基;二甲基胺基;二乙基胺基;3-(二甲基胺基)丙基(dmp);-NR 1R 2-C(Z a) 2-C(Z a) 2-C(Z a) 2-(二烷基胺基丙基),其中每一Z a、R 1及R 2可獨立為H、烷基、烯基、炔基、芳基、苯基或鹵素;‑N(Z a)-C(Z a)-N(Z a)-(脒基,amidinato),其中每一Z a可獨立為H、烷基、烯基、炔基、芳基、苯基或鹵素,包括 N,N'-二仲丁基乙脒基 [(iPrN) 2CMe];-N(Z a)-C(NR 1R 2)-N(Z a)-(胍基,guanidinato),其中每一Z a、R 1及R 2可獨立為H、烷基、烯基、炔基、芳基、苯基或鹵素;或-N(Z a)-C(Z a)- C(Z a)-C(Z a)-N(Z a)-(β-二亞胺基,β-diketiminato),其中每一Z a可獨立為H、烷基、烯基、炔基、芳基、苯基或鹵素。
在一些實施例中,每一Z或至少一Z可包括視情況取代之環戊二烯基(Cp)或視情況取代之芳基。Cp及芳基之非限定取代可包括烷基、烯基、炔基、芳基、苯基或鹵素,例如烷基化衍生物(例如η 5-C 5H 4Et)。
摻雜物前驅物之其他示例包括鹵化鈹,例如氯化鈹[BeCl 2]及溴化鈹[BeBr 2];有機鈹,包括二烷基鈹,例如二甲基鈹[Be(Me) 2];雙(二烷基)胺基鈹,例如雙(二甲胺基)鈹[Be(NMe 2) 2];及β-酮鈹(beryllium β-ketonates),例如乙醯丙酮鈹[Be(acac) 2]。
其他摻雜物前驅物可包括硼烷及其錯合物,例如三氫硼[BH 3]、乙硼烷[B 2H 6]、以及包括胺或有機胺之錯合物,例如二烷基胺(例如,NHR N1R N2,其中R N1與R N2中之每一者可為視情況取代之烷基)、三烷基胺(例如,NR N1R N2R N3,其中R N1、R N2與R N3中之每一者可為視情況取代之烷基)、或其他胺(例如,NR N1R N2R N3,其中R N1、R N2與R N3中之每一者可為H、視情況取代之烷基、視情況取代之芳基,或其中R N1、R N2與R N3中之兩者或更多者連同各自連接之氮原子一起形成如本文所定義之雜環基),其中錯合物可包括硼烷二甲胺錯合物[NMe 2H•BH 3]、硼烷-氨錯合物[NH 3•BH 3]、或硼烷三甲胺錯合物[NMe 3•BH 3]; 鹵化硼,例如氯化硼[BCl 3]、溴化硼[BBr 3]及四氟化二硼[B 2F 4];有機硼烷,例如三烷基硼烷及三芳基硼烷,包括三甲基硼烷[BMe 3]、三乙基硼烷[BEt 3]及三苯基硼烷[BPh 3];有機硼酸酯,例如硼酸三烷基酯,包括硼酸三甲酯[B(OMe) 3]、硼酸三乙酯[B(OEt) 3]及硼酸三異丙酯[B(O-iPr) 3];以及三(二烷基胺基)硼烷,例如三(二甲基胺基)硼烷[B(NMe 2) 3]。
另其他摻雜物前驅物可包括有機鎂,包括雙烷基鎂、雙芳基鎂、雙(環戊二烯基)鎂[Mg(Cp) 2]及其取代形式,例如雙(乙基環戊二烯基)鎂[Mg(η 5-C 5H 4Et) 2]、雙(正丙基環戊二烯基)鎂[Mg(η 5-C 5H 4nPr) 2]及雙(五甲基環戊二烯基)鎂[Mg(η 5-C 5Me 5) 2];β-酮鎂(magnesium β-ketonates),例如雙(2,2,6,6-四甲基-3,5-庚二酮)鎂[Mg(THD) 2]、乙醯丙酮鎂[Mg(acac) 2]及六氟乙醯丙酮鎂[Mg(hfa) 2];以及β-脒基鎂(magnesium β-amidinates),例如雙( N,N'-二仲丁基乙脒基)鎂[Mg[(iPrN) 2CMe] 2]及雙( N-叔丁基- N''-乙基丙脒基)鎂 [Mg[(tBuN)CEt(NEt)] 2]。
其他摻雜物前驅物可包括鹵化鋁,例如溴化鋁[AlBr 3]及氯化鋁[AlCl 3];有機鋁,包括三烷基鋁及三芳基鋁,例如三甲基鋁[AlMe 3]及三乙基鋁[AlEt 3];烷氧化鋁,例如甲氧化鋁[Al(OMe) 3]及異丙氧化鋁[Al(OiPr) 3];β-酮鋁(aluminum β-ketonates),例如乙醯丙酮鋁[Al(acac) 3]、三(2,2,6,6-四甲基-3,5-庚二酮)鋁[Al(THD) 3]及六氟乙醯丙酮鋁[Al(hfa) 3];三(二烷基胺基)鋁,例如三(二甲基胺基)鋁[Al(NMe 2) 3或Al 2(NMe 2) 6];含烷氧基之鋁前驅物,例如三(1-甲氧基-2-甲基-2-丙氧基)鋁[Al(mmp) 3];及含胺基之鋁前驅物,例如[3-(二甲基胺基)丙基]二甲基鋁[AlMe 2(dmp)]、[3-(二甲基胺基)丙基]雙(二甲基胺基)鋁[Al(NMe 2) 2(dmp)]、以及[3-(二甲基胺基)丙基]雙(二異丙基胺基)鋁[Al(iPrN) 2(dmp)]。
另其他摻雜物前驅物可包括有機鈧,例如雙(環戊二烯基)烯丙基鈧[ScCp 23-C 3H 5)]或三(環戊二烯基)鈧[ScCp 3];β-酮鈧(scandium β-amidinates),例如乙醯丙酮鈧[Sc(acac) 2]及三(2,2,6,6-四甲基-3,5-庚二酮)鈧[Sc(TMHD) 3];β-脒基鈧(scandium β-amidinates),例如三( N,N'-二仲丁基乙脒基)鈧[Sc[(iPrN) 2CMe] 3]、三( N-叔丁基- N''-乙基丙基脒基)鈧[Sc[(tBuN)CEt(NEt)] 3]、以及三( N,N'-二異丙基乙脒基)鈧[Sc[(iPrN) 2CH)] 3];以及含有三烷基甲矽烷基醯胺之鈧化合物,例如三[N,N-雙(三甲基甲矽烷基)醯胺]鈧[Sc[(N(SiMe 3) 2] 3]。 有機金屬前驅物
有機金屬前驅物可具有任何有用數量及類型的配位基。配位基之特徵可在於其在相對反應物存在下或在圖案化輻射存在下進行反應之能力。例如,有機金屬前驅物可包括與相對反應物反應之配位基,其可在金屬中心之間引入連結(例如-O-連結)。在另一實例中,有機金屬前驅物可包括在圖案化輻射存在下消去之配位基。此等配位基可包括具有β-氫之支鏈或直鏈烷基。
有機金屬前驅物可為任何有用之含金屬前驅物,例如有機金屬劑、金屬鹵化物或覆蓋劑(例如,如本文所述)。在非限定實例中,有機金屬前驅物包括具有式( I)之結構 : M aR bL c( I), 其中 : M為金屬; 每一R獨立為鹵素、視情況取代之烷基、視情況取代之芳基、視情況取代之胺基、視情況取代之烷氧基、或L; 每一L獨立為配位基、離子或與相對反應物具反應性之其他部分,其中R與L連同M一起可視情況地形成雜環基或其中R與L一起可視情況地形成雜環基; a≥1;b≥1;且c≥1。
在一些實施例中,有機金屬前驅物中之每一配位基可為與相對反應物具反應性之配位基。在一實例中,有機金屬前驅物包括具有式( I)之結構,其中每一R獨立為L。在另一實例中,有機金屬前驅物包括具有式( Ia)之結構 : M aL c( Ia), 其中: M為金屬; 每一L獨立為配位基、離子或與相對反應物具反應性之其他部分,其中兩個L一起可視情況地形成雜環基; a≥1;且c≥1。 在式( Ia)之特定實施例中,a為1。在進一步實施例中,c為2、3或4。
對於本文中之任一式,M可為具有高圖案化輻射吸收截面(例如,等於或大於1x10 7cm 2/mol之EUV吸收截面)之金屬。在其他實施例中,M為錫(Sn)、碲(Te)、鉍(Bi)、銻(Sb)、鉿(Hf)、或鋯(Zr)。在進一步實施例中,於式( I)或( Ia)中,M為Sn,a為1,且c為4。在其他實施例中,於式( I)或( Ia)中,M為Sn,a為1,且c為2。在特定實施例中,M為Sn(II)(例如,於式( I)或( Ia)中),因而提供為基於Sn(II)之化合物的有機金屬前驅物。在其他實施例中,M為Sn(IV)(例如,於式( I)或( Ia)中),因而提供為基於Sn(IV)之化合物的有機金屬前驅物。
對於本文之任一式,每一L獨立為H、鹵素、視情況取代之烷基、視情況取代之芳基、視情況取代之胺基、視情況取代之雙(三烷基甲矽烷基)胺基、視情況取代之三烷基甲矽烷基、或視情況取代之烷氧基(例如-OR l,其中R 1可為烷基)。在一些實施例中,視情況取代之胺基為‑NR 1R 2,其中每一R 1及R 2獨立為H或烷基;或其中R 1與R 2連同各自連接之氮原子一起形成如本文所定義之雜環基。在其他實施例中,視情況取代之雙(三烷基甲矽烷基)胺基為‑N(SiR 1R 2R 3) 2,其中每一R 1、R 2及R 3獨立為烷基。在另其他實施例中,視情況取代之三烷基甲矽烷基為‑SiR 1R 2R 3,其中每一R 1、R 2及R 3獨立為烷基。
在其他實施例中,該式包括為-NR 1R 2之第一L以及為-NR 1R 2之第二L,其中每一R l及R 2獨立為H或烷基;或其中來自第一L之R 1與來自第二L之R 1連同各自連接之氮原子及金屬原子一起形成如本文所定義之雜環基。在另其他實施例中,該式包括為‑OR 1之第一L及為‑OR 1之第二L,其中每一R 1獨立為H或烷基;或其中來自第一L之R 1與來自第二 : 之R 1連同各自連接之氧原子及金屬原子一起形成如本文所定義之雜環基。
在一些實施例中,L或R中之至少一者為視情況取代之烷基(例如,在式( I)或( Ia)中)。非限定烷基包括例如C nH 2n+1,其中n為1、2、3或更大,例如甲基、乙基、正丙基、異丙基、正丁基、異丁基、仲丁基或叔丁基。在諸多實施例中,L或R具有至少一β-氫或β-氟。尤其,有機金屬前驅物可為四甲基錫(SnMe 4)、四乙基錫(SnEt 4)、叔丁基氫化碲(Te( t-Bu)(H))、二甲基碲(TeMe 2)、二(叔丁基)碲(Te( t-Bu) 2)、或二(異丙基)碲(Te( i-Pr) 2)。
在一些實施例中,每一L或至少一L為鹵素(例如,在式( I)或( Ia)中)。尤其,有機金屬前驅物可為金屬鹵化物。非限定金屬鹵化物包括SnBr 4、SnCl 4、SnI 4、及SbCl 3
在一些實施例中,每一L或至少一L可包括氮原子。在特定實施例中,一或更多L可為視情況取代之胺基或視情況取代之雙(三烷基甲矽烷基)胺基(例如,在式( I)或( Ia)中)。非限定L取代基可包括例如-NMe 2、-NEt 2、-NMeEt、 -N(t-Bu)-[CHCH 3] 2-N(t-Bu)-(tbba)、-N(SiMe 3) 2及‑N(SiEt 3) 2。非限定有機金屬前體可包括例如Sn(NMe 2) 4、Sn(NEt 2) 4、Sn(i-Pr)(NMe 2) 3、Sn(n-Bu)(NMe 2) 3、Sn(s-Bu)(NMe 2) 3、Sn(i-Bu)(NMe 2) 3、Sn(t-Bu)(NMe 2) 3、Sn(t-Bu) 2(NMe 2) 2、Sn(t-Bu)(NEt 2) 3、Sb(NMe 2) 3、Sn(tbba) 、Sn[N(SiMe 3) 2] 2、或Bi[N(SiMe 3) 2] 3
在一些實施例中,每一L或至少一L可包含矽原子(例如,在式( I)或( Ia)中)。在特定實施例中,一或更多L可為視情況取代之三烷基甲矽烷基或視情況取代之雙(三烷基甲矽烷基)胺基。非限定L取代基可包括例如-SiMe 3、-SiEt 3、-N(SiMe 3) 2、及-N(SiEt 3) 2。非限定有機金屬前驅物可包括例如Sn[N(SiMe 3) 2] 2、雙(三甲基甲矽烷基)碲(Te(SiMe 3) 2)、雙(三乙基甲矽烷基)碲(Te(SiEt 3) 2)、或Bi[N(SiMe 3) 2] 3
在一些實施例中,每一L或至少一L可包含氧原子。在特定實施例中,一或更多L可為視情況取代之烷氧基(例如,在式( I)或( Ia)中)。非限定L取代基包括例如甲氧基、乙氧基、異丙氧基(i-PrO)、叔丁氧基(t-BuO)、及-O=C(CH 3)-CH=C(CH 3)-O- (acac)。非限定有機金屬前驅物包括例如Sn(t-BuO) 4、Sn(n-Bu)(t-BuO) 3、或Sn(acac) 2
本文描述另其他有機金屬前驅物及非限定取代基。例如,有機金屬前驅物可為具有如上所述之式( I)及( Ia);或如下所述之式( III)、( IV) 、( V)、( VI) 、( VII)或( VIII) 之結構的任一者。本文所述之任一取代基M、R、X或L可用於式( I)、( Ia)、( III)、( IV) 、( V)、( VI) 、( VII)或( VIII)之任一者中。
在一非限定實例中,為了提供摻雜膜,可使用摻雜物前驅物以與有機金屬前驅物之配位基反應或取代有機金屬前驅物之配位基。本文描述非限定摻雜物前驅物。
此等前驅物可進一步與一或更多相對反應物組合使用。相對反應物較佳具有取代反應性部分、配位基或離子(例如,本文式中的L) 以透過化學鍵結連接至少兩金屬原子之能力。示例性相對反應物包括含氧相對反應物,例如O 2、O 3、水、過氧化物(例如過氧化氫)、氧電漿、水電漿、醇、二或多羥基醇、氟化二或多羥基醇、氟化二醇(fluorinated glycols)、甲酸及其他羥基部分之來源、以及其組合。在諸多實施例中,相對反應物透過在相鄰金屬原子之間形成氧橋而與有機金屬前驅物反應。其他可能的相對反應物包括硫化氫及二硫化氫,其可透過硫橋使金屬原子交聯;以及雙(三甲基甲矽烷基)碲,其可透過碲橋使金屬原子交聯。另外,可利用碘化氫將碘結合至膜中。
在特定實施例中,相對反應物為硫屬化物前驅物,例如其包括具有下式之結構 : X 3-Z-X 4, 其中: Z為硫、硒、或碲;且 X 3與X 4中之每一者獨立為H、視情況取代之烷基(例如甲基、乙基、正丙基、異丙基、正丁基、叔丁基等)、視情況取代之烯基、視情況取代之芳基、視情況取代之胺基、視情況取代之烷氧基、或視情況取代之三烷基甲矽烷基。
使用本文之有機金屬前驅物,可形成梯度膜。例如,可在梯度膜內提供存在於摻雜物前驅物及/或相對反應物中之諸多原子。在本文討論之技術的一些實施例中,可進一步改善光阻(PR)膜中EUV敏感性之非限定策略是建立膜組成呈垂直漸變的膜,其導致深度相依之EUV敏感性。在具有高吸收係數之均質PR中,降低整個膜深度之光強度需要更高的EUV劑量,以確保底部充分曝光。透過相對於膜頂部在膜底部處增加具高EUV吸收率之原子密度(即,透過產生EUV吸收增加的梯度),變得可更有效地使用可用的EUV光子,並朝更高吸收膜之底部更均勻地分佈吸收(及二次電子之效應)。在一非限定實例中,梯度膜包括朝膜底部(例如,更靠近基板)之Te、I或其他原子。
在PR膜中設計垂直組成梯度的策略特別適用於乾式沉積方法,例如CVD及ALD,且可透過在沉積期間調整不同反應物之間的流量比來實現。可設計之組成梯度類型包括 : 不同高吸收金屬之間的比率、具有EUV可裂解有機基團之金屬原子的百分比、含有高吸收元素之摻雜物物及/或相對反應物的百分比、以及以上組合。
EUV PR膜中之組成梯度亦可帶來額外好處。例如,膜底部中高密度之高EUV吸收元素可有效地產生更多二次電子,其可對膜上部進行更好曝光。此外,此等組成梯度亦可與更高比例之EUV吸收物質(未鍵結至大體積末端取代基)直接相關。 例如,在基於Sn之阻劑例子中,可併入具有四個離去基之錫前驅物,因而促進在界面處形成Sn-O-基板鍵結以改善黏附力。
此等梯度膜可透過使用本文所述之任一有機金屬前驅物(例如,錫或非錫前驅物)、摻雜物前驅物、及/或相對反應物來形成。另其他膜、方法、前驅物、及其他化合物描述於2019年10月2日提申之美國臨時專利申請案第62/909,430 號(標題為SUBSTRATE SURFACE MODIFICATION WITH HIGH EUV ABSORBERS FOR HIGH PERFORMANCE EUV PHOTRESISTS)及2020年6月24日提申之國際申請案第PCT/US20/70172號 (標題為PHOTORIST WITH MULTIPLE PATTERNING RADIATION-ABSORBING ELEMENTS AND/OR VERTICAL COMPOSITION GRADIENT),其至少關於直接可光圖案化之金屬氧化物膜的組成、沉積及圖案化以形成EUV阻劑遮罩之揭示內容以引用方式併入本文。
此外,可在每一層(例如,膜或覆蓋層)內使用兩個或更多不同前驅物。例如,本文之任何含金屬前驅物中的兩者或更多者可用於形成合金。在一非限定實例中,碲化錫可透過採用包含有-NR 2配位基之錫前驅物與RTeH、RTeD或TeR 2前驅物來形成,其中R為烷基,特別是叔丁基或異丙基。在另一實例中,金屬碲化物可透過使用包含有烷氧基或鹵素配位基(例如SbCl 3)之第一金屬前驅物與包含有三烷基甲矽烷基配位基(例如雙(三甲基甲矽烷基)碲)之含碲前驅物來形成。
另其他示例性EUV敏感材料以及處理方法與設備描述於美國專利案第9,996,004號及國際專利公開案第WO 2019/217749號,其每一者整體內容以引用方式併入本文。 其他前驅物
如本文所述,本文中之膜、層及方法可與任何有用之前驅物一起採用。在一些實例中,有機金屬前驅物包括具有下式( III)之金屬鹵化物 : MX n( III), 其中M為金屬,X為鹵素,n為2至4(取決於M的選擇)。M的示例性金屬包括Sn、Te、Bi或Sb。示例性金屬鹵化物包括SnBr 4、SnCl 4、SnI 4及SbCl 3
另一非限定含金屬前驅物包括具有式( IV)之結構 : MR n( IV), 其中M為金屬;每一R獨立為H、視情況取代之烷基、胺基(例如,‑NR 2,其中每一R獨立為烷基)、視情況取代之雙(三烷基甲矽烷基)胺基(例如-N(SiR 3) 2,其中每一R獨立為烷基)、或視情況取代之三烷基甲矽烷基(例如,-SiR 3,其中每一R獨立為烷基);且n為2至4(取決於M之選擇)。M之示例性金屬包括Sn、Te、Bi、或Sb。烷基可為C nH 2n+1,其中n為1、2、3或更大。示例性有機金屬劑包括Sn(Me) 4、 Sn(Et) 4、TeR n、RTeR、叔丁基氫化碲(Te( t-Bu)(H))、二甲基碲(TeMe 2)、二叔丁基碲(Te( t-Bu) 2)、二(異丙基)碲(Te( i-Pr) 2)、雙(三甲基甲矽烷基)碲(Te(SiMe 3) 2)、雙(三乙基甲矽烷基)碲(Te(SiEt 3) 2)、三(雙(三甲基甲矽烷基)醯胺基)鉍(Bi[N(SiMe 3) 2] 3)、Sb(NMe 2) 3及類似者。
另一非限定含金屬前驅物可包括具有下式( V)之覆蓋劑: ML n( V), 其中M為金屬;每一L獨立為視情況取代之烷基、胺基(例如,‑NR 1R 2,其中每一R 1及R 2可為H或烷基,例如本文所述之任一者)、烷氧基(例如-OR,其中R為烷基,例如本文所述之任一者)、鹵素或其他有機取代基;且n為2至4,取決於M之選擇。M之示例性金屬包括Sn、Te、Bi、或Sb。示例性配位基包括二烷基胺基(例如二甲基胺基、甲基乙基胺基及二乙基胺基)、烷氧基(例如叔丁氧基及異丙氧基)、鹵素(例如F、Cl、Br及I)、或其他有機取代基(例如乙醯丙酮或 N 2 , N 3-二叔丁基-丁烷-2,3-二胺基)。非限定覆蓋劑包括SnCl 4;SnI 4;Sn(NR 2) 4,其中每一R獨立為甲基或乙基;或Sn( t-BuO) 4。在一些實施例中,存在多種類型之配位基。
含金屬前驅物可包括具有下式( VI)之被烴基取代的覆蓋劑 : R nMX m( VI), 其中M為金屬,R為具有β-氫之C 2-10烷基或經取代烷基,且X為與暴露羥基中之羥基反應後合適的離去基。在諸多實施例中,只要m>0(或m≥1),則n=1至3,且m=4 – n、3 – n或2 – n。例如,R可為叔丁基、叔戊基、叔己基、環己基、異丙基、異丁基、仲丁基、正丁基、正戊基、正己基或其在β位置中具有雜原子取代基之衍生物。合適的雜原子包括鹵素(F、Cl、Br或I)、或氧(-OH或-OR)。X可為二烷基胺基(例如二甲基胺基、甲基乙基胺基或二乙基胺基)、烷氧基(例如叔丁氧基、異丙氧基)、鹵素(例如F、Cl、Br或I)、或另一有機配位基。被烴基取代之覆蓋劑的示例包括叔丁基三(二甲基胺基)錫(Sn( t-Bu)(NMe 2) 3)、正丁基三(二甲基胺基)錫(Sn( n-Bu)(NMe 2) 3)、叔丁基三(二乙基胺基)錫(Sn( t-Bu)(NEt 2) 3)、二(叔丁基)二(二甲基胺基)錫(Sn( t-Bu) 2(NMe 2) 2)、仲丁基三(二甲基胺基)錫(Sn( s-Bu)(NMe 2) 3)、正戊基三(二甲基胺基)錫(Sn(n-pentyl)(NMe 2) 3)、異丁基三(二甲基胺基)錫(Sn( i-Bu)(NMe 2) 3)、異丙基三(二甲基胺基)錫(Sn(i-Pr)(NMe 2) 3)、叔丁基三(叔丁氧基)錫(Sn( t-Bu)( t-BuO) 3)、正丁基(三(叔丁氧基)錫(Sn( n-Bu)( t-BuO) 3)或異丙基三(叔丁氧基)錫(Sn( i-Pr)( t-BuO) 3)。
在諸多實施例中,含金屬前驅物在每一金屬原子上包括至少一可在氣相反應中留存之烷基,而與該金屬原子配位之其他配位基或離子可被相對反應物取代。據此,另一非限定含金屬前驅物包括具有式( VII)之有機金屬劑 : M aR bL c( VII), 其中M為金屬;R為視情況取代之烷基;L為與相對反應物具反應性之配位基、離子或其他部分;a≥1;b≥1;且c≥1。在特定實施例中,a = 1,且b + c = 4。在一些實施例中,M為Sn、Te、Bi、或Sb。在特定實施例中,每一L獨立為胺基(例如,-NR 1R 2,其中每一R 1及R 2可為H或烷基,例如本文所述之任一者)、烷氧基(例如-OR,其中R為烷基,例如本文所述之任一者)、或鹵素(例如F、Cl、Br或I)。示例性試劑包括SnMe 3Cl、SnMe 2Cl 2、SnMeCl 3、SnMe(NMe 2) 3、SnMe 3(NMe 2)及類似者。
在其他實施例中,非限定含金屬前驅物包括具有式( VIII)之有機金屬劑 : M aL c( VIII), 其中M為金屬;L為與相對反應物具反應性之配位基、離子或其他部分; a≥1;且c≥1。在特定實施例中,c = n-1,且n為2、3或4。在一些實施例中,M為Sn、Te、Bi、或Sb。相對反應物較佳具有取代反應性部分、配位基或離子(例如,本文式中之L)以透過化學鍵結連接至少兩金屬原子之能力。
在本文任一實施例中,R可為視情況取代之烷基(例如,C 1-10烷基)。在一實施例中,烷基被一或更多鹵素取代(例如,鹵素取代之C 1-10烷基,其包括一個、兩個、三個、四個或更多鹵素,例如F、Cl、Br或I)。示例性R取代基包括C nH 2n+1,較佳為其中n≥3;以及C nF xH (2n+1-x),其中1 ≤ x ≤ 2n+1。在諸多實施例中,R具有至少一β-氫或β-氟。例如,R可選自由異丙基、正丙基、叔丁基、異丁基、正丁基、仲丁基、正戊基、異戊基、叔戊基、仲戊基及其混合物所組成之群組。
在本文任一實施例中,L可為易被相對反應物取代以產生M-OH部分之任何部分,例如選自由胺基(例如,-NR 1R 2,其中每一R 1及R 2可為H或烷基,例如本文所述之任一者)、烷氧基(例如-OR,其中R為烷基,例如本文所述之任一者)、羧酸根、鹵素(例如F、Cl、Br或I)及其混合物所組成之群組的部分。
在特定實施例中,金屬前驅物包括錫。在一些實施例中,錫前驅物包括SnR或SnR 2或SnR 4或R 3SnSnR 3,其中每一R獨立為H、鹵素、視情況取代之C 1-12烷基、視情況取代之C 1-12烷氧基、視情況取代之胺基(例如‑NR 1R 2) 、視情況取代之C 2-12烯基、視情況取代之C 2-12炔基、視情況取代之C 3-8環烷基、視情況取代之芳基、環戊二烯基、視情況取代之雙(三烷基甲矽烷基)胺基(例如‑N(SiR 1R 2R 3) 2) 、視情況取代之烷醯氧基(例如醋酸根)、二酮根(例如‑OC(R 1)-Ak-(R 2)CO-)或雙牙螯合二氮(例如-N(R 1)-Ak-N(R 1)-)。在特定實施例中,每一R 1、R 2及R 3獨立為H或C 1-12烷基(例如,甲基、乙基、異丙基、叔丁基或新戊基);且Ak為視情況取代之C 1-6亞烷基。非限定錫前驅物包括SnF 2、SnH 4、SnBr 4、SnCl 4、SnI 4、四甲基錫(SnMe 4)、四乙基錫(SnEt 4)、三甲基氯化錫(SnMe 3Cl)、二甲基二氯化錫(SnMe 2Cl 2)、甲基三氯化錫(SnMeCl 3)、四烯丙基錫、四乙烯基錫、六苯基二錫(IV)(Ph 3Sn-SnPh 3,其中Ph為苯基)、二丁基二苯基錫(SnBu 2Ph 2)、三甲基(苯基)錫(SnMe 3Ph)、三甲基(苯基乙炔基)錫、三環己基氫化錫、三丁基氫化錫(SnBu 3H )、二醋酸二丁基錫(SnBu 2(CH 3COO) 2)、乙醯丙酮錫(II)(Sn(acac) 2)、SnBu 3(OEt)、SnBu 2(OMe) 2、SnBu 3(OMe)、Sn( t-BuO) 4、Sn( n-Bu)( t-BuO) 3、四(二甲基胺基)錫(Sn(NMe 2) 4)、四(乙基甲基胺基)錫(Sn(NMeEt) 4)、四(二乙基胺基)錫(IV)(Sn(NEt 2) 4)、(二甲基胺基)三甲基錫(IV)(Sn(Me) 3(NMe 2)、Sn( i-Pr)(NMe 2) 3、Sn( n-Bu)(NMe 2) 3、Sn( s-Bu)(NMe 2) 3、 Sn( i-Bu)(NMe 2) 3、Sn( t-Bu)(NMe 2) 3、Sn( t-Bu) 2(NMe 2) 2、Sn( t-Bu)(NEt 2) 3、Sn(tbba)、Sn(II)(1,3-雙(1,1-二甲基乙基)-4,5-二甲基-(4 R,5 R)-1,3,2-二氮雜史坦諾啶-2-亞基)(Sn(II) (1,3-bis(1,1-dimethylethyl)-4,5-dimethyl-(4 R,5 R)-1,3,2- diazastannolidin-2-ylidene))、或雙[雙(三甲基甲矽烷基)胺基]錫(Sn[N(SiMe 3) 2] 2)。
示例性有機金屬劑包括SnMeCl 3、( N 2, N 3-二叔丁基-丁烷-2,3-二醯胺基)錫(II)(Sn(tbba))、雙(雙(三甲基甲矽烷基)醯胺基)錫(II)、四(二甲基胺基)錫(IV)(Sn(NMe 2) 4)、叔丁基三(二甲基胺基)錫(Sn( t-butyl)(NMe 2) 3)、異丁基三(二甲基胺基)錫(Sn( i-Bu)(NMe 2) 3)、正丁基三(二甲基胺基)錫(Sn( n-Bu)(NMe 2) 3)、仲丁基三(二甲基胺基)錫(Sn( s-Bu)(NMe 2) 3)、異丙基(三)二甲基胺基錫(Sn( i-Pr)(NMe 2) 3)、正丙基三(二乙基胺基)錫(Sn( n-Pr)(NEt 2) 3)及類似物烷基(三)(叔丁氧基)錫化合物,例如叔丁基三(叔丁氧基)錫(Sn( t-Bu)( t-BuO) 3)。在一些實施例中,有機金屬劑為部分氟化。 微影製程
EUV微影利用EUV阻劑,其可為透過基於液體之旋塗技術所產生之基於聚合物的化學放大阻劑或透過乾式氣相沉積技術所產生之基於金屬氧化物的阻劑。此等EUV阻劑可包括本文所述之任何EUV敏感膜或材料。微影方法可包括例如透過用EUV輻射進行EUV阻劑曝光來對阻劑進行圖案化以形成光圖案,接著透過根據光圖案去除阻劑之一部分來對圖案進行顯影以形成遮罩。
亦應理解,儘管本發明係關於以EUV微影為例之微影圖案化技術及材料,但其亦可應用至其他下一代微影技術。除了包括目前正在使用及開發之標準13.5 nm EUV波長的EUV外,與此等微影最相關之輻射源為DUV(深紫外光),其一般指使用248 nm或193 nm之準分子雷射源、X射線(其形式上包括於X射線範圍之較低能量範圍處的EUV)、以及電子束(其可涵蓋較寬能量範圍)。此等方法包括使基板(例如,可選地具有暴露羥基)與含金屬前驅物(例如,本文所述之任一者)接觸以形成金屬氧化物(例如,包含有金屬氧化物鍵網路之層,其可包括其他非金屬及非氧基團)膜以作為基板表面上之成像/PR層的彼等方法。具體方法可能取決於半導體基板及最終半導體裝置中使用之特定材料及應用。因此,本申請中所述之方法僅為可用於本技術之方法及材料的示例。
直接可光圖案化之EUV阻劑可由金屬及/或金屬氧化物構成或含有金屬及/或金屬氧化物。金屬/金屬氧化物因其可增強EUV光子吸收並產生二次電子及/或相對於底層膜堆及裝置層呈現較大蝕刻選擇性而頗具潛力。迄今為止,此些阻劑已使用濕式(溶劑)方法來進行顯影,該方法需將晶圓移至顯影機(track),以在其中將其暴露於顯影溶劑中,並進行乾燥及烘烤。濕式顯影不僅限制生產量,還會因細小特徵部之間溶劑蒸發期間之表面張力效應而導致線塌陷。
已提出乾式顯影技術以透過消除基板分層及界面裂損來克服此些問題。乾式顯影有其本身的挑戰性,包括未曝光與EUV曝光之阻劑材料間的蝕刻選擇性,相較於濕式顯影,其可能導致對有效阻劑曝光有更高之劑量比上尺寸(dose to size)的要求。次佳的選擇性亦可能因較長時間暴露於蝕刻氣體下而導致PR角變圓,其可能加大後續轉移蝕刻步驟中之線CD變異。蝕刻期間所採用之額外製程於下詳細敘述。 沉積製程,包括乾式沉積
如上所討論,本發明提供在半導體基板上製造成像層的方法,其可使用EUV或其他下一代微影技術來圖案化。方法包括以蒸氣產生聚合之有機金屬材料並將其沉積在基板上之彼等方法。在一些實施例中,乾式沉積可採用任何有用的含金屬前驅物(例如,本文所述之金屬鹵化物、覆蓋劑或有機金屬劑)。沉積製程可包括塗佈EUV敏感材料作為阻膜及/或作為阻膜上之覆蓋層。本文描述示例性EUV敏感材料。
本技術包括藉以將EUV敏感膜沉積在基板上之方法,此等膜可操作為用於後續EUV微影及處理之阻劑。此外,可將二級EUV敏感膜沉積在底層之初級EUV敏感膜上。在一實例中,二級膜構成覆蓋層,而初級膜構成成像層。
此等EUV敏感膜包括暴露於EUV後即發生變化之材料,例如失去低密度富含M-OH材料中鍵結至金屬原子之大體積側基配位基,從而使其交聯成更緻密之M-O-M鍵結的金屬氧化物材料。在其他實施例中,EUV曝光導致鍵結至金屬原子之配位基之間進一步交聯,因而提供更緻密之M-L-M鍵結的有機金屬材料,其中L為配位基。在另其他實施例中,EUV曝光導致失去配位基以提供可被正型顯影劑去除之M-OH材料。
透過EUV圖案化,產生相對於未曝光區而有物理或化學性質變化之膜的區域。此些性質可在後續處理中加以利用,例如溶解未曝光或曝光區,或在曝光或未曝光區上選擇性地沉積材料。在一些實施例中,在進行此等後續處理之條件下,未曝光膜具有疏水性表面,而曝光膜具有親水性表面(已知悉曝光與未曝光區之親水性是彼此相對的)。例如,可透過利用膜之化學組成、密度及交聯上的差異來進行材料的去除。可透過濕式處理或乾式處理去除,如本文進一步所述。
形成在基板表面上之EUV可圖案化膜的厚度可根據表面特性、所使用之材料及處理條件而變化。在諸多實施例中,膜厚度可為約0.5-100 nm之範圍。較佳為,膜具有得以在EUV圖案化之條件下吸收大部分EUV光的足夠厚度。例如,阻膜之總吸收可為30%或更少(例如10%或更少、或5%或更少),使得阻膜底部之阻劑材料充分曝光。在一些實施例中,膜厚度為10-20 nm。在不限制本發明之機制、功能或實用性下,據信不同於該領域之濕式旋塗製程,本發明之製程對基板之表面黏附性質的限制較少,因此可應用至多種基板。再者,如上所討論,沉積膜可緊密地符合表面特徵部,從而提供在基板(例如具有底層特徵部之基板)上形成遮罩的優點,而無需「填充」或以其他方式使此等特徵部平坦化。
膜(例如,成像層)或覆蓋層可由以任何有用方式沉積之金屬氧化物層所構成。此等金屬氧化物層可透過使用本文所述之任何EUV敏感材料來沉積或塗佈,例如含金屬前驅物(如,金屬鹵化物、覆蓋劑或有機金屬劑)與摻雜物前驅物組合。在示例性製程中,聚合之有機金屬材料係在基板表面上以氣相或原位形成,以提供金屬氧化物層。金屬氧化物層可用作膜、黏附層或覆蓋層。
視情況地,金屬氧化物層可包括羥基封端金屬氧化物層,其可透過採用覆蓋劑(如本文所述之任一者)與含氧相對反應物來沉積。此等羥基封端金屬氧化物層可用作例如兩其他層之間的黏附層,例如基板與膜之間及/或光阻層與底層之間。
示例性沉積技術(例如,用於膜)包括本文所述之任一者,例如ALD(例如,熱ALD及電漿增強ALD)、旋塗沉積、包括PVD共濺鍍之PVD、CVD(例如,PE-CVD或LP-CVD)、濺鍍沉積、包括電子束共蒸鍍之電子束沉積等,或其組合,例如具有CVD組分之ALD,例如含金屬前驅物、摻雜物前驅物與相對反應物在時間或空間上分開之不連續類ALD製程。
沉積作為可應用至本發明EUV光阻膜之前驅物及方法的進一步描述可見於國際申請案第PCT/US19/31618號,其公開為國際公開案第WO2019/217749號,於2019年5月9日申請,標題為「METHODS FOR MAKING EUV PATTERNABLE HARD MASKS」。除了有機金屬前驅物、摻雜物前驅物及相對反應物之外,薄膜還可包括可選的材料,以對膜的化學或物理性質進行改質,例如以改質膜對EUV的敏感性或增強抗蝕刻性。可引入此等可選材料,例如透過在沉積於基板上之前的氣相形成期間、膜沉積之後或兩者期間進行摻雜。在一些實施例中,可引入溫和的遠端H 2電漿,以例如用Sn-H取代一些Sn-L鍵,其可增強阻劑在EUV下的反應性。
一般而言,方法可包括將有機金屬前驅物(例如,含金屬前驅物,例如有機金屬劑)蒸氣流與摻雜物前驅物蒸氣流及可選之相對反應物蒸氣流混合,以形成聚合之有機金屬材料,並將有機金屬材料沉積至半導體基板之表面上。在一些實施例中,將含金屬前驅物與摻雜物前驅物及可選之相對反應物混合可形成聚合之有機金屬材料。如本領域具有通常技藝者將理解,製程之混合與沉積方面可在實質上連續的製程中同時進行。
在示例性連續CVD製程中,將有機金屬前驅物、摻雜物前驅物與可選相對反應物源之兩個或更多氣流(於分開入口路徑中)引至CVD設備之沉積腔室中,在此其於氣相中混合並反應,以在基板上形成團聚之聚合物材料 (例如,透過金屬-氧-金屬鍵形成)或膜。可例如使用分開噴射入口或雙氣室噴淋頭來引入氣流。該設備配置成使有機金屬前驅物、摻雜物前驅物與可選相對反應物流於腔室中混合,從而允許有機金屬前驅物、摻雜物前驅物與可選相對反應物反應,以形成聚合之有機金屬材料或膜(例如,金屬氧化物塗層或團聚之聚合物材料,例如透過金屬-氧-金屬鍵形成)。
為了沉積金屬氧化物,CVD製程一般是在減壓下進行,例如0.1-10 Torr。在一些實施例中,該製程係於1-2 Torr之壓力下進行。基板之溫度較佳是低於反應物流之溫度。例如,基板溫度可為0-250℃,或環境溫度(例如23℃)至150℃。
為了沉積團聚之聚合物材料,CVD製程一般是在減壓下進行,例如從10m Torr至10 Torr。在一些實施例中,該製程係於0.5-2 Torr下進行。基板之溫度較佳是處於或低於反應物流之溫度。例如,基板溫度可為0-250℃,或環境溫度(例如23℃)至150℃。在諸多製程中,基板上聚合之有機金屬材料的沉積係以與表面溫度成反比的速率發生。在不限制本技術之機制、功能或實用性下,據信來自此等氣相反應之產物因金屬原子透過摻雜物前驅物及/或相對反應物交聯而變成更重的分子量,並接著凝結或以其他方式沉積在基板上。
使用乾式沉積方法之潛在優勢是膜的組成容易在其生長時進行調變。在CVD製程中,此可透過在沉積期間改變有機金屬前驅物與摻雜物前驅物之相對流量來完成。沉積可於介於30-200℃之間且壓力介於0.01-100 Torr之間發生,但更一般係介於約0.1-10 Torr之間。
膜(如,例如透過金屬-氧-金屬鍵形成之金屬氧化物塗層或團聚之聚合材料)亦可透過ALD製程來沉積。例如,在分開時間引入有機金屬前驅物、摻雜物前驅物及可選相對反應物,其代表ALD循環。有機金屬前驅物與摻雜物前驅物在表面上反應,從而在每一循環一次形成多達一層材料。此可允許很好地控制整個表面上膜厚度的均勻性。ALD製程一般是在減壓下進行,例如0.1-10 Torr。在一些實施例中,該製程於1-2 Torr進行。基板溫度可為0-250℃,或環境溫度(例如23℃)至150℃。該製程可為熱製程,或較佳為電漿輔助沉積。
本文中任一沉積方法均可被修改成得以允許使用兩者或更多不同有機金屬前驅物。在一實施例中,前驅物可包括相同金屬但不同配位基。在另一實施例中,前驅物可包括不同金屬基團。在一非限定實例中,諸多揮發性含金屬前驅物之交替流動可提供混合的含金屬層,例如使用具有第一金屬(例如Sn)之金屬烷氧化合物前驅物與具有不同第二金屬(例如Te)之基於矽烷基的前驅物。
又,本文中任一沉積方法均可被修改成得以允許使用兩者或更多不同摻雜物前驅物。在一實施例中,摻雜物前驅物可提供結合至金屬中心之不同配位基。在一非限定實例中,諸多摻雜物前驅物之交替流動可提供摻雜物含量有變化的層,例如梯度膜中。
此外,可修改本文之任何沉積方法以在膜或覆蓋層內提供一或更多層。在一實例中,可在每一層中採用不同的有機金屬前驅物及/或摻雜物前驅物。在另一實例中,每一層可採用相同前驅物,但最頂層可具有不同化學組成(例如,如透過調整或改變摻雜物前驅物所提供之不同密度的金屬-配位基鍵、不同的金屬與碳的比率、或不同的結合配位基)。
本文之製程可用於實現表面改質。在一些反覆法中,有機金屬前驅物之蒸氣可通過晶圓。可加熱晶圓以提供熱能使反應進行。在一些反覆法中,加熱可介於約50-250℃之間。在一些例子中,可使用摻雜物前驅物的脈衝,透過泵及/或沖洗步驟將其隔開。例如,可在前驅物脈衝之間脈衝摻雜物前驅物,從而導致ALD或類-ALD生長。在其他例子中,有機金屬與摻雜物前驅物兩者可同時流動。可用於表面改質之元素的示例包括I、F、Sn、Bi、Sb、Te、及此些化合物的氧化物或合金。
本文之製程可用於透過ALD或CVD沉積薄金屬氧化物或金屬。 示例包括SnOx、BiOx及Te。在沉積之後,膜可用式M aR bL c之被烷基取代的前驅物來覆蓋,如本文別處所述。可使用相對反應物以更佳地去除配位基,且可重複多個循環以確保基板表面完全飽和。該表面接著即準備好以沉積EUV敏感膜。一項可能方法是產生SnOx薄膜。可能的化學法包括透過循環四(二甲基胺基)錫及相對反應物(例如水或O 2電漿)來生長SnO 2。生長後,可使用覆蓋劑(capping agent)。例如,可使異丙基三(二甲基胺基)錫蒸氣流過表面。
可在任何有用的表面上採用沉積製程。如本文所指,「表面」為本技術之膜將被沉積至其上或將在處理期間暴露於EUV的表面。此等表面可存在於基板上(例如,膜將在其上沉積)、膜上(例如,覆蓋層將在其上沉積)或覆蓋層上。
可採用任何有用的基板,包括適用於微影處理的任何材料構造,特別是用於生產積體電路及其他半導體裝置。在一些實施例中,基板為矽晶圓。基板可為其上已形成特徵部(「底層形貌特徵部」) 之矽晶圓,其具有不規則的表面形貌。
此等底層形貌特徵部可包括在進行本技術方法之前已在處理期間去除材料(例如,透過蝕刻)的區域或者已添加材料(例如,透過沉積)的區域。此等先前處理可包括反覆製程(iterative process)中之本技術之方法或其他處理方法,藉此得以在基板上形成兩層或更多層特徵部。在不限制本技術之機制、功能或實用性下,據信在一些實施例中,與本領域已知彼等方法中使用旋模法將光微影膜沉積於基板表面上之方法相比,本技術之方法提供優點。此等優點可源自本技術之膜對底層特徵部的一致性,而無需「填充」或以其他方式使此等特徵部平坦化,以及在廣泛材料表面上沉積膜的能力。
在一些實施例中,可利用具所欲材料之基板表面來製備進入晶圓,其最上面材料為阻劑圖案被轉印至其中的層。儘管材料選擇可能會根據整合度而變化,但一般需選擇可以相對於EUV阻劑或成像層具高選擇性(即比其快得多地)來進行蝕刻之材料。合適的基板材料可包括諸多基於碳的膜(例如可灰化之硬遮罩(AHM))、基於矽的膜(例如矽、氧化矽、氮化矽、氮氧化矽或碳氮氧化矽、以及其摻雜形式,包括 SiO x、SiO xN y、SiO xC yN z、非晶Si:H、多晶Si或SiN)、或塗佈以促進圖案化製程之任何其他(一般是犧牲性的)膜。
在一些實施例中,基板為硬遮罩,其用於底層半導體材料之微影蝕刻。硬遮罩可包括各種材料中之任一者,包括非晶碳(a-C)、SnO x、SiO 2、SiO xN y、SiO xC、Si 3N 4、TiO 2、TiN、W、W摻雜的C、WO x、HfO 2、ZrO 2及Al 2O 3。例如,基板可較佳地包括SnO x,例如SnO 2。在諸多實施例中,該層可為1-100 nm厚,或2-10 nm。
在一些非限定實施例中,基板包括底層。底層可沉積於硬遮罩或其他層上且一般在成像層(或膜)下方,如本文所述。底層可用於改善PR之敏感性、增加EUV吸收率、及/或提高PR之圖案化效能。在待圖案化之基板上存有產生顯著形貌之裝置特徵部的例子中,底層之另一重要功能可為對現有形貌進行塗覆及平坦化,以便可在具有焦點圖案之所有區域的平坦表面上執行後續圖案化步驟。對於此等應用,底層(或多個底層中之至少一者)可使用旋塗技術來塗佈。當所採用之PR材料具有顯著的無機成分時,例如其展現主要的金屬氧化物骨架,則底層可有利地為基於碳的膜,其可透過旋塗或透過基於乾式真空的沉積製程來塗佈。該層可包括具有基於碳及氫之組成的諸多可灰化遮罩(AHM)膜,並可摻雜有例如鎢、硼、氮或氟之額外元素。
在一些實施例中,表面活化操作可用於活化表面(例如基板及/或膜的表面)以用於進一步操作。例如,對於SiO x表面,可使用水或氧/氫電漿以在表面上產生羥基。對於基於碳或烴的表面,可使用諸多處理(例如水、氫/氧或CO 2電漿或臭氧處理),以產生羧酸/或羥基。此等方法可證明改善阻劑特徵部對基板之黏附乃至關重要,否則其可能會在處理期間或顯影期間之溶劑中分層或剝離。
亦可透過在表面中引起粗糙度以增強可用於相互作用之表面積以及直接改善機械黏附來提高黏附。例如,首先可利用使用Ar之濺射製程或其他非反應性離子轟擊來產生粗糙表面。接著,該表面可用如上所述之所欲表面官能性(例如羥基及/或羧酸基)進行封端。在碳上,可採用組合方法,其中可使用具化學反應性之含氧電漿,例如CO 2、O 2、H 2O(或H 2與O 2之混合物)來蝕刻去除局部不均勻之膜的薄層,同時以-OH、-OOH或-COOH基團封端。此可在有偏壓或無偏壓下完成。結合上述表面改質策略,此方法可發揮表面粗糙化及基板表面化學活化之雙重目的,用於直接黏附至基於無機金屬氧化物之阻劑上,或作為中間表面改質以進一步官能化。
在諸多實施例中,該表面(例如,基板及/或膜的表面)在其表面上包含暴露的羥基。一般而言,該表面可為包含或已被處理以產生暴露羥基表面之任何表面。可透過使用氧電漿、水電漿或臭氧之基板的表面處理而在表面上形成此等羥基。在其他實施例中,可對膜之表面進行處理,以提供暴露的羥基,其上可塗佈覆蓋層。在諸多實施例中,羥基封端金屬氧化物層具有0.1-20 nm、或0.2-10 nm、或0.5-5 nm的厚度。 EUV 曝光製程
膜之EUV曝光可提供具有包括金屬原子(M)之活化反應中心(其透過EUV介導之裂解事件而產生)的EUV曝光區。此等反應中心可包括懸空金屬鍵、M-H基團、裂解的M-配位基基團、二聚化之M-M鍵或M-O-M橋。在其他實施例中,EUV曝光透過對膜內之配位基進行光聚合來提供交聯的有機部分;或 EUV曝光釋出配位基內鍵光解所產生之氣態副產物。
EUV曝光在真空環境中可具有約10-20 nm範圍的波長,例如10-15 nm的波長,如13.5 nm。尤其,圖案化可提供EUV曝光區及EUV未曝光區,以形成圖案。
本技術可包括使用EUV以及DUV或電子束進行圖案化。在此等圖案化中,輻射被聚焦於成像層之一或更多區域上。曝光通常係執行為使得成像層膜包括一或更多未暴露於輻射之區域。所得之成像層可包括複數曝光及未曝光區域,從而建立與電晶體或半導體裝置之其他特徵部圖案之建立一致的圖案,其在後續基板之處理中透過從基板添加或移除材料而形成。本文中有用之EUV、DUV及電子束輻射方法及裝備包括本領域已知之方法及裝備。
在一些EUV微影技術中,有機硬遮罩(例如PECVD非晶氫化碳之可灰化硬遮罩)係使用習知光阻製程進行圖案化。在光阻曝光期間,EUV輻射在阻劑及下方基板中被吸收,從而產生高能量的光電子(例如約100 eV)而後是側向擴散數奈米之一連串低能量的二次電子(例如約10 eV)。此些電子增加阻劑中化學反應的程度,其增強其EUV劑量敏感性。然而,本質上為隨機之二次電子圖案被疊加於光學圖像上。此不希望有的二次電子曝光在圖案化阻劑中導致解析度下降、顯著的線邊緣粗糙度(LER)以及線寬變異。此些缺陷在隨後圖案轉移蝕刻期間複製到待圖案化的材料中。
將成膜(沉積/凝結)與光學微影結合因而大幅改善EUV微影(EUVL)效能(例如,降低線邊緣粗糙度)之真空整合金屬硬遮罩製程及相關真空整合硬體揭示於本文中。
在本文所述之諸多實施例中,可使用沉積(例如,凝結)製程(例如,在如Lam Vector®之PECVD工具中執行的ALD或MOCVD),以形成含金屬膜之薄膜,例如感光金屬鹽或含金屬之有機化合物(有機金屬化合物),其在EUV中(例如,在10 -20 nm等級之波長處)有強吸收,例如在EUVL光源之波長處(例如,13.5 nm = 91.8 eV)。此膜在EUV曝光後即發生光分解,並形成金屬遮罩,該金屬遮罩在後續蝕刻期間(例如,在導體蝕刻工具中,例如Lam 2300® Kiyo®)中作為圖案轉移層。
在沉積之後,EUV可圖案化薄膜透過暴露於EUV光束(通常在相對高真空下)而被圖案化。為了EUV曝光,含金屬膜可接著在與微影平台整合之腔室中(例如,晶圓步進機,如荷蘭-費爾德霍溫(Veldhoven)的ASML供應之TWINSCAN NXE : 3300B®平台)進行沉積,並在真空下轉移以不在曝光前反應。考慮到環境氣體(例如H 2O、O 2等)對入射光子之強烈光吸收,且EUVL亦需大幅下降的壓力,因而促使與微影工具整合。在其他實施例中,感光金屬膜沉積與EUV曝光可在同一腔室中進行。 顯影製程,包括乾式顯影
EUV曝光或未曝光區以及覆蓋層可透過任何有用之顯影製程去除。在一實施例中,EUV曝光區可具有活化的反應中心,例如懸空金屬鍵、M-H基團或二聚化M-M鍵。在特定實施例中,可透過採用一或更多乾式顯影製程(例如,鹵化物化學物質)來選擇性地去除M-H基團。在其他實施例中,可透過採用濕式顯影製程(例如,使用熱乙醇及水,以提供可溶M(OH) n基團)來選擇性地去除M-M鍵。在另其他實施例中,透過使用濕式顯影(例如,透過使用正型顯影劑)去除EUV曝光區。在一些實施例中,透過使用乾式顯影去除EUV未曝光區。
乾式顯影製程亦可包括使用鹵化物,例如基於HCl或HBr之製程。儘管本發明不限於任何特定理論或操作機制,但該方法當理解為使用蒸氣或電漿,利用乾式沉積之EUV光阻膜與清潔化學物質(例如HCl、HBr及BCl 3)之化學反應性,以形成揮發性產物。乾式沉積之EUV光阻膜可以高達1 nm/s之蝕刻速率來去除。透過此些化學方法所進行之乾式沉積EUV光阻膜的快速去除可用於腔室清潔、背側清潔、斜邊清潔及PR顯影。雖然可使用諸多溫度下的蒸氣(例如,溫度高於-10°C之HCl或HBr、或溫度高於80°C之BCl 3)去除膜,但亦可使用電漿以進一步加快或增強反應性。
電漿製程包括採用本領域已知彼等中之裝備及技術的變壓耦合式電漿(TCP)、感應耦合式電漿(ICP)或電容耦合式電漿(CCP)。例如,可在>0.5 mTorr(例如,1-100 mTorr)之壓力下,在<1000W(例如,<500W)之功率位準下進行製程。溫度可為30-300℃(例如30-120℃),流速為100至1000每分鐘標準立方公分(sccm),例如約500 sccm,持續1至3000秒(例如10秒至600秒)。
在鹵化物反應物流為氫氣及鹵化物氣體下,使用遠端電漿/ UV輻射,以從H 2與Cl 2及/或Br 2產生自由基,且氫及鹵化物自由基流至反應腔室,以接觸晶圓之基板層上的圖案化EUV光阻。合適的電漿功率可於100-500 W範圍內,無偏壓。應當理解,儘管此些條件適用於一些處理反應器,例如可獲自加州費里蒙科林研發公司(Lam Research Corporation)之Kiyo蝕刻工具,但根據處理反應器之能力可使用廣泛範圍之製程條件。
在熱顯影製程中,基板係在真空腔室(例如爐)中暴露於乾式顯影化學物質(例如路易斯酸)。合適之腔室可包括真空管線、乾式顯影鹵化氫化學氣體(例如,HBr、HCl)管線及用於溫度控制之加熱器。在一些實施例中,腔室內部可塗有抗腐蝕膜,例如有機聚合物或無機塗層。此等塗層為聚四氟乙烯((PTFE),例如,Teflon TM)。此等材料可用於本發明之熱製程中而無被電漿暴露去除的風險。
乾式顯影之製程條件可為100-500 sccm反應物流量(例如500 sccm HBr或HCl)、-10-120°C(例如-10°C) 溫度、1-500 mTorr (例如300 mTorr)壓力、無電漿下且持續約10秒至1 分鐘時間,其取決於光阻膜與覆蓋層及其組成與性質。
在諸多實施例中,本發明之方法結合膜沉積、透過氣相沉積之形成、(EUV)微影光圖案化及乾式顯影之所有乾式步驟。在此等製程中,在EUV掃描儀中進行光圖案化之後,基板可直接進入乾式顯影/蝕刻腔室。此等製程可避免濕式顯影相關之材料及生產成本。乾式製程亦可提供更多的可調性,並予以進一步CD控制及/或浮渣清除。
在諸多實施例中,EUV光阻(含有一些金屬、金屬氧化物及有機成分的含量)可透過熱、電漿(例如,可能包括光活化電漿,例如燈加熱或UV燈加熱)、或熱與電漿方法之混合並使包含有式RxZy化合物之乾式顯影氣體流動(其中R = B、Al、Si、C、S、SO,x > 0,且Z = Cl、H、Br、F 、CH 4及y> 0)來進行乾式顯影。乾式顯影可產生正型,其中RxZy物種選擇性去除曝光材料,留下未曝光對應部分作為遮罩。在一些實施例中,基於有機錫氧化物之光阻膜的曝光部分係根據本發明透過乾式顯影去除。正型乾式顯影可透過EUV曝光區域之選擇性乾式顯影(去除)來達成,EUV曝光區域暴露於包含有鹵化氫或氫與鹵化物(包括HCl及/或HBr)之流料而未觸發電漿、或H 2與Cl 2及/或Br 2之流料且具遠端電漿或電漿產生之UV輻射以產生自由基。
亦可採用濕式顯影方法。在特定實施例中,此等濕式顯影方法係用於去除EUV曝光區,以提供正型光阻或負型光阻。在一些實施例中,濕式顯影包括中性顯影劑(例如,pH中性顯影劑,例如水)或含過氧化物之顯影劑(例如,包括過氧化氫、H 2O 2)。示例性非限定濕式顯影可包括在鹼性顯影劑(例如水性鹼性顯影劑)中使用鹼,例如包括銨,例如氫氧化銨(NH 4OH);基於銨的離子液體,例如四甲基氫氧化銨(TMAH)、四乙基氫氧化銨(TEAH)、四丙基氫氧化銨(TPAH)、四丁基氫氧化銨(TBAH)或其他季烷基氫氧化銨;有機胺,例如一級、二級及三級有機胺(例如二甲基胺、二乙基胺、乙二胺、三乙烯四胺);或烷醇胺,例如單乙醇胺、二乙醇胺、三乙醇胺或二甘醇胺之彼等。在其他實施例中,鹼性顯影劑可包括含氮鹼,例如具有式R N1NH 2、R N1R N2NH、R N1R N2R N3N、或R N1R N2R N3R N4N +X N1 之化合物,其中R N1、R N2、R N3及R N4各自獨立為有機取代基(例如,視情況取代之烷基或本文所述之任一者)、或可連接在一起之兩個或更多有機取代基,且X N1-可包含OH 、F 、Cl 、Br 、I 或其他本領域已知之季銨陽離子物種。此些鹼亦可包含雜環氮化合物,其中一些描述於本文中。非限定組合包括水與鹼性顯影劑。
其他顯影方法可包括在酸性顯影劑(例如,水性酸性顯影劑或有機溶劑中之酸性顯影劑)中使用酸,其包含鹵化物(例如HCl或HBr)、有機酸(例如,甲酸、乙酸或檸檬酸)、或有機氟化合物(例如三氟乙酸);或使用有機顯影劑,例如酮(如2-庚酮、環己酮或丙酮)、酯(例如γ-丁內酯或3-乙氧基丙酸乙酯(EEP))、醇(例如異丙醇(IPA))、或醚,例如乙二醇醚 (例如丙二醇甲醚(PGME)或丙二醇甲醚醋酸酯(PGMEA))、以及其組合。非限定組合包括水與酸性顯影劑。
在特定實施例中,正型顯影劑為水性鹼性顯影劑(例如,包括NH 4OH、TMAH、TEAH、TPAH或TBAH)。在其他實施例中,負型顯影劑為水性酸性顯影劑、有機溶劑中之酸性顯影劑、或有機顯影劑(例如,HCl、HBr、甲酸、三氟乙酸、2-庚酮、IPA、PGME、PGMEA或其組合)。
本文之任一顯影劑可包括一或更多界面活性劑。界面活性劑可包括正、負或中性電荷且可選自由氟化或非氟化界面活性劑所組成之群組。非限定界面活性劑包括季銨鹽、全氟辛酸銨鹽、全氟壬酸銨鹽、含氟界面活性劑、聚氧乙烯硬脂酸醚、聚氧乙烯油基醚、聚氧乙烯辛基苯基醚、聚氧乙烯壬基苯基醚、聚乙二醇二月桂酸酯、聚乙二醇二硬脂酸脂、烷基苯磺酸鹽、磺基琥珀酸鈉、及月桂基硫酸鈉。
濕式顯影可包括任何有用的方法,包括浸漬顯影、水坑顯影及噴霧顯影。在此些製程中之任一者之後或期間,可旋轉基板以去除膜之溶解部分,並同時乾燥膜。
顯影製程可包括濕式及乾式顯影製程兩者。 此等製程可包括初始之濕式顯影,接著是隨後的乾式顯影,或反之亦然。顯影液可循環進行,其中使用複數濕式顯影製程、使用複數乾式顯影製程或採用複數濕式與乾式顯影製程。 塗佈後製程
本文之方法可包括任何有用之塗佈後製程,如下所述。
對於背側及斜邊清潔製程,可將蒸氣及/或電漿限制於晶圓之特定區域,以確保僅去除背側及斜邊,而晶圓之前側上未有任何膜降解。去除之乾式沉積EUV光阻膜一般係由Sn、O及C所構成,但相同的清潔方法可擴展至其他金屬氧化物阻劑及材料之膜上。此外,此方法亦可用於膜剝離及PR重修。
用於乾式斜邊及背側清潔之合適製程條件可為100-500 sccm反應物流量(例如500 sccm HCl、HBr、或H 2與Cl 2或Br 2、BCl 3或H 2) 、-10-120°C(例如20°C) 溫度、20-500 mTorr(例如300 mTorr) 壓力、高頻下(例如13.56 MHz)之0-500 W電漿功率、持續約10-20秒時間,其取決於光阻膜及組成與性質。應當理解,儘管此些條件適用於一些處理反應器,例如可獲自加州費里蒙科林研發公司(Lam Research Corporation)之Kiyo蝕刻工具,但根據處理反應器之能力可使用廣泛範圍之製程條件。
光微影製程通常包括一或更多烘烤步驟,以促進在光阻之曝光與未曝光區之間產生化學對比所需之化學反應。對於大量製造(HVM),此等烘烤步驟通常在顯影機上執行,其中晶圓在環境空氣或一些例子中在N 2流下於預設溫度之熱板上烘烤。在此些烘烤步驟期間,更仔細地控制烘烤環境以及在環境中引入額外反應性氣體成分可助於進一步降低劑量要求及/或改善圖案複製度(fidelity)。
根據本發明之諸多態樣,在沉積之後(例如塗佈後烘烤(PAB))及/或曝光之後(例如曝光後烘烤(PEB))及/或顯影之後(例如顯影後烘烤(PDB))對基於金屬及/或金屬氧化物之光阻進行一或更多後處理能夠提高曝光與未曝光光阻之間的材料性質差異,因而減小劑量比上尺寸(DtS)、改善PR輪廓、並改善後續乾式顯影後之線邊緣及寬度粗糙度(LER/LWR)。此等處理可涉及控制溫度、氣體環境及水分之熱處理,因而改善後續處理中之乾式顯影效能。在一些實例中,可使用遠端電漿。
在塗佈後處理(例如,PAB)之例子中,可在沉積後且曝光前使用控制溫度、氣體環境(例如,空氣、H 2O、CO 2、CO、O 2、O 3、CH 4、CH 3OH、N 2、H 2、NH 3、N 2O、NO、Ar、He或其混合物)或真空下、及水分之熱製程,以改變未曝光金屬及/或金屬氧化物光阻的組成。該改變可提高材料之EUV敏感性,並因此可在曝光且乾式顯影後達到較低劑量比上尺寸及邊緣粗糙度。
在曝光後處理(例如,溫育(incubating)或PEB)之例子中,可利用控制溫度、氣體環境(例如,空氣、H 2O、CO 2、CO、O 2、O 3、CH 4、CH 3OH、N 2、H 2、NH 3、N 2O、NO、Ar、He或其混合物)或真空下、及水分之熱製程,以改變未曝光及曝光光阻兩者之組成。該改變可提高未曝光與曝光光阻之間的組成/材料性質差異以及未曝光與曝光光阻之間乾式顯影蝕刻氣體的蝕刻速率差異。因而可達到更高的蝕刻選擇性。由於改善選擇性,故可獲得具有改善之表面粗糙度及/或較少光阻殘留/浮渣的方形PR輪廓。在特定實施例中,PEB可在空氣中以及可選地存在水分及CO 2下進行。
在顯影後處理(例如,顯影後烘烤或PDB)之例子中,可利用控制溫度、氣體環境(例如,空氣、H 2O、CO 2、CO、O 2、O 3、CH 4、CH 3OH、N 2、H 2、NH 3、N 2O、NO、Ar、He或其混合物)或真空下(例如有UV)、及水分之熱製程,以改變未曝光光阻之組成。在特定實施例中,該條件亦包括使用電漿(例如,包括O 2、O 3、Ar、He或其混合物)。該改變可增強材料的硬度,若在蝕刻底層基板時將使用該膜作為阻劑遮罩,則其可能是有利的。
在此些例子中,於可替代實施方式中,熱製程可被遠端電漿製程代替來增加反應性物種,以降低反應之能障並提高生產量。遠端電漿可產生更多反應性自由基,因而降低用於處理之反應溫度/時間,從而提高生產量。
據此,可應用一或多個製程來改質光阻本身以增加乾式顯影選擇性。此熱或自由基改質可增加未曝光與曝光材料之間的對比,因而增加後續乾式顯影步驟的選擇性。未曝光與曝光材料之材料性質之間所得的差異可透過調整製程條件(包括溫度、氣體流量、水分、壓力及/或RF功率)來調變。乾式顯影所能實現之大的製程自由度(其不受濕式顯影劑中材料溶解度的限制)允許應用更苛刻的條件以進一步提高可達到之材料對比。所得之高材料對比可對乾式顯影反饋更寬的製程窗,因而實現較高生產量、較低成本及較佳缺陷效能。
濕式顯影之阻膜的主要限制是受限的溫度烘烤。由於濕式顯影取決於材料溶解度,因此例如加熱至220°C或超過220°C會大幅增加含金屬PR膜之曝光與未曝光區域兩者中的交聯度,使得兩者均變成不溶於濕式顯影溶劑,如此該膜不能再可靠地進行濕式顯影。對於乾式顯影之阻膜(其中靠著PR之曝光與未曝光區域之間的蝕刻速率差異(即選擇性)而僅去除阻劑之曝光或未曝光部分),PAB、PEB或PDB中之處理溫度可在更寬廣得多的窗內變化,以對處理製程進行調變及最佳化,例如用於PAB約90-250°C(如90-190°C),而用於PEB及/或PDB約170-250°C或更高,如190-240°C。已發現較小蝕刻速率且較大蝕刻選擇性發生於所述範圍內之較高處理溫度下。
在特定實施例中,PAB、PEB及/或PDB處理可在氣體環境流量為100-10000 sccm範圍內、水分含量為百分之幾至100%(例如20-50%)含量、壓力介於大氣壓與真空之間、且持續時間約1-15分鐘(例如約2分鐘)下執行。
此些發現可用於調變處理條件,以定製用於特定材料及情況之處理或對其最佳化。例如,對於給定之EUV劑量,在空氣中約20%濕度下進行220-250°C PEB熱處理約2分鐘所能達到之選擇性,可類似於高約30%之EUV劑量而無此等熱處理之選擇性。因此,取決於半導體處理操作之選擇性要求/限制,可使用例如本文所述之熱處理以降低所需之EUV劑量。或者,若需更高之選擇性且可容許更高的劑量,則可獲得比濕式顯影背景下可能達到還要高得多的選擇性,其曝光比上未曝光高達100倍。
另其他步驟可包括原位度量,其中物理及結構特性(例如,臨界尺寸、膜厚度等)可在光微影製程期間評估。實施原位度量之模組包括,例如散射測量、橢圓偏光、下游質譜、及/或電漿增強下游光放射光譜模組。 設備
本發明亦包括配置成執行本文所述之任何方法之任何設備。在一實施例中,用於沉積膜之設備包括沉積模組,該沉積模組包括透過在摻雜物前驅物存在下提供有機金屬前驅物以將EUV敏感材料沉積為膜之腔室;圖案化模組,其包括具有小於30 nm波長輻射源之EUV光微影工具;以及顯影模組,其包括用於對膜進行顯影之腔室。
該設備可進一步包括具有用於此等模組之指令的控制器。在一實施例中,控制器包括一或更多記憶體裝置、一或更多處理器、以及編有用於執行膜或覆蓋層沉積指令之系統控制軟體。此等指令可包括在沉積模組中用於使摻雜膜沉積於基板或光阻層之頂表面上;在圖案化模組中,直接透過EUV曝光以小於30 nm解析度對膜進行圖案化,因而在膜內形成圖案;以及在顯影模組中,對該膜顯影。在特定實施例中,顯影模組提供用於去除EUV曝光或EUV未曝光區,因而在膜內提供圖案。
4繪出具有維持低壓環境之製程腔室主體 402的製程站 400實施例示意圖,其適於實施所述乾式剝離及顯影實施例。複數製程站 400可包含於共同低壓製程工具環境中。例如, 5繪出多站處理工具 500之實施例,例如可獲自加州費里蒙科林研發公司(Lam Research Corporation)之VECTOR®處理工具。在一些實施例中,製程站 400之一或更多硬體參數(包括以下詳細討論之彼等參數)可由一或更多電腦控制器 450以程式化方式進行調整。
製程站可配置成叢集工具中的模組。 7繪出具有適於實施本文所述實施例之真空整合沉積與圖案化模組的半導體製程叢集工具架構。此等叢集製程工具架構可包括阻劑沉積、阻劑曝光(EUV掃描儀)、阻劑乾式顯影及蝕刻模組,如本文參考 67所述。
在一些實施例中,某些處理功能可在同一模組中連續執行,例如乾式顯影及蝕刻。且本發明之實施例係針對用於以下之方法與設備 : 在EUV掃描儀中進行光圖案化之後將晶圓(包括設置於待蝕刻之層或層堆上的光圖案化EUV阻劑薄膜層)接收到乾式顯影/蝕刻腔室;對光圖案化EUV阻劑薄膜層進行乾式顯影;以及接著使用圖案化EUV阻劑作為遮罩來蝕刻底下層,如本文所述。
返回 4,製程站 400與反應物輸送系統 401a流體連通,以透過連接 405將製程氣體輸送至分佈噴淋頭 406。反應物輸送系統 401a可選地包括混合容器 404,用於混合及/或調節製程氣體,以輸送至噴淋頭 406。一或更多混合容器入口閥 420可控制製程氣體引入混合容器 404。在利用電漿暴露下,電漿亦可被輸送至噴淋頭 406,或者可在製程站 400中產生。製程氣體可包括例如本文所述之任一者,如有機金屬前驅物、摻雜物前驅物或相對反應物。
4包括可選汽化點 403,用於汽化待供應至混合容器 404之液體反應物。液體反應物可包括有機金屬前驅物、摻雜物前驅物或相對反應物。在一些實施例中,可提供在汽化點 403上游之液體流量控制器(LFC),用於控制液體的質量流量以汽化並輸送至製程站 400。例如,LFC可包括位於LFC下游之熱質量流量計(MFM)。LFC之柱塞閥可接著被調整成響應於與MFM電性連通之比例積分微分(PID)控制器所提供的反饋控制訊號。
噴淋頭 406向基板 412分佈製程氣體。在 4所示之實施例中,基板 412位於噴淋頭 406下方,且示為留置於基座 408上。噴淋頭 406可具有任何適當的形狀,且可具有用於將製程氣體分佈至基板 412之任何適當數量及佈設的埠。
在一些實施例中,基座 408可被升高或下降,以使基板 412暴露於基板 412與噴淋頭 406之間的容積。將知悉,在一些實施例中,基座高度可透過合適之電腦控制器 450以程式化方式進行調整。
在一些實施例中,基座 408可透過加熱器 410進行溫度控制。在一些實施例中,在光圖案化阻劑無電漿地熱暴露於乾式顯影化學物質(例如,HBr、HCl或BCl 3)期間,基座 408可被加熱至大於0℃且高達300℃或更高,舉例為50-120°C,例如約65-80°C,如所揭示之實施例中所述。
此外,在一些實施例中,對製程站 400之壓力控制可透過蝶閥 418來提供。如 4之實施例中所示,蝶閥 418對下游真空泵(未示出)所提供之真空進行節流。然而,在一些實施例中,製程站 400之壓力控制亦可透過改變引入製程站 400之一或更多氣體的流速來調整。
在一些實施例中,可相對於基座 408調整噴淋頭 406的位置,以改變基板 412與噴淋頭 406之間的容積。此外,將知悉,基座 408及/或噴淋頭 406的垂直位置可在本發明之範圍內透過任何合適的機構來變化。在一些實施例中,基座 408可包括用於旋轉基板 412方位之旋轉軸。將知悉,在一些實施例中,此些示例性調整中之一或更多者可透過一或更多合適的電腦控制器 450以程式化方式來執行。
在可使用電漿下(例如在基於溫和電漿之乾式顯影實施例中及/或在同一腔室中進行蝕刻操作),噴淋頭 406及基座 408與射頻(RF)功率供應源 414及匹配網路 416電性通訊,用以對電漿 407供電。在一些實施例中,電漿能量可透過控制製程站壓力、氣體濃度、RF源功率、RF源頻率及電漿功率脈衝時序中之一或更多者來控制。例如,RF功率供應源 414及匹配網路 416可按任何合適功率來進行操作,以形成具有所欲自由基物種組成之電漿。合適功率之示例高達約500 W。
在一些實施例中,用於控制器 450之指令可透過輸入/輸出控制(IOC)定序指令來提供。在一示例中,用於針對製程階段設定條件的指令可包含於製程配方之對應配方階段中。在一些例子中,製程配方階段可依序排列,使得針對製程階段之所有指令與該製程階段同時執行。在一些實施例中,用於設定一或更多反應器參數之指令可包含於配方階段中。例如,配方階段可包括用於設定乾式顯影化學反應氣體(例如,HBr或HCl)之流速的指令以及用於配方階段之時間延遲指令。在一些實施例中,控制器 450可包括以下關於 5之系統控制器 550所描述之任何特徵。
如上所述,一或更多製程站可包含於多站處理工具中。 5示出具有入站裝載室 502及出站裝載室 504(其一者或兩者可包括遠端電漿源)之多站處理工具 500的實施例示意圖。處於大氣壓下之機器人 506配置成將晶圓從透過傳送盒(pod) 508裝載之晶舟通過大氣埠 510移動至入站裝載室 502。晶圓透過機器人 506被放置在入站裝載室 502中之基座 512上,大氣埠 510被關閉,接著裝載室被泵抽。在入站裝載室 502包括遠端電漿源之情況下,晶圓可在引入處理腔室 514之前暴露於遠端電漿處理,以在裝載室中處理氮化矽表面。此外,晶圓亦可在入站裝載室 502中被加熱,例如以去除水分及吸附的氣體。接著,打開往處理腔室 514之腔室轉移埠 516,且另一機器人(未示出)將晶圓放入反應器中第一站之基座上(示於反應器中)以進行處理。儘管繪於 5中之實施例包括裝載室,但將知悉,在一些實施例中,可提供晶圓直接進入製程站。
所繪之處理腔室 514包括四個製程站,在 5所示之實施例中從1到4編號。每一站具有一加熱基座(示於站1之 518)及氣體管線入口。將知悉,在一些實施例中,每一製程站可具有不同或多個目的。例如,在一些實施例中,製程站可在乾式顯影與蝕刻製程模組之間切換。額外地或可替代地,在一些實施例中,處理腔室 514可包括一或更多對匹配的乾式顯影及蝕刻製程站。儘管所繪之處理腔室 514包括四個站,但將理解,根據本發明之處理腔室可具有任何合適數量的站。例如,在一些實施例中,處理腔室可具有五或更多站,而在其他實施例中,處理腔室可具有三或更少站。
5繪出用於在處理腔室 514內轉移晶圓之晶圓搬運系統 590的實施例。在一些實施例中,晶圓搬運系統 590可在諸多製程站之間及/或在製程站與裝載室之間轉移晶圓。將知悉,可採用任何合適之晶圓搬運系統。非限定示例包括晶圓傳送帶及晶圓搬運機器人。 5亦繪出用於控制製程條件及製程工具 500之硬體狀態的系統控制器 550實施例。系統控制器 550可包括一或更多記憶體裝置 556、一或更多大容量儲存裝置 554以及一或更多處理器 552。處理器 552可包括中央處理器(CPU)或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等。
在一些實施例中,系統控制器 550控制製程工具 500之所有活動。系統控制器 550執行儲存在大容量儲存裝置 554中、加載至記憶體裝置 556中並在處理器 552上執行之系統控制軟體 558。可替代地,可將控制邏輯硬編碼於控制器 550中。特殊應用積體電路、可程式化邏輯裝置(例如場可程式化閘陣列或FPGAs)及其類似者可用於該些目的。在以下討論中,無論「軟體」或「編碼」用於何種情況,功能上相當之硬碼化邏輯皆可用於該狀況。系統控制軟體 558可包含用以控制時序、氣體之混合、氣體流速、腔室及/或站壓力、腔室及/或站溫度、晶圓溫度、目標功率位準、RF功率位準、基板基座、吸盤及/或晶座位置、及由製程工具 500所執行之特定製程的其他參數。系統控制軟體 558可以任何合適的方式來配置。例如,可寫入諸多製程工具組成件子程式或控制物件,以控制用以實現諸多製程工具製程之製程工具組成件的操作。系統控制軟體 558可以任何合適的電腦可讀取程式語言來進行編碼。
在一些實施例中,系統控制軟體 558可包含用以控制上述諸多參數之輸入/輸出控制(IOC)定序指令。在一些實施例中,可採用儲存於與系統控制器 550相關聯之大容量儲存裝置 554及/或記憶體裝置 556上之其他電腦軟體及/或程式。用於此目的之程式或程式片段的示例包括基板定位程式、製程氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含用於製程工具組成件之程式編碼,製程工具組成件係用於將基板裝載至基座 518上並控制基板與製程工具 500之其他部件之間的間隔。
製程氣體控制程式可包含編碼,其用以控制諸多氣體組成(例如本文所述之HBr或HCl氣體)及流速,及可選地用以在沉積前使氣體流入一或更多製程腔室中以穩定製程腔室中之壓力。壓力控制程式可包含編碼,其係藉由調節例如該製程站之排出系統中的節流閥、進入該製程站之氣體流量等,以控制該製程站中之壓力。
加熱器控制程式可包含編碼,用於控制流至用於加熱基板之加熱單元的電流。可替代地,加熱器控制程式可控制熱傳導氣體(例如,氦)往基板的輸送。
電漿控制程式可包含編碼,用於根據本文實施例來設定施加至一或更多製程站中之製程電極的RF功率位準。
壓力控制程式可包含編碼,用於根據本文實施例來維持反應腔室內壓力。
在一些實施例中,可有與系統控制器 550相關聯之使用者介面。該使用者介面可包含顯示螢幕、設備及/或製程條件之圖形化軟體顯示器、及使用者輸入裝置(例如指向裝置、鍵盤、觸控螢幕、麥克風等)。
在一些實施例中,由系統控制器 550調整之參數可與製程條件有關。非限定示例包含製程氣體組成及流速、溫度、壓力、電漿條件(例如RF偏壓功率位準)等。可以配方(其可利用使用者介面來輸入)形式將此等參數提供至使用者。
透過系統控制器 550之類比及/或數位輸入連接件,可從諸多製程工具感測器提供用以監測製程的訊號。用以控制製程之訊號可在製程工具 500之類比及/或數位輸出連接件上輸出。可被監測之製程工具感測器的非限定示例包括質量流量控制器、壓力感測計(例如壓力計)、熱電偶等。經適當程式化之反饋及控制演算法可與來自此些感測器的數據一起使用,以維持製程條件。
系統控制器 550可提供用以實施上述沉積製程之程式指令。該等程式指令可控制各種製程參數,如DC功率位準、RF偏壓功率位準、壓力、溫度等。該等指令可控制該等參數,以根據本文所述之諸多實施例操作乾式顯影及/或蝕刻製程。
系統控制器 550將通常包括一或更多記憶體裝置及一或更多處理器,其配置成執行該等指令,使得該設備將根據所揭示之實施例來執行方法。機器可讀媒體(含有用以根據所揭示之實施例來控制製程操作之指令)可耦接至系統控制器 550
在一些實施方式中,系統控制器 550為系統之一部份,其可為上述示例之一部分。此等系統可包括半導體處理裝備,其包含一處理工具或複數工具、一腔室或複數腔室、一處理平台或複數平台、及/或特定處理組成件(晶圓基座、氣流系統等)。此等系統可與電子設備結合,以控制半導體晶圓或基板處理前、處理期間及處理後之其操作。此等電子設備可指「控制器」,其可控制該系統或複數系統之諸多組成件或次部件。取決於處理條件及/或系統類型,系統控制器 550可程式化以控制本文所揭示之任何製程,包括處理氣體之輸送、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體輸送設定、位置及操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具、及/或裝載室)。
廣泛地講,系統控制器 550可定義為具有用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測以及類似者之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含 : 儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processor)、定義為特殊應用積體電路(ASIC,application specific integrated circuit)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以諸多各別設定(或程式檔案)之形式而傳送至系統控制器 550的指令,該各別設定(或程式檔案)為實行(半導體晶圓上,或針對半導體晶圓,或對系統之)特定的製程而定義操作參數。在一些實施例中,操作參數可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分 : 層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒。
系統控制器 550在一些實施方式中可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合。舉例而言,系統控制器 550可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查其趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的製程。在一些示例中,遠端電腦(例如,伺服器)可通過網路而提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含能夠進行參數及/或設定輸入或程式設計之使用者介面,接著該參數及/或設定可自遠端電腦傳送至系統。在一些示例中,系統控制器 550接收數據形式指令,該指令為即將於一或更多操作期間進行之每一處理步驟指定參數。應當理解,參數可特定針對待執行之製程類型、及系統控制器 550與之接合或加以控制之工具類型。因此,如上所述,系統控制器 550可為分散式,例如藉由包含以網路方式接在一起、且朝向共同目的(例如,本文所描述之製程及控制)運作之一或更多分離的控制器。用於此目的之分散式控制器舉例為,腔室上與位於遠端的一或更多積體電路(例如,於平臺水平處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上的製程。
示例性系統可包含,但不限於,電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬鍍覆腔室或模組、清潔腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、顯影機(track)腔室或模組、EUV微影腔室(掃描儀)或模組、乾式顯影腔室或模組、及可在半導體晶圓的製造及/或加工中相關聯的、或使用的任何其他半導體處理系統。
如上所述,取決於待藉由工具而執行之製程步驟或複數步驟,系統控制器 550可與半導體製造工廠中的一或更多以下者進行通訊 : 其他工具電路或模組、其他工具組成件、叢集工具、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具攜帶晶圓容器往返工具位置及/或裝載埠。
現在描述感應耦合式電漿(ICP)反應器,其在某些實施例中可能適用於適於實施某些實施例之蝕刻操作。儘管本文描述ICP反應器,但在一些實施例中,應當理解,亦可使用電容耦合式電漿反應器。
6示意性地示出感應耦合式電漿設備 600之剖面圖,其適合於實施某些實施例或實施例態樣,例如乾式顯影及/或蝕刻,其示例為加州費里蒙科林研發公司(Lam Research Corporation)所生產之Kiyo®反應器。在其他實施例中,具有執行本文所述乾式顯影及/或蝕刻製程之功能的其他工具或工具類型可用於實施。
感應耦合式電漿設備 600包括結構上由腔室壁 601及窗口 611所定義之整個製程腔室。腔室壁 601可由不銹鋼或鋁製成。窗口 611可由石英或其他介電材料製成。可選的內部電漿格柵 650將整個製程腔室分成上部次腔室 602及下部次腔室 603。在大多數實施例中,可移除電漿格柵 650,因而利用次腔室 602603所構成之腔室空間。吸盤 617位於下部次腔室 603內靠近底部內表面處。吸盤 617配置成接收並固持在其上執行蝕刻及沉積製程之半導體晶圓 619。吸盤 617可為用於支撐晶圓 619(當存在時)的靜電吸盤。在一些實施例中,邊緣環(未示出)環繞吸盤 617,並具有與晶圓 619(當存在於吸盤 617上方時)之頂表面大致平坦的上表面。吸盤 617亦包括用於對晶圓 619進行吸附及脫附的靜電電極。為此目的,可提供濾波器及直流(DV)箝位功率供應源(未示出)。
亦可提供用於將晶圓 619提高離開吸盤 617之其他控制系統。吸盤 617可使用RF功率供應源 623進行充電。RF功率供應源 623透過連接 627連接至匹配電路 621。匹配電路 621透過連接 625連接至吸盤 617。以此方式,RF功率供應源 623連接至吸盤 617。在諸多實施例中,取決於根據所揭示之實施例所執行的製程,靜電吸盤的偏壓功率可設為約50 V或可設為不同的偏壓功率。例如,偏壓功率可介於約20 V與約100 V之間,或介於約30 V與約150 V之間。
用於產生電漿之元件包括位於窗口 611上方之線圈 633。在一些實施例中,所揭示之實施例中不使用線圈。線圈 633係由導電材料製成並包括至少一整匝。 6所示之線圈 633示例包括三匝。線圈 633之剖面用符號示出,且具有「X」之線圈旋轉延伸進入頁面,而具有「●」之線圈旋轉延伸出頁面外。用於產生電漿之元件亦包括配置成供應RF功率至線圈 633之RF功率供應源 641。一般而言,RF功率供應源 641透過連接 645連接至匹配電路 639。匹配電路 639透過連接 643連接至線圈 633。以此方式,RF功率供應源 641連接至線圈 633。可選的法拉第屏蔽 649位於線圈 633與窗口 611之間。法拉第屏蔽 649可相對於線圈 633保持間隔開的關係。在一些實施例中,法拉第屏蔽 649設置在窗口 611正上方。在一些實施例中,法拉第屏蔽位於窗口 611與吸盤 617之間。在一些實施例中,法拉第屏蔽未相對於線圈 633保持間隔開的關係。例如,法拉第屏蔽可在窗口正下方而無間隙。線圈 633、法拉第屏蔽 649及窗口 611各自配置成相互呈實質上平行。法拉第屏蔽 649可防止金屬或其他物種沉積在製程腔室之窗口 611上。
製程氣體可透過設於上部次腔室 602中之一或更多主氣流入口 660及/或透過一或更多側氣流入口 670流入製程腔室。同樣地,儘管未明確示出,但類似氣流入口可用於供應製程氣體至電容耦合式電漿處理腔室。真空泵(例如一階或二階機械乾式及/或渦輪分子泵) 640可用於將製程氣體從製程腔室中抽出並維持製程腔室內的壓力。例如,在ALD之沖洗操作期間,真空泵可用於抽空下部次腔室 603。閥控制導管可用於將真空泵流體連接至製程腔室,以選擇性地控制真空泵所提供之真空環境的施加。此可在操作電漿處理期間採用閉環控制之限流裝置來完成,例如節流閥(未示出)或鐘擺錘(未示出)。同樣地,對電容耦合式電漿處理腔室亦可採用真空泵浦及閥控式流體連接。
在設備 600之操作期間,一或更多製程氣體可透過氣流入口 660及/或 670來供應。在某些實施例中,製程氣體可僅透過主氣流入口 660或僅透過側氣流入口 670來供應。在一些例子中,圖中所示之氣流入口可用更複雜的氣流入口(例如一或更多噴淋頭)代替。法拉第屏蔽 649及/或可選格柵 650可包括內部通道及孔,其允許製程氣體輸送至製程腔室。法拉第屏蔽 649與可選格柵 650中之一者或兩者可作為用於製程氣體之輸送的噴淋頭。在一些實施例中,液體汽化及輸送系統可位於製程腔室的上游,如此一旦液體反應物或前驅物被汽化,汽化反應物或前驅物即透過氣流入口 660及/或 670引入製程腔室中。
射頻功率係從RF功率供應源 641供應至線圈 633,以使RF電流流過線圈 633。流過線圈 633之RF電流在線圈 633周圍產生電磁場。電磁場在上部次腔室 602內產生感應電流。諸多產生之離子及自由基與晶圓 619之物理及化學相互作用蝕刻晶圓 619之特徵部並選擇性地在晶圓 619上沉積層。
若使用電漿格柵 650而有上部次腔室 602及下部次腔室 603兩者,則感應電流作用在上部次腔室 602中存在的氣體上,以在上部次腔室 602中產生電子-離子電漿。該可選之內部電漿格柵 650限制下部次腔室 603中之熱電子數。在一些實施例中,設備 600係設計並操作成使得存在於下部次腔室 603中之電漿為離子-離子電漿。
上部電子-離子電漿與下部離子-離子電漿兩者均可含有正及負離子,但離子-離子電漿將具有更大的負離子比上正離子的比率。揮發性蝕刻及/或沉積副產物可透過埠 622從下部次腔室 603中去除。本文所揭示之吸盤 617可在介於約10-250℃之間的升高溫度下操作。溫度將取決於製程操作及特定配方。
當設備 600安裝在無塵室或製造設施中時,其可耦接至設施(未示出)。設施包括提供處理氣體、真空、溫度控制及環境顆粒控制之配管。當安裝在目標製造設施中時,此些設施耦接至設備 600。另外,設備 600可耦接至轉移腔室,其允許機器人使用典型自動化來轉移半導體晶圓進出設備 600
在一些實施例中,系統控制器 630(其可包括一或更多物理或邏輯控制器)控制製程腔室之一些或全部操作。系統控制器 630可包括一或更多記憶體裝置及一或更多處理器。在一些實施例中,設備 600包括切換系統,用於在執行所揭示之實施例時控制流速及持續時間。在一些實施例中,設備 600可具有高達約600 ms或高達約750 ms的切換時間。切換時間可能取決於流動化學、所選配方、反應器結構及其他因素。
在一些實施方式中,系統控制器 630為系統之一部份,其可為上述示例之一部分。此等系統可包括半導體處理裝備,其包含一處理工具或複數工具、一腔室或複數腔室、一處理平台或複數平台、及/或特定處理組成件(晶圓基座、氣流系統等)。此等系統可與電子設備結合,以控制半導體晶圓或基板處理前、處理期間及處理後之其操作。此等電子設備可整合於系統控制器 630中,其可控制該系統或複數系統之諸多組成件或次部件。取決於處理條件及/或系統類型,系統控制器可程式化以控制本文所揭示之任何製程,包括處理氣體之輸送、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體輸送設定、位置及操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具、及/或裝載室)。
廣泛地講,系統控制器 630可定義為具有用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測以及類似者之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含 : 儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processor)、定義為特殊應用積體電路(ASIC,application specific integrated circuit)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以諸多各別設定(或程式檔案)之形式而傳送至控制器的指令,該各別設定(或程式檔案)為實行(半導體晶圓上,或針對半導體晶圓,或對系統之)特定的製程而定義操作參數。在一些實施例中,操作參數可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分 : 層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒。
系統控制器 630在一些實施方式中可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合。舉例而言,控制器可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查其趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的製程。在一些示例中,遠端電腦(例如,伺服器)可通過網路而提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含能夠進行參數及/或設定輸入或程式設計之使用者介面,接著該參數及/或設定可自遠端電腦傳送至系統。在一些示例中,系統控制器 630接收數據形式指令,該指令為即將於一或更多操作期間進行之每一處理步驟指定參數。應當理解,參數可特定針對待執行之製程類型、及控制器與之接合或加以控制之工具類型。因此,如上所述,系統控制器 630可為分散式,例如藉由包含以網路方式接在一起、且朝向共同目的(例如,本文所描述之製程及控制)運作之一或更多分離的控制器。用於此目的之分散式控制器舉例為,腔室上與位於遠端的一或更多積體電路(例如,於平臺水平處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上的製程。
示例性系統可包含,但不限於,電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬鍍覆腔室或模組、清潔腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、ALE腔室或模組、離子植入腔室或模組、顯影機(track)腔室或模組、EUV微影腔室(掃描儀)或模組、乾式顯影腔室或模組、及可在半導體晶圓的製造及/或加工中相關聯的、或使用的任何其他半導體處理系統。
如上所述,取決於待藉由工具而執行之製程步驟或複數步驟,控制器可與半導體製造工廠中的一或更多以下者進行通訊:其他工具電路或模組、其他工具組成件、叢集工具、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具攜帶晶圓容器往返工具位置及/或裝載埠。
EUVL圖案化可使用通常稱為掃描儀之任何合適的工具來執行,例如由ASML(荷蘭Veldhoven)所供應之TWINSCAN NXE:3300B®平台。EUVL圖案化工具可為供基板移動進出以進行本文所述沉積及蝕刻之獨立裝置。或者,如下所述,EUVL圖案化工具可為較大多組件工具上的模組。 7繪出具有真空整合沉積、EUV圖案化及乾式顯影/蝕刻模組(與真空轉移模組相接)之半導體製程叢集工具架構,其適用於實施本文所述之製程。儘管可在沒有此等真空整合設備下執行製程,但此等設備在一些實施方式中可能是有利的。
7繪出具有真空整合沉積及圖案化模組(其與真空轉移模組相接)之半導體製程叢集工具架構,其適用於實施本文所述之製程。用於在多個儲存設施與處理模組之間「轉移」晶圓之轉移模組的佈設可稱為「叢集工具架構」系統。沉積及圖案化模組根據特定製程之要求而為真空整合。其他模組(例如用於蝕刻)亦可包含於該叢集中。
真空轉移模組(VTM) 738與四個處理模組 720a-72 0d(其可分別被最佳化以執行諸多製造製程)相接。舉例來說,處理模組 720a- 720d可實施為執行沉積、蒸發、ELD、乾式顯影、蝕刻、剝離及/或其他半導體製程。例如,模組 720a可為ALD反應器,其可被操作成在非電漿中執行如本文所述之熱原子層沉積,例如可獲自加州費里蒙科林研發公司(Lam Research Corporation)之Vector工具。又,模組 720b可為PECVD工具,例如Lam Vector®。應當理解,該圖不一定按比例繪製。
氣室 742746(亦稱為裝載室或轉移模組)與VTM 738及圖案化模組 740相接。例如,如上所述,合適之圖案化模組可為ASML(荷蘭-費爾德霍溫)所供應之TWINSCAN NXE:3300B®平台。此工具架構允許工件(例如半導體基板或晶圓)在真空下轉移,以不在曝光前反應。考慮到環境氣體(如H 2O、O 2等)對入射光子之強烈光吸收,且EUVL亦需大幅下降的壓力,因而促使沉積模組與與微影工具整合。
如上所述,此整合架構僅是用於實施所述製程之工具的可能實施例。該等製程亦可使用更習知之獨立EUVL掃描儀及沉積反應器(例如Lam Vector工具)來實施,其為獨立或與其他工具(例如蝕刻、剝離等)整合在叢集架構中(例如, Lam Kiyo或Gamma工具)作為模組,例如參考 7所述,但無整合的圖案化模組。
氣室 742可為「輸出」裝載室,其係指基板從用於沉積模組 720a之VTM 738轉移至圖案化模組 740,而氣室 746可為「進入」裝載室,其係指基板自圖案化模組 740轉移返回VTM 738。進入裝載室 746亦可對工具外部提供界面,用於基板之進入與移出。每一製程模組具有將模組接合至VTM 738的端面(facet)。例如,沉積製程模組 720a具有端面 736。在每一端面內,感測器(例如所示的感測器1-18)用以偵測晶圓 726在相對應站之間移動時的通過。圖案化模組 740及氣室 742746可類似地裝配額外的端面與感測器(未示出)。
主要VTM機器人 722在模組(包括氣室 742746)之間轉移晶圓 726。在一實施例中,機器人 722具有一手臂,而在另一實施例中,機器人 722具有兩手臂,其中每一手臂具有末端執行器 724以拾取晶圓(如晶圓 726)進行轉移。前端機器人 744用以將晶圓 726自輸出氣室 742轉移至圖案化模組 740中、自圖案化模組 740轉移進入氣室 746。前端機器人 744亦可在進入裝載室與工具外部之間轉移晶圓 726,用於基板之進入與移出。因進入氣室模組 746具有匹配大氣與真空之間環境的能力,故晶圓 726能在兩壓力環境之間移動而不受損。
應注意的是,EUVL工具通常在比沉積工具在更高真空下操作。若為此情況,則期望自沉積轉移至EUV工具期間增加基板之真空環境,以允許基板在進入圖案化工具之前除氣。輸出氣室 742可藉由將轉移的晶圓維持在較低壓力(不高於圖案化模組 740中的壓力)達一段時間並排出任何排放氣來提供此功能,使得圖案化工具 740的光學件不受來自基板的排放氣所污染。合適的輸出排放氣氣室壓力不超過1E-8 Torr。
在一些實施例中,系統控制器 750(其可包括一或更多物理或邏輯控制器)控制叢集工具及/或其分開模組之一些或所有操作。應注意的是,控制器可在叢集架構本地、或可位在製造層中之叢集架構的外部、或在遠端位置並經由網路連接至叢集架構。系統控制器 750可包括一或更多記憶裝置與一或更多處理器。處理器可包括中央處理單元(CPU)或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制板、與其他類似組成件。用以執行適當控制操作之指令在處理器上執行。此些指令可儲存在與控制器相關聯之記憶裝置上,或其可透過網路被提供。在某些實施例中,系統控制器執行系統控制軟體。
系統控制軟體可包括指令,用以控制工具或模組操作之任何態樣的施加及/或大小的時序。系統控制軟體可以任何適當的方式配置。例如,可寫入諸多製程工具組成件子程序或控制物件,以控制實現諸多製程工具製程所需之製程工具組成件的操作。系統控制軟體可以任何合適的電腦可讀取程式語言來進行編碼。 在一些實施例中,系統控制軟體包括用以控制上述諸多參數之輸入/輸出控制(IOC) 定序指令。例如,半導體製造製程之每一階段可包括由系統控制器執行之一或更多指令。例如,用以設定用於凝結(condensation)、沉積、蒸發、圖案化及/或蝕刻階段之製程條件的指令可包含於相對應的配方階段中。
在諸多實施例中,提供用於形成負型圖案遮罩之設備。該設備可包括用於圖案化、沉積及蝕刻之處理腔室,以及包括用於形成負型圖案遮罩之指令的控制器。指令可包括編碼,其用於在處理腔室中透過EUV曝光以曝光基板之表面而在半導體基板上之化學放大(CAR)阻劑中圖案化特徵部、對光圖案化阻劑進行乾式顯影、並使用圖案化阻劑作為遮罩以蝕刻底層或層堆。
應注意,控制晶圓移動之電腦可在叢集架構本地、或可位在製造層中之叢集架構的外部、或在遠端位置並經由網路連接至叢集架構。 結論
雖然為了清楚理解目的已詳細描述前述實施例,但將顯而易見的是,可在隨附請求項之範圍內進行某些改變及修改。本文所揭示之實施例可在沒有此些具體細節之一些或全部者下實施。在其他實例中,不再詳細描述眾所周知的製程操作,以免不必要地模糊所揭示之實施例。進一步地,儘管所揭示之實施例將結合具體實施例加以描述,但將理解,具體實施例並非意欲限制所揭示之實施例。應注意,有許多實施本實施例之製程、系統及設備的替代方式。據此,本實施例應被視為說明性而非限制性,且實施例不限於本文所給出的細節。
10:有機金屬前驅物 12:摻雜物前驅物 100:方法 101:基板 102:阻膜 105:有機金屬-氧籠 111:基板 112:摻雜膜 113:退火膜 120:方法 121:沉積 122:暴露 131:基板 132:阻膜、有機金屬材料 133:摻雜層 140:方法 141:沉積 142:沖洗 143:暴露 144:重複循環 145:退火 151:基板 152:摻雜膜 152’:成對 152a:第一層 152b:第二層 153:退火膜 200:方法 201:沉積 202:膜 203:EUV曝光 204:顯影 211:基板 212:膜 212b:EUV曝光區 212c:EUV未曝光區 213:摻雜膜 214:遮罩 215:EUV束 220:方法 221:沉積並暴露 223:EUV曝光 224:顯影 231:基板 232b:EUV曝光區 232c:EUV未曝光區 233:摻雜膜 234:遮罩 235:EUV束 240:方法 242:操作 244:操作 246:操作 248:操作 250:操作 252:步驟 254:操作 260:方法 264:操作 266:步驟 268:步驟 270:操作 272:步驟 274:操作 400:製程站 401a:反應物輸送系統 402:製程腔室主體 403:汽化點 404:混合容器 405:連接 406:噴淋頭 407:電漿 408:基座 410:加熱器 412:基板 414:射頻(RF)功率供應源 416:匹配網路 418:蝶閥 420:混合容器入口閥 450:電腦控制器 500:多站處理工具、製程工具 502:入站裝載室 504:出站裝載室 506:機器人 508:傳送盒 510:大氣埠 512:基座 514:處理腔室 516:腔室轉移埠 518:基座 550:系統控制器 552:處理器 554:大容量儲存裝置 556:記憶體裝置 558:系統控制軟體 590:晶圓搬運系統 600:感應耦合式電漿設備 601:腔室壁 602:上部次腔室 603:下部次腔室 611:窗口 617:吸盤 619:半導體晶圓 621:匹配電路 622:埠 623:射頻(RF)功率供應源 625:連接 627:連接 630:系統控制器 633:線圈 639:匹配電路 640:真空泵 641:射頻(RF)功率供應源 643:連接 645:連接 649:法拉第屏蔽 650:電漿格柵 660:主氣流入口 670:側氣流入口 700:半導體製程叢集工具架構 720a:處理模組 720b:處理模組 720c:處理模組 720d:處理模組 722:真空轉移模組(VTM)機器人 724:末端執行器 726:晶圓 736:端面 738:真空轉移模組 740:圖案化模組 742:氣室 744:前端機器人 746:氣室 750:系統控制器
1A-1G呈現非限定堆疊及形成此等堆疊之非限定方法的示意圖。 提供(A)包含具有機金屬-氧籠 105之阻膜 102的非限定堆疊;(B)非限定摻雜物X於籠內;(C) 非限定摻雜物X透過氧橋附接至籠內金屬原子;以及(D)有機金屬材料與摻雜物前驅物X aZ b反應之非限定示意圖。亦提供(E)提供摻雜膜 112或退火膜 113的方法 100;(F)提供阻膜 132及摻雜膜 133的另一方法 120;及(G)包括重複循環沉積之方法 140,以提供具有一成對 152'之有機金屬層與摻雜層的摻雜膜 152
2A-2D呈現採用摻雜膜之非限定方法的示意圖及流程圖。提供(A)第一非限定方法 200的示意圖,包括沉積 201有機金屬前驅物,接著將膜暴露 202於摻雜物前驅物;(B)第二非限定方法 220的示意圖,包括同時沉積並暴露 221於摻雜物前驅物;(C)另一非限定方法 240的流程圖;以及(D)又另一非限定方法 260的流程圖。
3顯示非限定阻膜之熱重分析(TGA),其顯示在高達至少150°C 之溫度下不具熱脫烷作用。提供重量(頂曲線,左軸)及微分重量(下曲線,右軸)數據。
4呈現用於乾式顯影之製程站 400實施例的示意性說明。
5呈現多站處理工具 500實施例的示意性說明。
6呈現感應耦合式電漿設備 600實施例的示意性說明。
7呈現半導體製程叢集工具架構 700實施例的示意性說明。
101:基板
102:阻膜
105:有機金屬-氧籠

Claims (38)

  1. 一種圖案化輻射敏感組成物,包括一有機金屬材料及選自由鈹(Be)、硼(B)、鎂(Mg)、鋁(Al)、鈧(Sc)、氙(Xe)及其組合所組成之群組的一摻雜物。
  2. 如請求項1所述之圖案化輻射敏感組成物,其中該有機金屬材料包括一有機錫-氧籠,且其中該摻雜物為Xe作為該籠內之內嵌摻雜物。
  3. 如請求項2所述之圖案化輻射敏感組成物,進一步包括約1-8個Xe原子於該籠內。
  4. 如請求項1所述之圖案化輻射敏感組成物,其中該有機金屬材料包括有機錫氧化物,且其中該摻雜物為Be、B、Mg、Al或Sc。
  5. 如請求項4所述之圖案化輻射敏感組成物,其中該摻雜物透過氧橋(-O-)附接至該有機金屬材料內之一金屬原子。
  6. 如請求項1-5中任一項所述之圖案化輻射敏感組成物,其中該圖案化輻射敏感組成物包括一極紫外光(EUV)敏感膜。
  7. 一種採用阻劑的方法,該方法包括: 在一基板之一表面上沉積一有機金屬前驅物,以提供一阻膜;以及 使該阻膜暴露至一摻雜物前驅物,因而提供一摻雜膜,其中該摻雜物前驅物包括氙(Xe)或以高二次電子產量為特徵之一元素。
  8. 如請求項7所述之採用阻劑的方法,其中該阻膜或該摻雜膜包括一極紫外光(EUV)敏感膜。
  9. 如請求項7所述之採用阻劑的方法,其中該阻膜包括有機錫氧(organotin oxy)、有機錫氧化物、或有機錫氧化氫氧化物(organotin oxide hydroxide)。
  10. 如請求項7所述之採用阻劑的方法,其中該摻雜物前驅物包括Xe。
  11. 如請求項10所述之採用阻劑的方法,其中該摻雜膜包括一有機錫-氧籠及作為該籠內之內嵌摻雜物的Xe。
  12. 如請求項10所述之採用阻劑的方法,其中所述暴露包括在約1-200 atm之壓力及/或約30-150℃之溫度下暴露於含Xe氣體。
  13. 如請求項12所述之採用阻劑的方法,其中所述暴露持續約30秒至約4小時之時段。
  14. 如請求項7所述之採用阻劑的方法,其中該摻雜物前驅物包括選自由鈹(Be)、硼(B)、鎂(Mg)、鋁(Al)、鈧(Sc)及其組合所組成之群組的一元素。
  15. 如請求項14所述之採用阻劑的方法,其中該摻雜物透過氧橋(-O-)附接至該有機金屬材料內之一金屬原子。
  16. 如請求項7所述之採用阻劑的方法,其中該摻雜物前驅物包括具有式( II)之結構: X aZ b( II), 其中: 每一X獨立為以高二次電子產量為特徵之該元素; 每一Z獨立為H、鹵素、視情況取代之烷基、視情況取代之芳基、視情況取代之胺基、視情況取代之雙(三烷基甲矽烷基)胺基、視情況取代之三烷基甲矽烷基、視情況取代之烷氧基、或配位基; a≥1;且b≥2。
  17. 如請求項16所述之採用阻劑的方法,其中該摻雜物前驅物包括鹵化鈹、有機鈹、雙(二烷基)胺基鈹、β-酮鈹、硼烷或其錯合物、鹵化硼、有機硼烷、烷基硼酸酯、三(二烷基胺基)硼烷、有機鎂、雙(環戊二烯基)鎂或其取代形式、β-酮鎂、β-脒基鎂、鹵化鋁、有機鋁、烷氧化鋁、β-酮鋁、三(二烷基胺基)鋁、含烷氧基之鋁前驅物、含胺基之鋁前驅物、有機鈧、β-酮鈧、β-脒基鈧或含有三烷基甲矽烷基醯胺之鈧化合物。
  18. 如請求項14所述之採用阻劑的方法,其中該摻雜膜包括約1-20原子百分比之該元素。
  19. 如請求項14所述之採用阻劑的方法,其中所述沉積及所述暴露同時進行。
  20. 如請求項19所述之採用阻劑的方法,其中所述沉積及所述暴露包括: 共同輸送該有機金屬前驅物、一可選之相對反應物及該摻雜物前驅物至配置成提供該基板之一腔室,因而形成該摻雜膜。
  21. 如請求項14所述之採用阻劑的方法,其中所述沉積及所述暴露以交替循環方式進行。
  22. 如請求項21所述之採用阻劑的方法,其中所述沉積及所述暴露包括: 輸送該有機金屬前驅物與一可選之相對反應物至配置成提供該基板之一腔室; 沖洗該腔室以去除該有機金屬前驅物及/或該可選之相對反應物;以及 輸送該摻雜物前驅物至該腔室,因而形成該摻雜膜,其中所述輸送該有機金屬前驅物、所述沖洗、及所述輸送該摻雜物前驅物可執行一或更多次。
  23. 如請求項14所述之採用阻劑的方法,其中該摻雜膜包括一梯度膜或一奈米層壓體。
  24. 如請求項7所述之採用阻劑的方法,其中該有機金屬前驅物包括具有式( I)之結構: M aR bL c( I), 其中: M為金屬; 每一R獨立為鹵素、視情況取代之烷基、視情況取代之芳基、視情況取代之胺基、視情況取代之烷氧基、或L; 每一L獨立為配位基、離子或與相對反應物具反應性之其他部分,其中R與L連同M一起可視情況地形成雜環基或其中R與L一起可視情況地形成雜環基; a≥1;b≥1;且c≥1。
  25. 如請求項24所述之採用阻劑的方法,其中每一R為L及/或M為錫(Sn)。
  26. 如請求項24所述之採用阻劑的方法,其中每一L獨立為H、鹵素、視情況取代之烷基、視情況取代之芳基、視情況取代之胺基、視情況取代之雙(三烷基甲矽烷基)胺基、視情況取代之三烷基甲矽烷基、或視情況取代之烷氧基。
  27. 如請求項7所述之採用阻劑的方法,其中所述沉積包括提供呈氣相之該有機金屬前驅物。
  28. 如請求項7所述之採用阻劑的方法,其中所述沉積進一步包括提供一相對反應物。
  29. 如請求項28所述之採用阻劑的方法,其中該相對反應物包括氧或硫屬化物前驅物。
  30. 如請求項7所述之採用阻劑的方法,進一步包括: 透過曝光於圖案化輻射以對該摻雜膜進行圖案化,因而提供具有輻射曝光區與輻射未曝光區之一曝光膜;以及 對該曝光膜進行顯影,因而去除該輻射曝光區以在一正型阻膜內提供一圖案、或去除該輻射未曝光區以在一負型阻膜內提供一圖案。
  31. 如請求項30所述之採用阻劑的方法,其中所述圖案化包括真空環境中具有約10 nm至約20 nm範圍內波長之EUV曝光。
  32. 如請求項30所述之採用阻劑的方法,其中所述顯影包括濕式顯影或乾式顯影。
  33. 一種形成阻膜的設備,該設備包括: 一沉積模組,包括用於沉積一阻膜之一腔室;以及 一控制器,包括一或更多記憶體裝置、一或更多處理器、以及編有指令之系統控制軟體,該等指令包含機器可讀指令用於: 在該沉積模組中,使一有機金屬前驅物沉積在一半導體基板之一頂表面上,以形成該阻膜;以及 在該沉積模組中,使該阻膜暴露至一摻雜物前驅物,因而提供一摻雜膜,其中該摻雜物前驅物包括氙(Xe)或以高二次電子產量為特徵之一元素,其中所述沉積及所述暴露可同時或依序進行。
  34. 如請求項33所述之形成阻膜的設備,其中該阻膜或該摻雜膜包括一極紫外光(EUV)敏感膜。
  35. 如請求項33所述之形成阻膜的設備,進一步包括: 一圖案化模組,包括一光微影工具,其具有小於300 nm波長輻射之來源,其中包含機器可讀指令之該等指令進一步包括指令用於: 在該圖案化模組中,使該摻雜膜直接透過圖案化輻射曝光以小於300 nm解析度進行圖案化,因而形成具有輻射曝光區及輻射未曝光區之一曝光膜。
  36. 如請求項35所述之形成阻膜的設備,其中用於該光微影工具之該來源為小於30 nm波長輻射之來源。
  37. 如請求項36所述之形成阻膜的設備,其中包含機器可讀指令之該等指令進一步包括指令用於: 在該圖案化模組中,使該阻膜直接透過EUV曝光以小於30 nm解析度進行圖案化,因而形成具有EUV曝光區及EUV未曝光區之該曝光膜。
  38. 如請求項35所述之形成阻膜的設備,進一步包括: 一顯影模組,包括用於對該阻膜進行顯影之一腔室,其中包含機器可讀指令之該等指令進一步包括指令用於: 在該顯影模組中,使該曝光膜顯影以去除該等輻射曝光區或該等輻射未曝光區以在該阻膜內提供一圖案。
TW111104645A 2021-02-12 2022-02-09 具有量子效率之光阻及其方法 TW202246893A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163200082P 2021-02-12 2021-02-12
US63/200,082 2021-02-12

Publications (1)

Publication Number Publication Date
TW202246893A true TW202246893A (zh) 2022-12-01

Family

ID=82837975

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111104645A TW202246893A (zh) 2021-02-12 2022-02-09 具有量子效率之光阻及其方法

Country Status (4)

Country Link
JP (1) JP2024506160A (zh)
KR (1) KR20230146029A (zh)
TW (1) TW202246893A (zh)
WO (1) WO2022173632A1 (zh)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4824763A (en) * 1987-07-30 1989-04-25 Ekc Technology, Inc. Triamine positive photoresist stripping composition and prebaking process
US9048294B2 (en) * 2012-04-13 2015-06-02 Applied Materials, Inc. Methods for depositing manganese and manganese nitrides
EP4273625A3 (en) * 2015-10-13 2024-02-28 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US10845704B2 (en) * 2018-10-30 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance

Also Published As

Publication number Publication date
WO2022173632A1 (en) 2022-08-18
KR20230146029A (ko) 2023-10-18
JP2024506160A (ja) 2024-02-09

Similar Documents

Publication Publication Date Title
US20220299877A1 (en) Positive tone development of cvd euv resist films
US20230259025A1 (en) Dry deposited photoresists with organic co-reactants
US20230152701A1 (en) Structure and method to achieve positive tone dry develop by a hermetic overlayer
US20220365434A1 (en) Substrate surface modification with high euv absorbers for high performance euv photoresists
US20230266664A1 (en) Photoresists from sn(ii) precursors
US20230288798A1 (en) Photoresists containing tantalum
US20230266670A1 (en) Metal chelators for development of metal-containing photoresist
US20230314946A1 (en) Method of forming photo-sensitive hybrid films
TW202246893A (zh) 具有量子效率之光阻及其方法
US20240134274A1 (en) Halogen-and aliphatic-containing organotin photoresists and methods thereof
US20240192590A1 (en) Apparatus and process for euv dry resist sensitization by gas phase infusion of a sensitizer
TW202413382A (zh) Euv乾式光阻沉積的錫前驅物
WO2023245047A1 (en) Tin precursors for deposition of euv dry resist
WO2023114724A1 (en) Development of hybrid organotin oxide photoresists
TW202340879A (zh) 高吸收性含金屬光阻的顯影策略
TW202344921A (zh) 有機金屬光阻的水性酸顯影或處理