KR20230146029A - 양자 효율 포토레지스트 및 이의 방법 - Google Patents

양자 효율 포토레지스트 및 이의 방법 Download PDF

Info

Publication number
KR20230146029A
KR20230146029A KR1020237028523A KR20237028523A KR20230146029A KR 20230146029 A KR20230146029 A KR 20230146029A KR 1020237028523 A KR1020237028523 A KR 1020237028523A KR 20237028523 A KR20237028523 A KR 20237028523A KR 20230146029 A KR20230146029 A KR 20230146029A
Authority
KR
South Korea
Prior art keywords
film
optionally substituted
euv
precursor
dopant
Prior art date
Application number
KR1020237028523A
Other languages
English (en)
Inventor
시바난다 크리슈난 카나카사바파티
에릭 칼빈 한센
티모시 윌리엄 웨이드만
Original Assignee
램 리서치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리서치 코포레이션 filed Critical 램 리서치 코포레이션
Publication of KR20230146029A publication Critical patent/KR20230146029A/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Luminescent Compositions (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)

Abstract

본발명은 유기금속 전구체 및 도펀트 전구체로 형성된 조성물, 뿐만 아니라 그러한 조성물을 형성하고 사용하는 방법에 관한 것이다. 특정 구체예에서, 도펀트 전구체는 예를 들어 방사선 흡수를 증가시키고 및/또는 조성물 내에서 2차 전자 방출 또는 2차 전자 수율(SEY)을 증가시킴으로써 양자 효율을 증가시키기 위해 조성물에 원소를 제공한다. 비제한적 구체예에서, 방사선은 극자외선(EUV) 또는 심자외선(DUV) 방사선을 포함할 수 있다.

Description

양자 효율 포토레지스트 및 이의 방법
참조에 의한 통합
PCT 신청 양식은 본 출원의 일부로서 본 명세서와 동시에 제출된다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 이익 또는 우선권을 주장하는 각 출원은 그 전체가 모든 목적을 위해 참조로 포함된다.
분야
본발명은 유기금속 전구체 및 도펀트 전구체로 형성된 조성물, 뿐만 아니라 그러한 조성물을 형성하고 사용하는 방법에 관한 것이다. 특정 구체예에서, 도펀트 전구체는 예를 들어 방사선 흡수를 증가시키고 및/또는 조성물 내에서 2차 전자 방출 또는 2차 전자 수율(SEY)을 증가시킴으로써 양자 효율을 증가시키기 위해 조성물에 원소를 제공한다. 비제한적 구체예에서, 방사선은 극자외선(EUV) 또는 심자외선(DUV) 방사선을 포함할 수 있다.
본원에 제공된 배경 설명은 본 기술의 맥락을 일반적으로 제시하기 위한 것이다. 현재 지명된 발명가의 작업은 이 배경 섹션에 설명된 범위 내에서 뿐만 아니라 출원 당시 선행 기술로 달리 자격이 없을 수 있는 설명의 양상은 명시적으로나 묵시적으로 현재 기술에 대한 선행 기술로 인정되지 않는다.
반도체 가공에서 박막의 패터닝은 종종 반도체 제조에서 중요한 단계이다. 패터닝은 리소그래피를 포함한다. 193nm 포토리소그래피와 같은 기존의 포토리소그래피에서 패턴은 광자 소스에서 마스크로 광자를 방출하고 패턴을 감광성 포토레지스트에 인쇄함으로써 포토레지스트에서 화학 반응을 일으켜 현상 후 포토레지스트의 특정 부분을 제거하여 패턴을 형성한다.
첨단 기술 노드(반도체에 대한 국제 기술 로드맵(the International Technology Roadmap for Semiconductors)에 의해 정의됨)는 노드 22nm, 16nm 및 그 이상을 포함한다. 예를 들어, 16nm 노드에서 다마신 구조의 일반적인 비아 또는 라인의 폭은 일반적으로 약 30nm 이하이다. 고급 반도체 집적 회로(IC) 및 기타 장치의 기능을 확장하면 리소그래피가 해상도를 향상시킨다.
극자외선(EUV) 리소그래피는 종래의 포토리소그래피 방법으로 달성할 수 있는 것보다 더 작은 이미징 소스 파장으로 이동함으로써 리소그래피 기술을 확장할 수 있다. 대략 10-20 nm 또는 11-14 nm 파장, 예를 들어 13.5 nm 파장의 EUV 광원은 스캐너라고도 하는 최첨단 리소그래피 툴에 사용할 수 있다. EUV 방사선은 석영 및 수증기를 포함한 다양한 고체 및 유체 물질에 강하게 흡수되므로 진공 상태에서 작동한다.
요약
본 발명은 도핑된 레지스트 필름을 제공하기 위한 유기금속 전구체 및 도펀트 전구체의 용도에 관한 것이다. 그러한 필름은 하나 이상의 도펀트를 갖는 유기금속 재료를 특징으로 할 수 있다. 특정 구체예에서, 도펀트는 방사선 흡수를 증가시키고 및/또는 필름 내에서 2차 전자 방출 또는 2차 전자 수율(SEY) 수율을 증가시키는 것과 같이 양자 효율을 증가시키는 요소를 제공할 수 있다. 예를 들어, 크세논(Xe)은 패터닝 방사선 단면적이 높고, 도핑된 필름은 필름 내에 하나 이상의 Xe 원자를 포함할 수 있다. 도핑된 필름이 유기금속-옥시 케이지를 포함할 때, Xe 원자는 그 케이지 내에 포획된 내면체 도펀트로서 제공될 수 있다.
다른 예에서, 도펀트는 높은 SEY를 특징으로 하는 원소를 포함할 수 있다. 이러한 수율은 각각의 입사 입자(1차 전자)에 의한 조사 결과로 방출되는 2차 전자의 수를 특징으로 한다. SEY는 1차 전자의 에너지에 의존한다. 일부 구체예에서, 도펀트 전구체 내의 원소의 SEY는 유기금속 전구체 내에 존재하는 금속 원자의 SEY보다 크다. 다른 구체예에서, 도펀트는 베릴륨(Be), 붕소(B), 마그네슘(Mg), 알루미늄(Al), 스칸듐(Sc) 및 이들의 조합으로부터 선택된다.
따라서, 제1 양상에서, 본 발명은 Be, B, Mg, Al, Sc, Xe, 또는 이들의 조합으로부터 선택되는 도펀트 및 유기금속 재료를 포함하는 패터닝 감방사선 조성물(예를 들어, 필름 또는 층으로서)을 포함한다. 일부 구체예에서, 조성물은 극자외선(EUV) 민감성 조성물 또는 EUV 민감성 필름을 포함한다.
일부 구체예에서, 유기금속 재료는 유기주석-옥시 케이지를 포함하고, 도펀트는 케이지 내의 내면체 도펀트로서 Xe이다. 다른 구체예에서, 조성물은 케이지 내에 약 1-8개의 Xe 원자를 추가로 포함한다.
또 다른 구체예에서, 유기금속 재료는 유기주석 산화물을 포함하고, 도펀트는 Be, B, Mg, Al, Sc 또는 이들의 조합이다. 또 다른 구체예에서, 도펀트는 산소 가교 (-O-)에 의해 유기금속 물질 내의 금속 원자에 부착된다. 특정 구체예에서, 도펀트는 Xe 및 Be, B, Mg, Al 또는 Sc 중 하나 이상을 포함한다.
제2 양상에서, 본 발명은 상부 표면을 갖는 반도체 기판; 및 상기 반도체 기판의 상면에 배치된 패터닝 감방사선 필름:을 포함하는 스택을 포함한다. 일부 구체예에서, 필름은 Be, B, Mg, Al, Sc, Xe 및 이들의 조합으로 이루어진 그룹으로부터 선택된 도펀트 및 유기금속 재료를 포함한다. 스택의 일부 구체예에서, 패터닝 감방사선 필름은 EUV 민감성 필름을 포함한다.
일부 구체예에서, 유기금속 재료는 유기주석-산소 케이지를 포함하고 도펀트는 케이지 내의 내면체 도펀트로서 Xe이다. 추가 구체예에서, 약 1-8개의 Xe 원자가 케이지 내에 배치된다.
다른 구체예에서, 유기금속 재료는 유기주석 산화물을 포함하고, 도펀트는 Be, B, Mg, Al 또는 Sc이다. 특정 구체예에서, 도펀트는 산소 가교(-O-)에 의해 유기금속 재료 내의 금속 원자에 부착된다.
제3 양상에서, 본 발명은 레지스트를 사용하는 방법을 포함하며, 이 방법은 기판의 표면 상에 유기금속 전구체를 증착시켜 레지스트 필름을 제공하는 단계; 및 상기 레지스트막을 도펀트 전구체에 노출시켜 도핑된 필름을 제공하는 단계:를 포함하며, 상기 도펀트 전구체는 Xe 또는 높은 SEY를 특징으로 하는 원소를 포함한다. 일부 구체예에서, 레지스트 필름은 유기주석 옥시, 유기주석 산화물, 또는 유기주석 산화물 수산화물을 포함한다.
특정 구체예에서, 여기서 도펀트 전구체는 Xe를 포함한다. 추가 구체예에서, 도핑된 필름은 유기주석-옥시 케이지 및 케이지 내의 내면체 도펀트로서 Xe를 포함한다. 일부 구체예에서, 상기 노출은 압력 약 1-200 atm 및/또는 온도 약 30-150°C에서 Xe-함유 가스에의 노출을 포함한다. 다른 구체예에서, 상기 노출은 약 30 초 내지 약 4 시간의 기간 동안이다.
다른 구체예에서, 도펀트 전구체는 Be, B, Mg, Al, Sc, 또는 이의 조합으로부터 선택된 원소를 포함한다. 일부 구체예에서, 도펀트는 산소 가교(-O-)에 의해 유기금속 재료 내의 금속 원자에 부착된다.
일부 구체예에서, 도펀트 전구체는 화학식 (II)를 갖는 구조를 포함한다:
XaZb (II),
여기서: 각각의 X는, 독립적으로, 고 SEY을 특징으로 하는 원소; 각각의 Z는, 독립적으로, H, 할로, 임의로 치환된 알킬, 임의로 치환된 아릴, 임의로 치환된 아미노, 임의로 치환된 비스(트리알킬실릴)아미노, 임의로 치환된 트리알킬실릴, 임의로 치환된 알콕시, 또는 리간드 (예를 들어, 반대-반응물과 반응성인); a ≥ 1 (예를 들어, a는 1, 2, 또는 3); 및 b ≥ 2 (예를 들어, b는 2, 3, 4, 5, 6, 7, 또는 8)이다.
일부 구체예에서, 도핑된 필름은 약 1-20 at.%의 상기 원소를 포함한다.
일부 구체예에서, 상기 증착 및 상기 노출은 동시에 발생한다. 특정 구체예에서, 상기 증착 및 상기 노출은: 유기금속 전구체, 선택적인 반대 반응물 및 도펀트 전구체를 기판을 제공하도록 구성된 챔버로 공동 전달함으로써 도핑된 필름을 형성하는 단계를 포함한다.
다른 구체예에서, 상기 증착 및 상기 노출은 순차적으로 또는 교대 주기로 발생한다. 일부 구체예에서, 상기 증착 및 상기 노출은 다음을 포함한다: 유기금속 전구체를 선택적인 반대-반응물과 함께 기판을 제공하도록 구성된 챔버에 전달하는 단계; 챔버를 퍼징하여 유기금속 전구체 및/또는 선택적인 반대-반응물을 제거하는 단계; 및 도펀트 전구체를 챔버로 전달함으로써 도핑된 필름을 형성하는 단계. 특정 구체예에서, 상기 유기금속 전구체 전달, 상기 퍼징 및 상기 도펀트 전구체 전달은 1회 이상 수행될 수 있다.
일부 구체예에서, 도핑된 필름은 구배 필름 또는 나노라미네이트를 포함한다.
일부 구체예에서, 방법은 패터닝된 방사선에 대한 노출에 의해 도핑된 필름을 패터닝함으로써 방사선 노출 영역 및 방사선 비노출 영역을 갖는 노출된 필름을 제공하는 단계; 및 노출된 필름을 현상하여 방사선 노출 영역을 제거하여 포지티브 톤 레지스트 필름 내에 패턴을 제공하거나 방사선 비노출 영역을 제거하여 네거티브 톤 레지스트 내에 패턴을 제공하는 단계.
일부 구체예에서, 상기 패터닝은 진공 환경에서 약 10nm 내지 약 20nm 범위의 파장을 갖는 EUV 노출을 포함한다.
다른 구체예에서, 상기 현상은 습식 현상 또는 건식 현상을 포함한다. 비제한적 습식 현상은 물, 산, 염기, 케톤, 에스테르, 알코올, 에테르 또는 이들의 조합을 포함할 수 있다. 추가 구체예에서, 습식 현상은 하나 이상의 계면활성제를 추가로 포함한다. 다른 구체예에서, 건식 현상은 가스성 산을 포함한다. 일부 구체예에서, 상기 현상은 노출된 필름을 현상하여 방사선 노출 영역을 제거하여 포지티브 톤 레지스트 필름 내에 패턴을 제공하는 단계를 포함한다. 다른 구체예에서, 상기 현상은 방사선 비노출 영역을 제거하여 네거티브 톤 레지스트 내에 패턴을 제공하는 단계를 포함한다.
제4 양상에서, 본 발명은 레지스트 필름을 형성하기 위한 장치를 포함하며, 이 장치는 증착 모듈, 및 하나 이상의 메모리 장치를 포함하는 컨트롤러, 하나 이상의 프로세서, 및 기계-판독가능 명령을 포함하는 명령어로 코딩된 시스템 제어 소프트웨어를 포함한다. 특정 구체예에서, 증착 모듈은 레지스트 필름 (예를 들어, 패터닝 감방사선 필름, 가령 EUV-민감성 필름)을 증착하기 위한 챔버를 포함하고, 챔버는 반도체 기판을 수용하도록 구성될 수 있다.
일부 구체예에서, 명령은 (예를 들어, 증착 모듈 내) 반도체 기판의 상부 표면 상에 유기금속 전구체의 증착을 야기하여 레지스트 필름을 형성하고 도펀트 전구체에의 레지스트 필름의 노출을 야기하여 도핑된 필름을 제공하는 단계를 위한 기계-판독가능 명령을 포함한다. 특정 구체예에서, 도펀트 전구체는 Xe 또는 고 SEY을 특징으로 하는 원소를 포함한다.
일부 구체예에서, 장치는 다음을 추가로 포함한다: 패터닝 모듈. 다른 구체예에서, 패터닝 모듈은 300 nm 미만의 파장 방사선의 소스(예를 들어, 소스가 30 nm 미만의 파장 방사선의 소스일 수 있음)를 갖는 포토리소그래피 툴을 포함한다. 일부 구체예에서, 명령은 직접 방사선 노출 (예를 들어, EUV 노출) 패터닝에 의해 300 nm 미만의 해상도 (예를 들어, 또는 30 nm 미만의 파장 방사선) 로 도핑된 필름을 패터닝하여, 방사선 노출 영역 및 방사선 비노출 영역을 갖는 노출된 필름을 형성하는 단계를 위한 기계-판독가능 명령 (예를 들어, 패터닝 모듈에서)를 포함한다. 다른 구체예에서, 노출된 필름은 EUV 노출 영역 및 EUV 비노출 영역을 갖는다.
일부 구체예에서, 장치는 다음을 추가로 포함한다: 현상 모듈. 다른 구체예에서, 현상 모듈은 레지스트 필름을 현상하기 위한 챔버를 포함한다. 또다른 구체예에서, 명령은 (예를 들어, 현상 모듈에서) 노출된 필름을 현상하여 방사선 노출 영역 또는 방사선 비노출 영역을 제거하여 레지스트 필름 내에 패턴을 제공하는 단계를 위한 기계-판독가능 명령을 포함한다. 특정 구체예에서, 기계-판독가능 명령은 EUV 노출 영역 또는 EUV 비노출 영역을 제거하기 위한 명령을 포함한다.
본원의 임의의 구체예에서, 패터닝 방사선 민감성 필름(예를 들어, 레지스트 필름 또는 도핑된 필름)은 극자외선(EUV) 민감성 필름, 심자외선(DUV) 민감성 필름, 포토레지스트 필름, 또는 포토패턴 가능 필름을 포함한다.
본원의 임의의 구체예에서, 패터닝 감방사선 필름은 유기금속 재료 또는 유기금속 산화물 재료를 포함한다.
본원의 임의의 구체예에서, 유기금속 전구체는 본원에 기술된 바와 같은 화학식 (I), (Ia), (III), (IV), (V), (VI), (VII), 또는 (VIII)를 갖는 구조를 포함한다.
본원의 임의의 구체예에서, 유기금속 전구체는 화학식 (I)을 갖는 구조를 포함한다:
MaRbLc (I),
여기서: M은 금속 또는 준금속 (예를 들어, 본원에서 임의의 것); 각각의 R는, 독립적으로, 할로, 임의로 치환된 알킬, 임의로 치환된 아릴, 임의로 치환된 아미노, 임의로 치환된 알콕시, 또는 L; 각각의 L는, 독립적으로, 리간드, 이온, 또는 반대-반응물과 반응성인 다른 모이어티이고, 여기서 R 및 L은 M과 함께 결합하여, 헤테로사이클릴 기를 임의로 형성할 수 있고 또는 여기서 R 및 L은 함께 결합하여, 헤테로사이클릴 기를 임의로 형성할 수 있고; a ≥ 1 (예를 들어, a는 1, 2, 또는 3); b ≥ 1 (예를 들어, b는 1, 2, 3, 4, 5, 또는 6); 및 c ≥ 1 (예를 들어, c는 1, 2, 3, 4, 5, 6)이다. 일부 구체예에서, 각각의 R은 L 및/또는 M은 주석 (Sn)이다. 다른 구체예에서, 각각의 L는, 독립적으로, H, 할로, 임의로 치환된 알킬, 임의로 치환된 아릴, 임의로 치환된 아미노, 임의로 치환된 비스(트리알킬실릴)아미노, 임의로 치환된 트리알킬실릴, 또는 임의로 치환된 알콕시이다.
본원의 임의의 구체예에서, 도펀트 전구체는 화학식 (II) 또는 (IIa)을 갖는 구조를 포함한다:
XaZb (II) 또는 XZb (IIa),
여기서: 각각의 X는, 독립적으로, 고 SEY을 특징으로 하는 원소; 각각의 Z는, 독립적으로, H, 할로, 임의로 치환된 알킬, 임의로 치환된 아릴, 임의로 치환된 아미노, 임의로 치환된 비스(트리알킬실릴)아미노, 임의로 치환된 트리알킬실릴, 임의로 치환된 알콕시, 또는 리간드 (예를 들어, 반대-반응물과 반응성인); a ≥ 1 (예를 들어, a는 1, 2, 또는 3); 및 b ≥ 2 (예를 들어, b는 2, 3, 4, 5, 6, 7, 또는 8)이다.
본원의 임의의 구체예에서, 도펀트 전구체는 베릴륨 할라이드, 유기베릴륨, 비스(디알킬)아미노 베릴륨, 베릴륨 β-케토네이트, 보란 또는 이의 착물, 붕소 할라이드, 유기보란, 알킬보레이트, 트리스(디알킬아미노)보란, 유기마그네슘, 비스(사이클로펜타디에닐)마그네슘 또는 이의 치환된 형태, 마그네슘 β-케토네이트, 마그네슘 β-아미디네이트, 알루미늄 할라이드, 유기알루미늄, 알루미늄 알콕사이드, 알루미늄 β-케토네이트, 트리스(디알킬아미도)알루미늄, 알콕시-함유 알루미늄 전구체, 아미노-함유 알루미늄 전구체, 유기스칸듐, 스칸듐 β-케토네이트, 스칸듐 β-아미디네이트, 트리알킬실릴아미드-함유 스칸듐 화합물, 또는 본원에서 기술된 임의의 것을 포함한다.
본원의 임의의 구체예에서, 단일 유기금속 전구체는 하나 이상의 도펀트 전구체와 함께 사용된다. 다른 구체예에서, 두 개, 세 개, 네 개, 또는 그 이상의 상이한 유기금속 전구체가 하나 이상의 도펀트 전구체 내에서 사용된다.
본원의 임의의 구체예에서, 단일 유기금속 전구체는 단일 도펀트 전구체와 함께 사용된다. 다른 구체예에서, 단일 유기금속 전구체는 두 개, 세 개, 네 개, 또는 그 이상의 상이한 도펀트 전구체와 함께 사용된다. 또다른 구체예에서, 두 개 이상의 상이한 유기금속 전구체가 두 개 이상의 상이한 도펀트 전구체와 함께 사용된다.
본원의 임의의 구체예에서, 도펀트 전구체에 대한 유기금속 전구체의 몰비는 약 1000:1 내지 약 1:4 (예를 들어, 약 1000:1 내지 1:4, 100:1 내지 10:1, 50:1 내지 1:4, 등)이다.
본원의 임의의 구체예에서, 상기 증착은 증기 형태로 유기금속 전구체 및/또는 도펀트 전구체를 제공하는 것을 포함한다. 다른 구체예에서, 상기 증착은 수증기 형태로 유기금속 전구체, 도펀트 전구체, 및/또는 반대-반응물을 제공하는 것을 포함한다. 비제한적 증착 공정은 화학 기상 증착(CVD)뿐만 아니라 원자층 증착(ALD), 분자층 증착(MLD) 및 이들의 플라즈마 강화 형태를 포함한다.
본원의 임의의 구체예에서, 상기 증착은 반대-반응물을 제공하는 것을 추가로 포함한다. 비제한적인 반대-반응물은 산소 또는 칼코게나이드 전구체, 뿐만 아니라 본원에 기재된 임의의 것을 포함한다(예를 들어, 산소(O2), 오존(O3), 물, 과산화물, 과산화수소, 산소 플라즈마, 물 플라즈마, 알코올, 디하이드록시 알코올, 폴리하이드록시 알코올, 플루오르화 디하이드록시 알코올, 플루오르화 폴리하이드록시 알코올, 플루오르화 글리콜, 포름산, 및 하이드록실 모이어티의 다른 공급원, 뿐만 아니라 이들의 조합을 포함하는 산소-함유 반대-반응물)). 추가 세부 사항은 다음과 같다.
정의
"알케닐"은 하나 이상의 이중 결합을 갖는 임의로 치환된 C2-24 알킬 기를 의미한다. 알케닐 기는 사이클릭 (예를 들어, C3-24 사이클로알케닐) 또는 비사이클릭일 수 있다. 알케닐 기는 또한 치환되거나 비치환될 수 있다. 예를 들어, 알케닐 기는 알킬에 대해 본원에서 기술된 바와 같은 하나 이상의 치환 기로 치환될 수 있다.
"알케닐렌"은 하나 이상의 이중 결합을 갖는 임의로 치환된 C2-24 알킬 기인, 알케닐 기의 다가(예를 들어, 2가) 형태를 의미한다. 알케닐렌 기는 사이클릭 (예를 들어, C3-24 사이클로알케닐) 또는 비사이클릭일 수 있다. 알케닐렌 기는 치환되거나 또는 비치환될 수 있다. 예를 들어, 알케닐렌 기는 알킬에 대해 본원에서 기술된 바와 같은 하나 이상의 치환 기로 치환될 수 있다. 예시적, 비제한적 알케닐렌 기는 -CH=CH- 또는 -CH=CHCH2-를 포함한다.
"알콕시"는 -OR을 의미하고, 여기서 R는 본원에서 기술된 바와 같은 임의로 치환된 알킬 기이다. 예시적 알콕시 기는 메톡시, 에톡시, 부톡시, 트리할로알콕시, 가령 트리플루오로메톡시, 등을 포함한다. 알콕시 기는 치환되거나 또는 비치환될 수 있다. 예를 들어, 알콕시 기는 알킬에 대해 본원에서 기술된 바와 같은 하나 이상의 치환 기로 치환될 수 있다. 예시적 비치환된 알콕시 기는 C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, 또는 C1-24 알콕시 기를 포함한다.
"알킬" 및 접두사 "알크"는 메틸 (Me), 에틸 (Et), n-프로필 (n-Pr 또는 nPr), 이소프로필 (i-Pr 또는 iPr), 사이클로프로필, n-부틸 (n-Bu 또는 nBu), 이소부틸 (i-Bu 또는 iBu), s-부틸 (s-Bu 또는 sBu), t-부틸 (t-Bu 또는 tBu), 사이클로부틸, n-펜틸, 이소펜틸, s-펜틸, 네오펜틸, 헥실, 헵틸, 옥틸, 노닐, 데실, 도데실, 테트라데실, 헥사데실, 에이코실, 테트라코실 등과 같은 1 내지 24개의 탄소 원자의 분지형 또는 비분지형 포화 탄화수소 기를 의미한다. 알킬 기는 사이클릭(예: C3-24 사이클로알킬) 또는 비사이클릭일 수 있다. 알킬기는 분지형 또는 비분지형일 수 있다. 알킬 기는 또한 치환되거나 비치환될 수 있다. 예를 들어, 알킬기는 할로알킬을 포함할 수 있으며, 여기에서 알킬기는 본원에 기재된 바와 같이 하나 이상의 할로기로 치환된다. 다른 예에서, 알킬기는 다음으로 이루어진 군으로부터 독립적으로 선택된 1개, 2개, 3개, 또는 2개 이상의 탄소를 갖는 알킬기의 경우 4개의 치환기로 치환될 수 있다: (1) C1-6 알콕시 (예를 들어, -O-Ak, 여기서 Ak는 임의로 치환된 C1-6 알킬); (2) 아미노 (예를 들어, -NRN1RN2, 여기서 각각의 RN1 및 RN2는, 독립적으로, H 또는 임의로 치환된 알킬, 또는 RN1 및 RN2는 각각이 부착된 질소 원자와 함께 결합하여 헤테로사이클릴 기를 형성함); (3) 아릴; (4) 아릴알콕시 (예를 들어, -O-Lk-Ar, 여기서 Lk은 임의로 치환된 알킬의 2가 형태이고 Ar는 임의로 치환된 아릴); (5) 아릴로일 (예를 들어, -C(O)-Ar, 여기서 Ar는 임의로 치환된 아릴); (6) 시아노 (예를 들어, -CN); (7) 카복시알데하이드 (예를 들어, -C(O)H); (8) 카복실 (예를 들어, -CO2H); (9) C3-8 사이클로알킬 (예를 들어, 1가 포화 또는 불포화 비-방향족 사이클릭 C3-8 탄화수소 기); (10) 할로 (예를 들어, F, Cl, Br, 또는 I); (11) 헤테로사이클릴 (예를 들어, 5원, 6원 또는 7원 고리(달리 명시되지 않는 한 질소, 산소, 인, 황 또는 할로와 같은 1, 2, 3 또는 4개의 비탄소 헤테로원자를 포함함); (12) 헤테로사이클릴옥시 (예를 들어, -O-Het, 여기서 Het는 본원에 기술된 바와 같은 헤테로사이클릴임); (13) 헤테로사이클릴로일 (예를 들어, -C(O)-Het, 여기서 Het는 본원에 기술된 바와 같은 헤테로사이클릴임); (14) 하이드록실 (예를 들어, -OH); (15) N-보호된 아미노; (16) 니트로 (예를 들어, -NO2); (17) 옥소 (예를 들어, =O); (18) -CO2RA, 여기서 RA은 (a) C1-6 알킬, (b) C4-18 아릴, 및 (c) (C4-18 아릴) C1-6 알킬 (예를 들어, -Lk-Ar, 여기서 Lk은 임의로 치환된 알킬 기의 2가 형태이고 Ar는 임의로 치환된 아릴)로 이루어진 그룹으로부터 선택됨; (19) -C(O)NRBRC, 여기서 각각의 RB 및 RC는, 독립적으로, (a) 수소, (b) C1-6 알킬, (c) C4-18 아릴, 및 (d) (C4-18 아릴) C1-6 알킬 (예를 들어, -Lk-Ar, 여기서 Lk은 임의로 치환된 알킬기의 2가 형태이고 Ar는 임의로 치환된 아릴)로 이루어진 그룹으로부터 선택됨; 및 (20) -NRGRH, 여기서 각각의 RG 및 RH는, 독립적으로, (a) 수소, (b) N-보호 기, (c) C1-6 알킬, (d) C2-6 알케닐 (예를 들어, 하나 이상의 이중 결합을 갖는 임의로 치환된 알킬), (e) C2-6 알키닐 (예를 들어, 하나 이상의 삼중 결합을 갖는 임의로 치환된 알킬), (f) C4-18 아릴, (g) (C4-18 아릴) C1-6 알킬 (예를 들어, Lk-Ar, 여기서 Lk은 임의로 치환된 알킬기의 2가 형태이고 Ar는 임의로 치환된 아릴), (h) C3-8 사이클로알킬, 및 (i) (C3-8 사이클로알킬) C1-6 알킬 (예를 들어, -Lk-Cy, 여기서 Lk은 임의로 치환된 알킬 기의 2가 형태이고 Cy는 본원에 기술된 바와 같은 임의로 치환된 사이클로알킬)로 이루어진 그룹으로부터 선택되고, 여기서 한 구체예에서 카르보닐 기를 통해 질소 원자에 2개의 기가 결합되지 않음. 알킬기는 하나 이상의 치환기(예를 들어, 하나 이상의 할로 또는 알콕시)로 치환된 1차, 2차 또는 3차 알킬기일 수 있다. 일부 구체예에서, 비치환된 알킬 기는 C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, 또는 C1-24 알킬 기이다.
"알킬렌"은 본원에서 기술된 바와 같은 알킬 기의 다가 (예를 들어, 2가) 형태를 의미한다. 예시적 알킬렌 기는 메틸렌, 에틸렌, 프로필렌, 부틸렌, 등을 포함한다. 일부 구체예에서, 알킬렌 기는 C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, C1-24, C2-3, C2-6, C2-12, C2-16, C2-18, C2-20, 또는 C2-24 알킬렌 기이다. 알킬렌 기는 분지 또는 비분지일 수 있다. 알킬렌 기는 치환되거나 또는 비치환될 수 있다. 예를 들어, 알킬렌 기는 알킬에 대해 본원에서 기술된 바와 같은 하나 이상의 치환 기로 치환될 수 있다.
"알키닐"은 하나 이상의 삼중 결합을 갖는 임의로 치환된 C2-24 알킬 기를 의미한다. 알키닐 기는 사이클릭 또는 아사이클릭일 수 있고 에티닐, 1-프로피닐, 등으로 예시된다. 알키닐 기는 또한 치환되거나 비치환될 수 있다. 예를 들어, 알키닐 기는 알킬에 대해 본원에서 기술된 바와 같은 하나 이상의 치환 기로 치환될 수 있다.
"알키닐렌"은 하나 이상의 삼중 결합을 갖는 임의로 치환된 C2-24 알킬 기인, 알키닐 기의 다가(예를 들어, 2가) 형태를 의미한다. 알키닐렌 기는 사이클릭 또는 아사이클릭일 수 있다. 알키닐렌 기는 치환되거나 비치환될 수 있다. 예를 들어, 알키닐렌 기는 알킬에 대해 본원에서 기술된 바와 같은 하나 이상의 치환 기로 치환될 수 있다. 예시적, 비제한적 알키닐렌 기는 -C≡C- 또는 -C≡CCH2-를 포함한다.
"아미노"는 -NRN1RN2를 의미하고, 여기서 각각의 RN1 및 RN2는, 독립적으로, H, 임의로 치환된 알킬, 또는 임의로 치환된 아릴; 또는 RN1 및 RN2는 각각이 부착된 질소 원자와 함께 결합하여 본원에서 정의된 바와 같은 헤테로사이클릴 기를 형성한다.
"아미노알킬"은 본원에서 정의된 바와 같은 아미노 기에 의해 치환된, 본원에서 정의된 바와 같은 알킬 기를 의미한다.
"아미노아릴"은 본원에서 정의된 바와 같은 아미노 기에 의해 치환된, 본원에서 정의된 바와 같은 아릴 기를 의미한다.
"아릴"은 예를 들어 인다닐, 테트라하이드로나프틸, 플루오레닐 등과 같은 융합된 벤조-C4-8 사이클로알킬 라디칼(예를 들어, 본원에서 정의된 바와 같음)을 포함하는, 비제한적으로 페닐, 벤질, 안트라세닐, 안트릴, 벤조사이클로부테닐, 벤조사이클로옥테닐, 바이페닐릴, 크리세닐, 디하이드로인데닐, 플루오란테닐, 인다세닐, 인데닐, 나프틸, 페난트릴, 페녹시벤질, 피세닐, 피레닐, 터페닐 등을 포함하는 임의의 탄소-계 방향족 기를 포함하는 기를 의미한다. 아릴이라는 용어는 또한 "헤테로아릴"을 포함하며, 이는 방향족 기의 고리 내에 포함된 적어도 하나의 헤테로원자를 갖는 방향족 기를 함유하는 기로 정의된다. 헤테로원자의 예시는, 비제한적으로, 질소, 산소, 황, 및 인을 포함한다. 마찬가지로, 용어 아릴에도 포함되는 용어 비헤테로아릴은 헤테로원자를 포함하지 않는 방향족 기를 포함하는 기를 정의한다. 아릴 기는 치환되거나 또는 비치환될 수 있다. 아릴 기는 알킬에 대해 본원에 기술된 임의의 것인 1, 2, 3, 4개, 또는 5개 치환체로 치환될 수 있다:
"카르보닐"은 >C=O로도 나타낼 수 있는 -C(O)- 기를 의미한다.
"사이클로알킬"은 3 내지 8 탄소의 1가 포화 또는 불포화 비-방향족 또는 방향족 사이클릭 탄화수소 기를 의미하고, 달리 명시되지 않는 한, 사이클로프로필, 사이클로부틸, 사이클로펜틸, 사이클로펜타디에닐, 사이클로헥실, 사이클로헵틸, 비사이클로[2.2.1.]헵틸, 등으로 예시된다. 사이클로알킬 기는 또한 치환되거나 비치환될 수 있다. 예를 들어, 사이클로알킬 기는 본원에서 알킬에 대해 기술된 것을 포함하는 하나 이상의 기로 치환될 수 있다.
"디카르보닐"은 본원에 정의된 바와 같은 두 개의 카르보닐 기를 포함하는 임의의 모이어티 또는 화합물을 의미한다. 비제한적 디카르보닐 모이어티는 1,2-디카르보닐 (예를 들어, RC1-C(O)-C(O)RC2를 포함하고, 여기서 각각의 RC1 및 RC2는, 독립적으로, 임의로 치환된 알킬, 할로, 임의로 치환된 알콕시, 하이드록실, 또는 이탈 기); 1,3-디카르보닐 (예를 들어, RC1-C(O)-C(R1aR2a)-C(O)RC2, 여기서 각각의 RC1 및 RC2는, 독립적으로, 임의로 치환된 알킬, 할로, 임의로 치환된 알콕시, 하이드록실, 또는 이탈 기이고 여기서 각각의 R1a 및 R2a는, 독립적으로, H 또는 본원에 정의된 바와 같은 알킬에 대해 제공된 선택적인 치환체); 및 1,4-디카르보닐 (예를 들어, RC1-C(O)-C(R1aR2a)-C(R3aR4a)-C(O)RC2, 여기서 각각의 RC1 및 RC2는, 독립적으로, 임의로 치환된 알킬, 할로, 임의로 치환된 알콕시, 하이드록실, 또는 이탈 기이고 여기서 각각의 R1a, R2a, R3a, 및 R4a는, 독립적으로, H 또는 본원에 정의된 바와 같은 알킬에 대해 제공된 선택적인 치환체이다).
"할로"는 F, Cl, Br, 또는 I을 의미한다.
"할로알킬"은, 하나 이상의 할로로 치환된 본원에서 정의된 바와 같은 알킬 기를 의미한다.
"할로알킬렌"은 하나 이상의 할로로 치환된 본원에 정의된 바와 같은 알킬렌기를 의미한다.
"헤테로사이클릴"은, 달리 명시되지 않는 한, 1, 2, 3, 또는 4개의 비-탄소 헤테로원자 (예를 들어, 독립적으로 질소, 산소, 인, 황, 셀레늄, 또는 할로로 이루어진 군으로부터 선택되는)를 함유하는 3-, 4-, 5-, 6- 또는 7-원 고리 (예를 들어, 5-, 6- 또는 7-원 고리)을 의미한다. 3원 고리는 이중 결합이 0개에서 1개, 4원 및 5원 고리는 이중 결합이 0개에서 2개, 6원 및 7원 고리는 이중 결합이 0개에서 3개이다. 용어 "헤테로사이클릴"은 또한 바이사이클릭, 트리사이클릭 및 테트라사이클릭 기를 포함하고 여기서 상기 헤테로사이클릭 고리 중 어느 하나는 아릴 고리, 시클로헥산 고리, 시클로헥센 고리, 시클로펜탄 고리, 시클로펜텐 고리, 및 인돌릴, 퀴놀릴, 이소퀴놀릴, 테트라히드로퀴놀릴, 벤조푸릴, 벤조티에닐 등과 같은 다른 모노사이클릭 헤테로사이클릭 고리로 이루어진 군으로부터 독립적으로 선택된 하나, 두 개, 또는 세 개의 고리에 융합된다. 헤테로사이클릭은 아크리디닐, 아데닐, 알록사지닐, 아자아다만타닐, 아자벤즈이미다졸릴, 아자바이사이클로노닐, 아자사이클로헵틸, 아자사이클로옥틸, 아자사이클로노닐, 아자하이폭산티닐, 아자인다졸릴, 아자인돌릴, 아제시닐, 아제파닐, 아제피닐, 아제티디닐, 아제틸, 아지리디닐, 아지리닐, 아조카닐, 아조시닐, 아조나닐, 벤즈이미다졸릴, 벤즈이소티아졸릴, 벤즈이속사졸릴, 벤조디아제피닐, 벤조디아조시닐, 벤조디하이드로푸릴, 벤조디옥세피닐, 벤조디옥시닐, 벤조디옥사닐, 벤조디옥소시닐, 벤조디옥솔릴, 벤조디티에피닐, 벤조디티이닐, 벤조디옥소시닐, 벤조푸라닐, 벤조페나지닐, 벤조피라노닐, 벤조피라닐, 벤조피레닐, 벤조파이로닐, 벤조퀴놀리닐, 벤조퀴놀리지닐, 벤조티아디아제피닐, 벤조티아디아졸릴, 벤조티아제피닐, 벤조티아조시닐, 벤조티아졸릴, 벤조티에닐, 벤조티오페닐, 벤조티아지노닐, 벤조티아지닐, 벤조티오피라닐, 벤조티오파이로닐, 벤조트리아제피닐, 벤조트리아지노닐, 벤조트리아지닐, 벤조트리아졸릴, 벤족사티이닐, 벤조트리옥세피닐, 벤족사디아제피닐, 벤족사티아제피닐, 벤족사티에피닐, 벤족사티오시닐, 벤족사제피닐, 벤족사지닐, 벤족사조시닐, 벤족사졸리노닐, 벤족사졸리닐, 벤족사졸릴, 벤질설타밀 벤질설티밀, 비피리지닐, 비피리디닐, 카바졸릴 (예를 들어, 4H-카바졸릴), 카볼리닐 (예를 들어, β-카볼리닐), 크로마노닐, 크로마닐, 크로메닐, 신놀리닐, 쿠마리닐, 시트디닐, 시토시닐, 데카하이드로이소퀴놀리닐, 데카하이드로퀴놀리닐, 디아자바이사이클로옥틸, 디아제틸, 디아지리딘티오닐, 디아지리디노닐, 디아지리디닐, 디아지리닐, 디벤즈이소퀴놀리닐, 디벤조아크리디닐, 디벤조카바졸릴, 디벤조푸라닐, 디벤조페나지닐, 디벤조피라노닐, 디벤조파이로닐 (산토닐), 디벤조퀴놀살리닐, 디벤조티아제피닐, 디벤조티에피닐, 디벤조티오페닐, 디벤족스에피닐, 디하이드로아제피닐, 디하이드로아제틸, 디하이드로푸라닐, 디하이드로푸릴, 디하이드로이소퀴놀리닐, 디하이드로피라닐, 디하이드로피리디닐, 디하이드로피리딜, 디하이드로퀴놀리닐, 디하이드로티에닐, 디하이드로인돌릴, 디옥사닐, 디옥사지닐, 디옥스인돌릴, 디옥시라닐, 디옥세닐, 디옥시닐, 디옥소벤조푸라닐, 디옥솔릴, 디옥소테트라히드로푸라닐, 디옥소티오모르폴리닐, 디티아닐, 디티아졸릴, 디티에닐, 디티이닐, 푸라닐, 푸라자닐, 푸로일, 푸릴, 구아닐, 호모피페라지닐, 호모피페리디닐, 히포크산티닐, 히단토이닐, 이미다졸리디닐, 이미다졸리닐, 이미다졸릴, 인다졸릴(예: 1H-인다졸릴), 인돌레닐, 인돌리닐, 인돌리지닐, 인돌릴(예를 들어, 1H-인돌릴 또는 3H-인돌릴), 이사티닐, 이사틸, 이소벤조푸라닐, 이소크로마닐, 이소크로메닐, 이소인다조일, 이소인돌리닐, 이소인돌릴, 이소피라졸로닐, 이소피라졸릴, 이속사졸리디니일, 이속사졸릴, 이소퀴놀리닐, 이소퀴놀리닐, 이소티아졸리디닐, 이소티아졸릴, 모르폴리닐, 나프틴다졸릴, 나프틴돌릴, 나프티리디닐, 나프토피라닐, 나프토티아졸릴, 나프토티오옥솔릴, 나프토트리아졸릴, 나프토톡신돌릴, 나프티리디닐, 옥타히드로이소퀴놀리닐, 옥사비사이클로헵틸, 옥사우라실, 옥사디아졸릴, 옥사지닐, 옥사지리디닐, 옥사졸리디닐, 옥사졸리도닐, 옥사졸리닐, 옥사졸로닐, 옥사졸릴, 옥세파닐, 옥세타노닐, 옥세타닐, 옥세틸, 옥스테나일, 옥신돌릴, 옥시라닐, 옥소벤조이소티아졸릴, 옥소크로메닐, 옥소이소퀴놀리닐, 옥소퀴놀리닐, 옥소티올라닐, 페난트리디닐, 페난트롤리닐, 페나지닐, 페노티아지닐, 페노티에닐(벤조티오푸라닐), 페녹사티이닐, 페녹사진일, 프탈라지닐, 프탈라조닐, 프탈리딜, 프탈이미디닐, 피페라지닐, 피페리디닐, 피페리도닐(예: 4-피페리도닐), 프테리디닐, 푸리닐, py 라닐, 피라지닐, 피라졸리디닐, 피라졸리닐, 피라졸로피리미디닐, 피라졸릴, 피리다지닐, 피리디닐, 피리도피라지닐, 피리도피리미디닐, 피리딜, 피리미디닐, 피리미딜, 피로닐, 피롤리디닐, 피롤리도닐(예: 2-피롤리도닐), 피롤리닐, 피롤리지디닐, 피롤릴(예: 2H -피롤릴), 피릴륨, 퀴나졸리닐, 퀴놀리닐, 퀴놀리진일(예: 4H-퀴놀리진일), 퀴녹살리닐, 퀴누클리디닐, 셀레나지닐, 셀레나졸릴, 셀레노페닐, 석신이미딜, 술포라닐, 테트라히드로푸라닐, 테트라히드로푸릴, 테트라히드로이소퀴놀리닐, 테트라히드로이소퀴놀릴, 테트라히드로피리디닐, 테트라히드로피리딜(피페리딜), 테트라히드로피란 일, 테트라히드로피로닐, 테트라히드로퀴놀리닐, 테트라히드로퀴놀릴, 테트라히드로티에닐, 테트라히드로티오페닐, 테트라지닐, 테트라졸릴, 티아디아지닐(예: 6H-1,2,5-티아디아지닐 또는 2H,6H-1,5,2-디티아지닐), 티아디아졸릴, 티안트레닐, 티아닐, 티아나프테닐, 티아제피닐, 티아지닐, 티아졸리딘디오닐, 티아졸리디닐, 티아졸릴, 티에닐, 티에파닐, 티에피닐, 티에타닐, 티에틸, 티이라닐, 티오카닐, 티오크로마노닐, 티오크로마닐, 티오크로메닐, 티오디아지닐, 티오디아졸릴, 티오인독실, 티오모르폴리닐, 티오페닐, 티오피라닐, 티오피로닐, 티오트리아졸릴, 티오우라졸릴, 티오크사닐, 티옥솔릴, 티미디닐, 티미닐, 트리아지닐, 트리 아졸릴, 트리티아닐, 우라지닐, 우라졸릴, 우레티디닐, 우레티닐, 유리실, 우리디닐, 크산테닐, 크산티닐, 크산티오닐 등, 뿐만 아니라 이들의 변형된 형태(예를 들어, 하나 이상의 옥소 및/또는 아미노) 및 그의 염을 포함한다. 헤테로사이클릴 기는 치환되거나 또는 비치환될 수 있다. 예를 들어, 헤테로사이클릴 기는 아릴에 대해 본원에서 기술된 바와 같은 하나 이상의 치환 기로 치환될 수 있다.
"하이드록실"은 -OH를 의미한다.
"이미노"는 -NR-를 의미하고, 여기서 R는 H 또는 임의로 치환된 알킬일 수 있다.
"옥소"는 =O 기를 의미한다.
"옥시"는 -O-를 의미한다.
본원에서 사용되는 용어 "약"은 임의의 인용된 값의 +/- 10%를 의미한다. 본원에서 사용되는 이 용어는 임의의 인용된 값, 값의 범위 또는 하나 이상의 범위의 끝점을 수정한다.
본원에서 사용되는 용어 "상부", "하부", "상부", "하부", "위" 및 "아래"는 구조들 간의 상대적인 관계를 제공하기 위해 사용된다. 이러한 용어의 사용은 특정 구조가 장치의 특정 위치에 있어야 함을 나타내거나 요구하지 않는다.
본 발명의 다른 특징, 및 이점은 다음 설명 및 청구 범위로부터 명백해질 것이다.
도 1A-1G는 비제한적 스택 및 그러한 스택을 형성하는 비제한적 방법의 개략도를 나타낸다. (A) 유기금속-옥시 케이지(105)를 갖는 레지스트 필름(102)을 포함하는 비제한적 스택; (B) 케이지 내의 비제한적인 도펀트 X; (C) 산소 브리지를 통해 케이지 내의 금속 원자에 부착된 비제한적 도펀트 X; 및 (D) 도펀트 전구체 XaZb와 반응하는 유기금속 재료의 비제한적 개략도가 제공된다. 또한 (E) 도핑된 필름(112) 또는 어닐링된 필름(113)을 제공하는 방법(100); (F) 레지스트막(132) 및 도핑막(133)을 제공하는 또 다른 방법(120); 및 (G) 한 쌍의 유기금속 및 도핑된 층(152')을 갖는 도핑된 필름(152)을 제공하기 위해 반복된 주기로 증착을 포함하는 방법(140)이 제공된다.
도 2A-2D는 도핑된 필름을 사용하는 비제한적 방법의 개략도 및 흐름도를 나타낸다. (A) 유기금속 전구체를 증착하는 단계(201) 및 이후 필름을 도펀트 전구체에 노출시키는 단계(202)를 포함하는 제1 비제한적 방법(200)의 개략도; (B) 도펀트 전구체에 대한 동시 증착 및 노출(221)을 포함하는 제2 비제한적 방법(220)의 개략도; (C) 다른 비제한적 방법(240)의 흐름도; 및 (D) 또 다른 비제한적인 방법(260)의 흐름도가 제공된다.
도 3은 비제한적 레지스트 필름의 열 중량 분석(TGA)을 나타내며, 이는 적어도 150℃까지의 온도에서 열적 탈알킬화가 결여됨을 나타낸다. 중량(상단 곡선, 좌축) 및 파생 중량(하단 곡선, 우축)에 대한 데이터가 제공된다.
도 4는 건식 현상을 위한 공정 스테이션(400)의 구체예의 개략도를 나타낸다.
도 5는 다중 스테이션 가공 툴(500)의 구체예의 개략도를 나타낸다.
도 6은 유도 결합 플라즈마 장치(600)의 구체예의 개략도를 나타낸다.
도 7은 반도체 공정 클러스터 툴 아키텍처(700)의 구체예의 개략도를 나타낸다.
상세한 설명
본 개시는 일반적으로 반도체 가공 분야에 관한 것이다. 특히, 본 개시는 하나 이상의 도펀트 전구체와 함께 하나 이상의 유기금속 전구체를 사용함으로써 도핑된 필름을 제공하는 것에 관한 것이다. 일부 구체예에서, 도펀트는 Xe, Be, B, Mg, Al, Sc 또는 이들의 조합이다.
본 개시내용의 특정 구체예에 대해 상세히 참조된다. 특정 구체예의 예는 첨부된 도면에 도시되어 있다. 본 개시는 이들 특정 구체예와 관련하여 설명될 것이지만, 본 개시를 이러한 특정 구체예로 제한하려는 의도가 아님을 이해할 것이다. 반대로, 본 발명의 사상 및 범위 내에 포함될 수 있는 대안, 수정 및 등가물을 포함하도록 의도된다. 이하의 설명에서, 본 발명의 완전한 이해를 제공하기 위해 다수의 특정 세부사항이 제시된다. 본 발명은 이들 특정 세부사항의 일부 또는 전부 없이 실시될 수 있다. 다른 경우에, 본 발명을 불필요하게 불명료하게 하지 않기 위해 잘 알려진 공정 작업은 상세히 설명되지 않았다.
EUV 리소그래피는 하부층 에칭에 사용하기 위한 마스크를 형성하기 위해 패터닝되는 EUV 레지스트를 사용한다. EUV 레지스트는 액체 기반 스핀온(spin-on) 기술로 생산된 폴리머 기반 화학 증폭 레지스트(CAR)일 수 있다. CAR에 대한 대안은 가령 Inpria Corp.(Corvallis, OR)에서 입수할 수 있고 예를 들어 적어도 광패턴화 가능한 금속 산화물 함유 필름의 개시에 대해 본원에 참조로 포함된 U.S. Pat. Pub. Nos. US 2017/0102612, US 2016/0216606, 및 US 2016/0116839에 기술된, 직접 광패턴화 가능한 금속 산화물-함유 필름이다. 이러한 필름은 스핀온(spin-on) 기술 또는 건식 증착에 의해 생성될 수 있다. 금속 산화물-함유 필름은 예를 들어 2018년 6월 12일에 발행되고 EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS라는 제목의 미국 특허 제 9,996,004호, 및국제 공개 번호 WO2019/217749로 공개되고, 2019년 5월9일에 출원되고 METHODS FOR MAKING EUV PATTERNABLE HARD MASKS라는 제목의 국제 출원 번호 PCT/US19/31618에 기술된 바와 같이 30 nm 미만의 패터닝 해상도를 제공하는 진공 환경에서 EUV 노출에 의해 직접(즉, 별도의 포토레지스트를 사용하지 않고) 패터닝될 수 있고, 적어도 EUV 레지스트 마스크를 형성하기 위한 직접 광패턴화 가능한 금속 산화막의 조성, 증착 및 패터닝에 관한 개시 내용이 여기에 참조로 포함된다. 일반적으로 패터닝은 EUV 레지스트를 EUV 방사선으로 노출하여 레지스트에 포토 패턴을 형성한 후 포토 패턴에 따라 레지스트의 일부를 제거하여 마스크를 형성하는 현상을 포함한다.
직접 광패턴화 가능한 EUV 또는 DUV 레지스트는 유기 성분 내에 혼합된 금속 및/또는 금속 산화물로 구성되거나 이를 함유할 수 있다. 금속/금속 산화물은 EUV 또는 DUV 광자 흡착을 강화하고 2차 전자를 생성할 수 있고 및/또는 하부 필름 스택 및 장치 층에 대해 증가된 에칭 선택성을 나타낼 수 있다는 점에서 매우 유망하다. 지금까지 이러한 레지스트는 습식(용제) 접근 방식을 사용하여 개발되었는데, 이 방식에서는 웨이퍼가 트랙으로 이동하여 현상 용제에 노출되고 건조 및 이후 베이킹되어야 한다. 습식 현상 단계는 생산성을 제한할 뿐만 아니라 미세한 피쳐 사이에서 용매가 증발하는 동안 표면 장력 효과로 인해 라인 붕괴로 이어질 수도 있다.
일반적으로, 레지스트는 레지스트의 화학적 성질 및/또는 현상액의 용해도 또는 반응성을 제어함으로써 포지티브 톤 레지스트 또는 네거티브 톤 레지스트로 사용될 수 있다. 네거티브 톤 레지스트 또는 포지티브 톤 레지스트 역할을 할 수 있는 EUV 또는 DUV 레지스트를 갖는 것이 유리할 것이다.
도펀트 필름 및 이의 방법
본발명은 도핑된 필름의 사용에 관한 것이며 그러한 필름의 다양한 구조적 양상을 기술한다. 특정 구체예에서, 도핑된 필름은 스택 내에서 사용되며, 그러한 필름 및 스택을 얻는 방법이 본원에서 설명된다.
도 1A는 상부 표면을 갖는 기판(101)(예를 들어, 반도체 기판) 및 기판(101)의 상부 표면 상에 배치된 필름(102)을 포함하는 예시적인 스택을 제공한다. 일부 구체예에서, 증착된 필름은 유기금속 재료를 포함하며, 이것은 차례로 유기금속-산소 케이지(105)를 포함할 수 있다. 이 비제한적 케이지(105)는 M-O-M 결합의 네트워크를 형성하는 금속 원자(M) 및 산소 원자(O)를 포함한다. 또한, 금속 원자는 방사선 노출에 반응하는 리간드(R)를 포함한다.
그러한 케이지는 임의의 유용한 방식으로 도핑될 수 있다. 도 1B에 도시된 바와 같이, 도펀트(X)는 케이지 내에 내면체적으로 포획될 수 있다. 도펀트가 높은 EUV 흡수 단면적(예를 들어, 1×107 cm2/mol 이상)을 갖는 경우, 내면체적으로 주입된 금속-산소 클러스터는 향상된 EUV 흡수 및 향상된 양자 효율을 나타낼 수 있다.
도 1C에 도시된 바와 같이 도펀트가 케이지 내의 원자에 부착될 수 있다. 예를 들어, 도펀트(X)는 산소 브리지(-O-)를 통해 유기 금속 물질 내의 금속 원자(M)에 부착될 수 있다. 이러한 방식으로 도펀트는 금속 원자에 근접할 수 있다. 도펀트가 높은 EUV SEY(예를 들어, 0.2 초과 또는 85eV에서 약 0.2-0.45)를 갖는 경우, 필름은 각각의 1차 전자에 대한 추가 2차 전자를 제공함으로써 전자적으로 증폭될 수 있다. 특정 구체예에서, 도펀트는 고 EUV SEY (예를 들어, 약 0.2 내지 0.45) 및 1x102 cm2/mol 이상 (예를 들어, 약 102-107 cm2/mol, 102-104 cm2/mol, 또는 102-106 cm2/mol) EUV 흡수 단면을 포함할 수 있다.
특정 구체예에서, 도펀트는 1가가 아니므로 도펀트와 유기금속 재료 사이의 추가 가교결합 및 증착된 필름의 추가 확장을 허용한다. 도 1D에 도시된 바와 같이, 비제한적 유기금속 재료는 M-O-M 결합의 네트워크, M에 부착된 감광성 리간드 R 및 접근 가능한 산소 원자(예를 들어, -O- 또는 하이드록실 기, -OH)를 포함할 수 있다. 유기금속 재료를 도펀트 전구체(예를 들어, XaZb)에 노출시키면, 도펀트 원자(X)는 다이머 O-브리지를 통해 M에 간접적으로 부착될 수 있다.
X가 1가가 아닌 경우(예를 들어, Mg와 같은 2가 또는 B와 같은 3가), 유기금속 전구체의 추가 침착은 필름을 성장시킬 수 있다. 예를 들어, 도 1D에 도시된 바와 같이, XaZb 에 대한 노출은 접근 가능한 리간드 Z를 제공하며, 이는 다시 산소 함유 역반응물과 반응하여 추가 X-옥시 또는 하이드록실 기를 제공할 수 있다. 이러한 산소 함유 기는 유기금속 전구체에서 금속 원자(M)와 추가로 반응하여 필름을 성장시킬 수 있다. 다른 구체예에서, 추가의 X-옥시 또는 하이드록실기(Z를 반응시킴으로써 확립됨)는 필름 내의 금속 원자와 반응할 수 있고, 이로써 필름 내의 추가적인 가교결합을 허용할 수 있다. 일부 구체예에서, M과 X 사이의 직접적인 결합은 회피된다. 다른 구체예에서, 도펀트 전구체를 사용할 때 M과 R 사이의 결합에 대한 변화가 방지된다. 또다른 구체예에서, 필름은 Xe 및 높은 SEY 원소(예를 들어, Be, B, Mg, Al 또는 Sc)를 모두 포함한다.
본 발명은 또한 일반적으로 본원에 기재된 바와 같이 도펀트 전구체와 함께 유기금속 전구체를 사용하는 임의의 유용한 방법을 포함한다. 그러한 방법은 본원에 기술된 바와 같이 임의의 유용한 리소그래피 공정, 증착 공정, 방사선 노출 공정, 현상 공정 및 도포-후 공정을 포함할 수 있다. 다음은 EUV 공정와 관련된 기술을 설명할 수 있지만, 이러한 기술은 다른 차세대 리소그래피 기술에도 적용할 수 있다. DUV(일반적으로 엑시머 레이저 소스가 있는 248nm 또는 193nm 범위의 원자외선), X선(X선 범위의 광선의 낮은 에너지 범위에서 EUV 포함) 및 전자빔(광범위한 에너지 범위 포함)을 포함하는 다양한 방사원이 사용될 수 있다.
예시적인 방법은 기판으로의 유기금속 전구체 및 도펀트 전구체의 동시 또는 순차적 전달을 포함할 수 있다. 한 예에서, 증착은 유기금속 및 도펀트 전구체의 동시 흐름을 포함할 수 있다. 예를 들어, 도 1E는 기판(111) 상에 유기금속 전구체(10) 및 도펀트 전구체(12)를 증착하는 단계(101)를 포함하는 비제한적 방법(100)을 제공한다. 이러한 공동 증착은 금속 원자, 유기 성분 및 도펀트의 매트릭스를 갖는 도핑된 필름(112)을 제공할 수 있다. 매트릭스는 특정 구체예에서 합금일 수 있다. 선택적으로, 필름은 어닐링(102)되어 어닐링된 필름(113)을 제공할 수 있다.
또다른 예에서, 도 1F는 기판(131) 상에 유기금속 전구체(10)를 증착(121)하여 유기금속 물질(132)을 제공하고 선택적으로 챔버를 퍼징하여 미반응 유기금속 전구체를 제거하는 것을 포함하는 예시적인 방법(120)을 제공한다. 이러한 퍼징은 증기 형태로 존재하여 기판 상에 증착되지 않는 유기금속 전구체를 제거하기 위해 불활성 가스를 사용하는 것을 포함할 수 있다. 유기금속 재료 내에서 하나 이상의 유기금속 전구체가 함께 반응하여 층을 형성할 수 있다.
유기금속 전구체는 하나 이상의 반대 반응물의 존재 하에 선택적으로 증착될 수 있다. 반대 반응물은 바람직하게는 화학 결합을 통해 적어도 2개의 금속 원자를 연결하기 위해 반응성 모이어티, 리간드 또는 이온(예를 들어, 본원의 화학식에서 L)을 대체하는 능력을 갖는다. 예시적인 반대 반응물은 O2, O3, 물, 과산화물(예를 들어, 과산화수소), 산소 플라즈마, 물 플라즈마, 알코올, 디- 또는 폴리히드록시 알코올, 플루오르화 디- 또는 폴리히드록시 알코올, 플루오르화 글리콜, 포름산, 및 하이드록실 모이어티의 다른 공급원 뿐만 아니라 이들의 조합을 포함한다. 다양한 구체예에서, 반대 반응물은 인접한 금속 원자 사이에 산소 가교를 형성함으로써 유기금속 전구체와 반응한다. 다른 잠재적 반대-반응물은 황 가교를 통해 금속 원자를 가교시킬 수 있는 황화수소 및 이황화수소와 텔루륨 가교를 통해 금속 원자를 가교시킬 수 있는 비스(트리메틸실릴)텔루륨을 포함한다. 또한, 요오드화수소를 사용하여 요오드를 필름에 통합할 수 있다. 또다른 다른 반대-반응물은 본원에 기술된 임의의 칼코게나이드 함유 전구체 또는 칼코게나이드 함유 화합물을 포함할 수 있다.
도 1F에 도시된 바와 같이, 추가 작업은 유기금속 재료(132)를 도펀트 전구체(12)에 노출시켜 도핑층(133)을 제공하는 단계(122)를 포함할 수 있다. 이 도핑층은 선택적으로 어닐링될 수 있다.
전구체의 순차적 침착은 임의의 유용한 방식으로 반복될 수 있다. 예를 들어, 도 1G는 유기금속 재료를 포함하는 제1 층(152a)을 제공하기 위해 기판(151) 상에 유기금속 전구체(10)를 증착(141)한 다음 미반응 유기금속 전구체를 제거하기 위해 챔버를 퍼지(142)하는 것을 포함하는 예시적인 방법(140)을 제공한다. 이러한 퍼징은 증기 형태로 존재하여 기판 상에 증착되지 않는 유기금속 전구체를 제거하기 위해 불활성 가스를 사용하는 것을 포함할 수 있다. 유기금속 재료 내에서 하나 이상의 유기금속 전구체가 함께 반응하여 층을 형성할 수 있다.
추가 작업은 도핑된 재료를 포함하는 제2 층(152b)을 제공하기 위해 제1 층(152a)을 도펀트 전구체(12)에 증착 또는 노출시키는 단계(143)를 포함할 수 있다. 선택적으로, 이 작업은 도펀트 전구체만을 표면으로 전달하거나 도펀트 전구체를 유기 금속 전구체와 함께 표면으로 전달하는 것을 포함할 수 있다.
전구체는 반대 순서로 증착될 수 있으며(즉, 먼저 도펀트 전구체, 그 다음 유기금속 전구체, 그 사이에 선택적 퍼지 기간 있음) 기판에 근접한 도핑층을 제공한 다음 도핑된 층의 상부 표면에 배치된 유기금속 함유층을 제공할 수 있다. 이러한 층은 나노층(예를 들어, 본원에 개시된 그러한 범위를 포함하는 nm 범위의 두께를 가짐) 또는 원자 단일층(예를 들어, 본원에 개시된 이러한 범위를 포함하는 옹스트롬 범위의 두께를 가짐)일 수 있다.
예를 들어, ALD 또는 MLD와 같은 여기에 설명된 기상 증착 공정은 일반적으로 불활성 가스 퍼지 기간에 의해 시간적으로 분리되는 유기 금속 전구체 및 도펀트 전구체에 대한 기판의 순차적인 노출을 포함한다. 유기금속 전구체는 레지스트 필름에서 EUV 흡수제 역할을 하는 금속 원자를 제공한다. 도펀트 전구체는 추가 EUV 흡수 원자 및/또는 SEY 생성 원자를 제공하여 양자 효율을 추가로 개선한다.
이러한 필름은 본원에 기술된 바와 같이 임의의 유용한 방식으로 증착될 수 있다. 예시적인 증착 기술은 원자층 증착(ALD)(예를 들어, 열 ALD 및 플라즈마 강화 ALD(PE-ALD)), 분자층 증착(MLD), 스핀-코트 증착, PVD 동시 스퍼터링을 포함하는 물리 기상 증착(PVD), 화학 기상 증착(CVD), 플라즈마 강화 CVD(PE-CVD), 저압 CVD(LP-CVD), 스퍼터링 증착, 전자빔 공증착을 포함하는 전자빔(e-빔) 증착, 또는 이들의 조합을 포함한다. 다른 증착 공정 및 조건이 여기에 설명되어 있다.
비제한적인 MLD 공정은 챔버 내의 기판에 유기금속 전구체를 제공함으로써 기판의 상부 표면 상에 유기금속 함유 층을 증착하는 단계; 챔버를 퍼징하는 단계; 챔버에 도펀트 전구체를 제공하여 유기금속 함유층의 상부 표면 상에 도핑된 층을 증착하는 단계; 그리고 다시 챔버를 퍼징하는 단계를 포함한다. 이러한 증착 및 퍼징 작업을 주기적으로 반복함으로써 유기 금속 함유 층과 도핑된 층이 교대로 있는 필름이 형성된다.
증착을 위한 다양한 공정 조건이 변경되고 최적화될 수 있다. 예를 들어, (예를 들어, 유기금속 및/또는 도펀트 전구체의) 증착은 약 20-400℃, 예를 들어 약 50-300℃, 약 100-200℃, 또는 약 150°C의 온도에서 수행될 수 있다. 일부 구체예에서, 유기금속 전구체(들) 및 도펀트 전구체(들)는 자체 제한 표면 반응을 일으키기 위해 공정 온도보다 높은 열 분해점을 갖는다. 비제한적인 압력 조건은 약 1-15 Torr와 같은 약 0.1-50 Torr 범위를 포함한다. 일부 구체예에서, 유기금속 전구체(들) 및 도펀트 전구체(들)는 100℃ 이하에서 0.5 Torr보다 큰 증기압을 갖는다.
각각의 작업을 위한 공정 단계 시간은 다음 범위를 포함할 수 있다: 약 0.1-10초의 유기금속 전구체 투입량; 약 0.1-60초의 도펀트 전구체 선량; 및 약 0.1-60초의 퍼지 기간.
증착은 유기금속 전구체 및 도펀트 전구체를 기판에 교대로 전달함으로써 임의의 수의 주기 동안 반복될 수 있으며, 이에 의해 필름을 형성한다. 단일 주기는 후속 퍼지 작업을 수반하는 유기금속 전구체의 제1 증착 작업 및 후속 퍼지 작업을 수반하는 도펀트 전구체의 제2 증착 작업을 포함할 수 있다. 1차 및 2차 증착 작업은 임의의 순서로 수행될 수 있다. 또한, 이러한 주기는 원하는 필름 두께를 증착하기 위해 예를 들어 1-1000 주기과 같은 임의의 유용한 주기 수 동안 반복될 수 있다. 도 1G에 도시된 바와 같이, 방법은 유기금속 함유 층 및 도핑된 층의 하나 이상의 쌍(152')을 특징으로 하는 도핑된 필름(152)을 제공하기 위해 증착의 반복 주기(144)을 포함할 수 있다.
원하는 필름 두께가 증착되면 증착 후 처리 단계가 수행될 수 있다. 한 예에서, 그러한 증착 후 처리는 필름을 침지 전구체를 사용하여 장기 선량에 노출(또는 침지)시키는 것을 포함한다. 침지는 필름의 EUV 흡광도를 증가시키는 데 사용될 수 있다. 한 구체예에서, 침지 전구체는 금속 함유 전구체이고, 이침지 전구체의 사용은 필름에서 금속 원자의 농도를 증가시킨다. 다른 구체예에서, 도펀트 전구체이다. 침지 전구체는 증착 공정에서 사용되는 유기금속 전구체와 동일하거나 상이할 수 있다. 침지는 증착 공정과 유사한 조건에서 수행될 수 있지만, 일반적으로 선량 시간은 30초 내지 30분 범위와 같이 상대적으로 더 길 수 있다.
다른 구체예에서, 침지 전구체는 Xe를 포함할 수 있는데, 이는 유기금속-산소 케이지로의 Xe 흡착 및 흡수를 야기하고 EUV 흡광도를 증가시킨다. 노출은 약 10-400℃(예를 들어, 약 10-200℃, 10-150°, 또는 30-150°)의 고온 및 고압(예를 들어, 약 1-200 atm, 3-200기압 또는 3-100기압)에서 수행될 수 있다. 도 3에 도시된 바와 같이, 비제한적 유기주석 필름은 150℃까지의 온도에서 열적 탈알킬화가 없음을 나타낸다. 고온와 고압을 사용함으로써 Xe 원자의 필름으로의 확산이 촉진될 수 있다. 그러한 노출은 임의의 유용한 기간(예를 들어, 약 30초 내지 약 4시간) 동안일 수 있다.
대안적으로 또는 부가적으로, 증착 후 처리는 어닐링을 포함할 수 있다. 도 1G에 도시된 바와 같이, 교대 층은 어닐링(145)되어 어닐링된 필름(153)을 형성할 수 있으며, 이는 유기금속 치환체 및 도펀트를 모두 포함하는 균질화된 합금을 제공할 수 있다.
본원의 방법은 기판 상에 직접 또는 기판 표면 상에 배치된 층 상에 증착하는 것을 포함할 수 있다. 한 예에서, 기판은 유기 하부층 또는 중간 에칭층일 수 있는 접착층 또는 하부층을 포함할 수 있다.
도핑된 필름은 임의의 유용한 구조를 가질 수 있다. 한 구체예에서, 필름은 약 0.5 nm 내지 약 100 nm의 두께(예를 들어, 약 5 nm 내지 100 nm 뿐만 아니라 본원에 기재된 다른 두께)를 갖는다. 필름은 교대하는 유기금속 층 및 도핑된 층을 갖는 복수의 층을 포함할 수 있다. 필름은 유기금속 전구체 또는 도핑된 전구체의 증착 전에 선택적인 하부층 또는 선택적인 표면 활성화 단계를 포함할 수 있다.
필름은 EUV 흡광도의 수직 변화를 특징으로 하는 수직 구배를 가질 수 있다. 일부 경우에, 깊이에 따른 EUV 흡광도의 증가(예를 들어, 필름의 상부 표면에서 기판 쪽으로 가는 것)는 필름 층을 통한 동일한 깊이에 따른 금속 함량 또는 크세논 함량의 증가에 상응할 수 있다. 비제한적 구배는 선형 구배, 지수 구배, S자 구배 등을 포함한다. 특정 구체예에서, EUV 응답 모이어티의 구배 밀도 필름은 필름의 모든 깊이에서 EUV 노출 영역의 더 균일한 필름 특성을 산출할 수 있으며, 이는 현상 공정을 개선하고, EUV 감도를 개선하고/하거나 패터닝 품질을 개선할 수 있다(예: LWR(line-width-roughness) 및/또는 LER(line-edge-roughness) 개선).
도핑된 필름은 유기금속 산화물(예를 들어, RM(MO)n, 여기서 M은 금속이고 R은 가령 알킬, 알킬아미노, 또는 알콕시 내 하나 이상의 탄소 원자를 갖는 유기 모이어티임)과 같은 유기금속 재료를 포함할 수 있다. 기판은 임의의 유용한 웨이퍼, 피쳐(들), 층(들) 또는 장치(들)를 포함할 수 있다. 일부 구체예에서, 기판은 임의의 유용한 피쳐(예를 들어, 불규칙한 표면 토포그래피), 층(예를 들어, 포토레지스트 층) 또는 장치를 갖는 실리콘 웨이퍼이다.
감방사선 필름은 금속 성분 및 유기 치환체를 포함할 수 있으며, 이들 각각은 UV-, DUV- 또는 EUV-민감성 모이어티를 포함할 수 있다. 이들의 비제한적 예는 예를 들어 1×1x107 cm2/mol 이상과 같은 높은 EUV 흡수 단면적을 갖는 금속 또는 준금속 또는 원자를 포함한다. 다른 구체예에서, 성분은 M을 포함하거나 M이다(예를 들어, 여기서 M은 주석(Sn), 비스무트(Bi), 텔루륨(Te), 세슘(Cs), 안티몬(Sb), 인듐(In), 몰리브덴(Mo), 하프늄(Hf), 요오드(I), 지르코늄(Zr), 철(Fe), 코발트(Co), 니켈(Ni), 구리(Cu), 아연(Zn), 은(Ag), 백금(Pt), 납(Pb), Xe 또는 이들의 조합). 또다른 구체예에서, 성분은 Xe, Be, B, Mg, Al, 및/또는 Sc를 포함한다. 일부 구체예에서, 성분은 유기금속 전구체, 도펀트 전구체, 또는 이들의 반응 생성물을 포함한다.
패터닝 감방사선 필름은 유기금속 성분, 유기 성분 및 도펀트로 구성된 임의의 유용한 구조를 가질 수 있다. 유용한 구조의 예는 임의의 유용한 순서로 배열된 복수의 유기금속 층 및 도핑된 층을 포함할 수 있다. 하나의 비제한적 구체예에서, 필름은 복수의 교대하는 유기금속 층 및 도핑된 층을 갖는다(예를 들어, A-B-A 시퀀스, B-A-B 시퀀스, A-B-B-A 시퀀스 등, 여기서 A는 유기금속 층이고 B는 도핑된 층이다). 일부 구체예에서, 각각의 교대 층은 나노층(예를 들어, 약 0.5nm 내지 10nm의 두께를 가짐)이다. 그러한 교대 층은 임의의 유용한 방식, 예를 들어 ALD 또는 MLD로 형성될 수 있다. 특정 구체예에서, 필름은 다공성이어서 개선된 건식 현상 선택성을 나타낸다.
필름은 제1 유기금속층 및 제2 도핑층을 갖는 나노라미네이트일 수 있으며, 이들 층은 상이하다. 이러한 각 층은 ALD, CVD 또는 MLD에 의해 증착될 수 있다.
나노라미네이트의 다른 예에서, 이들 층의 유기금속 성분 및 도펀트는 상이하다. 제1 층은 제1 유기금속 전구체 및 제1 도펀트 전구체를 증착하여 형성할 수 있고, 제2 층은 제1 유기금속 전구체를 제2 도펀트 전구체로 증착하여 형성할 수 있으며, 여기서 제1 및 제2 도펀트 전구체는 상이하다. 대안적으로, 제2 층은 상이한 유기금속 전구체 및 도펀트 전구체를 사용함으로써 제1 층과 비교하여 상이한 금속 및 유기 성분을 포함할 수 있다. 다른 구체예에서, 각각의 교대 층은 원자 단일층(예를 들어, 약 1Å 내지 약 10Å의 두께를 가짐)이다.
필름은 균질할 수 있다. 따라서, 일 구체예에서, 필름은 교대하는 유기금속 층 및 도핑된 층을 갖는 나노라미네이트를 어닐링함으로써 형성된 균질화된 매트릭스이다. 또다른 구체예에서, 필름은 유기금속 전구체(들) 및 도펀트 전구체(들)의 동시 증착에 의해 형성된 균질화된 매트릭스이다. 특정 구체예에서, 균질화된 매트릭스는 합금 필름일 수 있다. 공동 증착은 유기 금속 및 도펀트 전구체(예: CVD) 모두의 동시 흐름을 사용하는 유용한 방법에서 발생할 수 있다.
일부 구체예에서, 필름은 Xe 및 높은 SEY 원소(예를 들어, Be, B, Mg, Al 또는 Sc)를 모두 포함한다. 예를 들어, 비제한적 방법은 높은 SEY 원소를 갖는 도핑된 필름을 증착하고(예를 들어, 본원에 기재된 임의의 방법에 의해) 도핑된 필름을 Xe 가스에 노출시킴으로써 필름 내에 Xe 원자를 포획하는 것을 포함할 수 있다. 또다른 예에서, 비제한적 방법은 필름 내에 Xe 원자를 포획한 다음 그 필름을 도펀트 전구체(유기금속 전구체가 있거나 없이)에 노출시키는 것을 포함할 수 있다. 유기금속 전구체 및 도펀트 전구체를 증착하는 방법뿐만 아니라 필름을 증착 및 처리하는 다른 방법을 결합하여 이러한 다중 도핑된 필름을 형성할 수 있다.
생성된 도핑된 필름은 포토레지스트로 사용될 수 있다. 특히 비제한적인 구체예에서, 도핑된 층은 방사선 노출(예를 들어, UV, DUV 및/또는 EUV 방사선에 대한 노출) 후에 방사선 흡수 및/또는 2차 전자 수율을 촉진하는 모이어티를 포함할 수 있다. 추가 구체예에서, 유기금속 층은 방사선 흡수를 촉진하는 금속 중심을 포함할 수 있다. 증착 후, 필름은 방사선 노출에 의해 패터닝된 후 현상될 수 있으며, 이에 따라 네거티브 톤 또는 포지티브 톤 레지스트를 제공한다. 이러한 방법은 본원에 기술된 바와 같이 임의의 유용한 리소그래피 공정, 증착 공정, EUV 노출 공정, 현상 공정 및 도포-후 공정을 포함할 수 있다.
도 2A는 도핑된 필름을 사용하는 예시적인 방법을 제공하며, 이 방법은 기판(211)의 상부 표면 상에 필름(212)으로서 포토레지스트를 증착하는 단계(201), 여기서 필름은 EUV-민감성 재료를 포함하고 증착하는 단계는 유기금속 전구체를 제공하는 단계를 포함함; 및 도핑된 필름(213)을 제공하기 위해 필름을 도펀트 전구체에 노출시키는 단계(202)를 포함한다. 증착은 본원에 기술된 임의의 반응물(예를 들어, 수증기)과 같은 반대 반응물의 사용을 포함할 수 있다.
추가 단계는 EUV 노출 영역(212b) 및 EUV 비노출 영역(212c)을 갖는 노출된 필름을 제공하기 위해 EUV 노출(203)에 의해 필름을 패터닝하는 것을 포함할 수 있다. 패터닝은 EUV 투명 영역 및 EUV 불투명 영역을 갖는 마스크(214)의 사용을 포함할 수 있으며, 여기서 EUV 빔(215)은 EUV 투명 영역을 통해 도핑된 필름(213) 내로 투과된다. EUV 노출은 예를 들어 진공 환경에서 약 10nm 내지 약 20nm 범위(예를 들어, 진공 환경에서 약 13.5nm)의 파장을 갖는 노출을 포함할 수 있다.
방법(200)은 도핑된 필름을 현상하는 단계(204)를 더 포함할 수 있으며, 이로써 (i) EUV 노출 영역을 제거하여 포지티브 톤 레지스트 필름 내에 패턴을 제공하거나 (ii) EUV 비노출 영역을 제거하여 네거티브 톤 레지스트 내에 패턴을 제공할 수 있다. 도 2A 내 도 1의 경로(i)는 EUV 노출 영역(212b)의 선택적 제거를 야기한다. 대안적으로, 도 2A 내 도 1의 경로(ii)는 현상 후에 EUV 노출 영역(212b) 유지를 야기한다.
현상 단계는 기상에서 할라이드 화학(예를 들어, HBr 화학)의 사용 또는 액상에서 수성 또는 유기 용매의 사용(예를 들어, 본원에 기재된 바와 같음)을 포함할 수 있다. 현상 단계는 어떤 유용한 화학(예: 할라이드 화학 또는 수성 화학)과 결합될 수 있는 저압 조건(예를 들어, 약 1-100 mTorr), 플라즈마 노출(예를 들어, 진공의 존재 하에서), 및/또는 열 조건(예를 들어, 약 -10-100°C에서)과 같은 유용한 실험 조건을 포함할 수 있다. 현상 현상은 예를 들어, HCl, HBr, H2, Cl2, Br2, BCl3, 또는 이들의 조합과 같은 할라이드계 에칭제 뿐만 아니라 본원에 기재된 임의의 할라이드계 현상 공정; 알칼리 현상 수용액; 또는 유기 현상 용액을 포함할 수 있다. 추가 현상 공정 조건은 여기에 설명되어 있다.
특정 구체예에서, 유기금속 전구체의 증착 및 도펀트 전구체의 노출은 동시에 발생할 수 있다. 하나의 비제한적인 예에서, 이 공정은 유기금속 전구체, 선택적인 반대 반응물 및 도펀트 전구체를 기판을 제공하도록 구성된 챔버로 공동 전달함으로써 도핑된 필름을 형성하는 것을 포함할 수 있다. 도 2B에 도시된 바와 같이, 비제한적 방법(220)은 도핑된 필름(233)을 제공하기 위해 유기금속 전구체를 증착하는 단계와 기판(231)의 상부 표면 상에 도펀트 전구체로 노출시키는 단계(221); 및 EUV 노출 영역(232b) 및 EUV 비노출 영역(232c)을 갖는 노출된 필름을 제공하기 위해 EUV 노출(223)에 의해 필름을 패터닝하는 단계를 포함한다. 패터닝은 EUV 투명 영역 및 EUV 불투명 영역을 갖는 마스크(234)의 사용을 포함할 수 있으며, 여기서 EUV 빔(235)은 EUV 투명 영역을 통해 도핑된 필름(233) 내로 투과된다. 마지막으로, 도핑된 필름을 현상하는 단계(224)는 (i) EUV 노출 영역을 제거하여 포지티브 톤 레지스트 필름 내에 패턴을 제공하거나 (ii) EUV 비노출 영역을 제거하여 네거티브 톤 레지스트 내에 패턴을 제공한다.
선택적인 단계는 EUV 민감성 필름(들), 기판, 포토레지스트 층(들) 및/또는 본원의 임의의 방법을 추가로 조절, 변형 또는 처리하기 위해 수행될 수 있다. 도 2C는 선택적 작업을 포함하는 다양한 작업을 갖는 예시적인 방법(240)의 흐름도를 제공한다. 알 수 있는 바와 같이, 작업 (242)에서, (예를 들어, 챔버 내에서) 레지스트 필름을 제공하기 위해 반대 반응물의 선택적인 존재하에 유기금속 전구체가 제공된다. 작업 (244)에서, 필름은 도펀트 전구체에 노출됨으로써 도핑된다.
한 예에서, 방법은 기판의 배면 표면 또는 베벨을 세정하거나 이전 단계에서 증착된 포토레지스트의 에지 비드를 제거하는 선택적 단계(246)를 포함할 수 있다. 이러한 세정 또는 제거 단계는 필름 층을 증착한 후에 존재할 수 있는 입자를 제거하는 데 유용할 수 있다. 제거 단계는 습식 금속 산화물(MeOx) 에지 비드 제거(EBR) 단계로 웨이퍼를 가공하는 것을 포함할 수 있다.
또다른 예에서, 방법은 증착된 포토레지스트 층의 도포 후 베이킹(PAB)을 수행함으로써 층으로부터 잔류 수분을 제거하여 필름을 형성하고; 또는 임의의 유용한 방식으로 포토레지스트 층을 전처리하는 선택적인 단계(248)를 포함할 수 있다. 선택적 PAB는 필름 증착 후 EUV 노출 전에 발생할 수 있고; PAB는 필름의 EUV 감도를 증가시키기 위해 열 처리, 화학적 노출 및 수분의 조합을 포함할 수 있으며, 그에 따라 필름에 패턴을 현상하기 위한 EUV 선량을 감소시킨다. 특정 구체예에서, PAB 단계는 약 100℃ 초과의 온도 또는 약 100-200℃ 또는 100-250℃의 온도에서 수행된다. 경우에 따라 방법 내에서 PAB가 수행되지 않는다.
작업 (250)에서, 패턴을 현상하기 위해 도핑된 필름이 EUV 방사선에 노출된다. 일반적으로 EUV 노출은 필름의 화학적 조성을 변화시켜 필름의 일부를 제거하는 데 사용할 수 있는 에칭 선택성의 콘트라스트를 생성한다. 이러한 콘트라스트는 본원에 기재된 바와 같이 포지티브 톤 레지스트 또는 네거티브 톤 레지스트를 제공할 수 있다.
또다른 예에서, 방법은 노출된 필름의 PEB(post Exposure Bake)를 수행함으로써 잔여 수분을 추가로 제거하거나 필름 내에서 화학적 응결을 촉진하는; 또는 임의의 유용한 방식으로 필름을 후처리하는 선택적인 단계(252)를 포함할 수 있다. 또다른 예에서, 방법은 (예를 들어, 현상 후에) 패터닝된 필름을 경화시켜 기판의 상부 표면 상에 배치된 레지스트 마스크를 제공하는 것을 포함할 수 있다. 경화 단계는 현상 후 베이킹(PDB) 단계에 유용할 수 있는 플라즈마(예를 들어, O2, Ar, He, 또는 CO2 플라즈마)에 노출하는 단계, 자외선에 노출시키는 단계, 어닐링(예를 들어, 약 180-240℃의 온도), 열 베이킹, 또는 이들의 조합과 같은, EUV 비노출된 또는 노출 영역을 추가로 가교결합하거나 반응시키는 유용한 공정을 포함할 수 있다. 부가적 도포-후 공정이 본원에 기술되어 있고 여기에 설명된 임의의 방법에 대한 선택적 단계로서 수행될 수 있다.
그 후, 작업 (254)에서 PR 패턴이 현상된다. 현상의 다양한 구체예에서, 노출된 영역이 제거되거나(포지티브 톤) 비노출된 영역이 제거된다(네거티브 톤). 다양한 구체예에서, 이들 단계는 건식 공정 및/또는 습식 공정일 수 있다.
도 2D는 선택적 작업을 포함하는 다양한 작업을 갖는 다른 비제한적 방법(260)의 흐름도를 제공한다. 알 수 있는 바와 같이, 작업(264)에서, (예를 들어, 챔버 내에서) 도핑된 필름을 제공하기 위해 도펀트 전구체(및 선택적인 대응 반응물)의 존재하에 유기금속 전구체가 제공된다. 선택적 단계는 기판의 배면 표면 또는 베벨을 세정(266)하거나 이전 단계에서 증착된 포토레지스트의 에지 비드를 제거하는 단계; 및 증착된 포토레지스트 층의 PAB를 수행하여(268), 층으로부터 잔류 수분을 제거하여 필름을 형성하는 단계를 포함한다. 방법은 EUV 노출 및 비노출 영역을 제공하기 위해 도핑된 필름을 EUV 방사선에 노출시키는 작업(270)을 포함할 수 있다. 방법은 PEB를 수행하는 선택적 단계(272)를 포함할 수 있다. 그 후, 작업(274)에서 PR 패턴이 현상된다.
증착, 패터닝 및/또는 현상 단계 동안 임의의 유용한 유형의 화학이 사용될 수 있다. 이러한 단계는 기상에서 화학을 사용하는 건식 공정 또는 습식 상태에서 화학을 사용하는 습식 공정을 기반으로 할 수 있다. 다양한 구체예는 증착, (EUV) 리소그래피 포토패터닝, 건식 스트리핑 및 건식 현상에 의한 필름 형성의 모든 건식 작업을 결합하는 것을 포함한다. 다양한 다른 구체예는 습식 가공 작업과 유리하게 결합된 본원에 기술된 건식 가공 작업을 포함하며, 예를 들어, Inpria Corp.로부터 입수가능한 스핀-온 EUV 포토레지스트(습식 공정)는 본원에 설명되어 있는 건식 현상 또는 다른 습식 또는 건식 공정과 결합될 수 있다. 다양한 구체예에서, 웨이퍼 세정은 본원에 기재된 바와 같은 습식 공정일 수 있는 반면, 다른 공정은 건식 공정이다. 또다른 구체예에서, 습식 현상 공정이 사용될 수 있다.
본 기술의 메카니즘, 기능 또는 유용성을 제한하지 않고, 본 기술의 건식 공정은 당업계에 공지된 것 중에서 습식 현상 공정에 비해 다양한 이점을 제공할 수 있다. 예를 들어, 본원에 기술된 건식 기상 증착 기술은 스핀 코팅 기술을 사용하여 도포될 수 있는 것보다 더 얇고 결함이 없는 필름을 증착하는 데 사용될 수 있으며, 여기서 증착된 필름의 정확한 두께는 간단히 증착 단계 또는 순서의 길이를 증가 또는 감소함으로써 조절 및 제어될 수 있다. 따라서 건식 공정은 더 많은 조정 가능성을 제공하고 더 많은 임계 치수(CD) 제어 및 찌꺼기 제거를 제공할 수 있다. 건식 현상은 성능을 향상(예: 습식 현상에서 표면 장력으로 인한 라인 붕괴 방지) 및/또는 처리량을 향상(예: 습식 현상 트랙 방지)할 수 있다. 다른 이점으로는 유기 용제 현상액의 사용 제거, 접착 문제에 대한 민감성 감소, 습식 레지스트 제제를 도포 및 제거할 필요 없음(예: 스커밍 및 패턴 왜곡 방지), 라인 가장자리 거칠기 개선, 장치 토포그래피에 직접 패터닝, 하드마스크 화학을 특정 기판 및 반도체 장치 설계에 맞게 조정하는 능력 부여 및 다른 용해도 기반 제한을 방지하는 기능을 포함할 수 있다. 추가 세부 사항, 재료, 공정, 단계 및 장치가 본원에 기술되어 있다.
도펀트 전구체
도펀트 전구체는 고 패터닝 방사선 흡수 및/또는 고 SEY를 갖는 원소를 갖는 임의의 것 (예를 들어, 본원에서 기술된 임의의 것)일 수 있다. 이러한 도펀트 전구체는 유기금속 전구체로 증착 전, 후, 또는 동안 사용될 수 있다. 한 구체예에서, 도펀트 전구체는 가스로서 Xe를 포함한다. 또다른 구체예에서, 도펀트 전구체는 화학식 (II)을 갖는 구조를 포함한다:
XaZb (II),
여기서:
각각의 X는, 독립적으로, 고 SEY을 특징으로 하는 원소;
각각의 Z는, 독립적으로, H, 할로, 임의로 치환된 알킬, 임의로 치환된 아릴, 임의로 치환된 아미노, 임의로 치환된 비스(트리알킬실릴)아미노, 임의로 치환된 트리알킬실릴, 임의로 치환된 알콕시, 또는 리간드;
a ≥ 1 (예를 들어, a는 1, 2, 또는 3); 및
b ≥ 2 (예를 들어, b는 2, 3, 4, 5, 6, 7, 또는 8).
Xe 및 고 SEY 원소를 포함하는 도펀트는 함께 또는 순차적으로 사용될 수 있다. 예를 들어, Xe (예를 들어, Xe 가스)를 포함하는 제1 도펀트 전구체는 고 SEY 원소 (예를 들어, Be, B, Mg, Al, Sc, 또는 이의 조합)를 포함하는 제2 도펀트 전구체와 함께 사용될 수 있다.
또다른 구체예에서, 도펀트 전구체는 화학식 (IIa)을 갖는 구조를 포함한다:
XZb (IIa),
여기서:
X는 고 SEY을 특징으로 하는 원소이고;
각각의 Z는, 독립적으로, H, 할로, 임의로 치환된 알킬, 임의로 치환된 아릴, 임의로 치환된 아미노, 임의로 치환된 비스(트리알킬실릴)아미노, 임의로 치환된 트리알킬실릴, 임의로 치환된 알콕시, 또는 리간드;
a ≥ 1 (예를 들어, a는 1, 2, 또는 3); 및
b ≥ 2 (예를 들어, b는 2, 3, 4, 5, 6, 7, 또는 8).
일부 구체예에서, X는 고 EUV SEY (예를 들어, 약 0.2 내지 0.45) 및/또는 1x102 cm2/mol 이상 EUV 흡수 단면(예를 들어, 약 102-107 cm2/mol, 102-104 cm2/mol, 또는 102-106 cm2/mol)을 특징으로 하는 원소이다. 특정 구체예에서, X는 Be, B, Mg, Al, Sc, 또는 이의 조합이다. 일부 구체예에서, Z는 화학식 (I), (Ia), (III), (IV), (V), (VI), (VII), 또는 (VIII)에 대해 본원에 기술된 임의의 리간드 (예를 들어, R 또는 L로서)이다.
Z의 비제한적 예시는 H, 할로, 임의로 치환된 알킬, 임의로 치환된 아릴, 임의로 치환된 아미노, 임의로 치환된 비스(트리알킬실릴)아미노, 임의로 치환된 트리알킬실릴, 또는 임의로 치환된 알콕시 (예를 들어, -OR1, 여기서 R1는 알킬일 수 있다)를 포함한다. 일부 구체예에서, 임의로 치환된 아미노는 -NR1R2이고, 여기서 각각의 R1 및 R2는, 독립적으로, H 또는 알킬; 또는 여기서 R1 및 R2는 각각이 부착된 질소 원자와 함께 결합하여 본원에 정의된 바와 같은 헤테로사이클릴 기를 형성한다. 다른 구체예에서, 임의로 치환된 비스(트리알킬실릴)아미노는 -N(SiR1R2R3)2이고, 여기서 각각의 R1, R2, 및 R3는, 독립적으로, 알킬이다. 또다른 구체예에서, 임의로 치환된 트리알킬실릴은 -SiR1R2R3이고, 여기서 각각의 R1, R2, 및 R3는, 독립적으로, 알킬이다.
일부 구체예에서, 각각의 Z 또는 적어도 하나 Z는 산소 원자를 포함할 수 있다. 특정 구체예에서, 하나 이상의 Z는 임의로 치환된 알콕시 (예를 들어, 화학식 (II) 또는 (IIa) 내)일 수 있다. 비제한적 Z 치환체는, 예를 들어, 메톡시, 에톡시, 이소프로폭시 (i-PrO), t-부톡시 (t-BuO), 1-메톡시-2-메틸-2-프로파놀레이토 (mmp), 2,2,6,6-테트라메틸-3,5-헵탄디오네이토 (THD), 아세틸아세토네이트 (acac), 및 -O-C(Za)-CZa-C(Za)-O- (β-디케토네이트를 포함하고, 여기서 각각의 Za는, 독립적으로, H, 알킬, 알케닐, 알키닐, 아릴, 페닐, 또는 할로 일 수 있다).
일부 구체예에서, 각각의 Z 또는 적어도 하나 Z는 질소 원자를 포함할 수 있다. 특정 구체예에서, 하나 이상의 Z는 임의로 치환된 아미노 (예를 들어, 화학식 (II) 또는 (IIa) 내)일 수 있다. 비제한적 Z 치환체는, 예를 들어, 디알킬아미노; 디메틸아미노; 디에틸아미노; 3-(디메틸아미노)프로필 (dmp); N,N′-디-sec-부틸아세트아미디네이토 [(iPrN)2CMe]를 포함하는 -NR1R2-C(Za)2-C(Za)2-C(Za)2- (디알킬아미노프로필), 여기서 각각의 Za, R1, 및 R2는, 독립적으로, H, 알킬, 알케닐, 알키닐, 아릴, 페닐, 또는 할로일 수 있음; -N(Za)-C(Za)-N(Za)- (아미디네이토), 여기서 각각의 Za는, 독립적으로, H, 알킬, 알케닐, 알키닐, 아릴, 페닐, 또는 할로일 수 있음; -N(Za)-C(NR1R2)-N(Za)- (구아니디네이토), 여기서 각각의 Za, R1, 및 R2는, 독립적으로, H, 알킬, 알케닐, 알키닐, 아릴, 페닐, 또는 할로일 수 있음; 또는 -N(Za)-C(Za)- C(Za)-C(Za)-N(Za)- (β-디케티미네이토), 여기서 각각의 Za는, 독립적으로, H, 알킬, 알케닐, 알키닐, 아릴, 페닐, 또는 할로일 수 있음,를 포함한다.
일부 구체예에서, 각각의 Z 또는 적어도 하나 Z는 임의로 치환된 사이클로펜타디에닐 (Cp) 또는 임의로 치환된 아릴를 포함할 수 있다. Cp 및 아릴 에 대한 비제한적 치환는 알킬, 알케닐, 알키닐, 아릴, 페닐, 또는 할로, 가령 알킬화 유도체 (예를 들어, η5-C5H4Et)를 포함할 수 있다.
도펀트 전구체의 또다른 다른 예시는 베릴륨 할라이드, 가령 베릴륨 클로라이드 [BeCl2] 및 베릴륨 브로마이드 [BeBr2]; 유기베릴륨,를 포함하는 디알킬 베릴륨, 가령 디메틸 베릴륨 [Be(Me)2]; 비스(디알킬)아미노 베릴륨, 가령 비스(디메틸아미노) 베릴륨 [Be(NMe2)2]; 및 베릴륨 β-케토네이트, 가령 베릴륨 아세틸아세토네이트 [Be(acac)2]를 포함한다.
다른 도펀트 전구체는 보란 및 이의 착물, 가령 트리하이드리도붕소 [BH3], 디보란 [B2H6], 및 아민 또는 유기아민, 가령 디알킬아민 (예를 들어, NHRN1RN2, 여기서 각각의 RN1 및 RN2는 일 수 있다 임의로 치환된 알킬), 트리알킬아민 (예를 들어, NRN1RN2RN3, 여기서 각각의 RN1, RN2, 및 RN3는 임의로 치환된 알킬일 수 있다), 또는 다른 아민 (예를 들어, NRN1RN2RN3, 여기서 각각의 RN1, RN2, 및 RN3는 H, 임의로 치환된 알킬, 임의로 치환된 아릴일 수 있다, 또는 여기서 두 개 이상의 RN1, RN2, 및 RN3는 각각이 부착된 질소 원자와 함께 결합하여 본원에 정의된 바와 같은 헤테로사이클릴 기를 형성함)를 포함하는 착물을 포함할 수 있다, 여기서 착물는 보란 디메틸아민 착물 [NMe2H · BH3], 보란-암모니아 착물 [NH3 · BH3], 또는 보란 트리메틸아민 착물 [NMe3 · BH3]; 붕소 할라이드, 가령 붕소 클로라이드 [BCl3], 붕소 브로마이드 [BBr3], 및 이붕소 테트라플루오라이드 [B2F4]; 유기보란, 가령, 트리메틸보란 [BMe3], 트리메틸보란 [BEt3], 및 트리페닐보란 [BPh3]를 포함하는 트리알킬보란 및 트리아릴보란; 유기보레이트, 가령 트리메틸보레이트 [B(OMe)3], 트리에틸보레이트 [B(OEt)3], 및 트리이소프로필보레이트 [B(O-iPr)3]를 포함하는 트리알킬보레이트; 및 트리스(디알킬아미노)보란, 가령 트리스(디메틸아미노)보란 [B(NMe2)3]를 포함할 수 있다.
또다른 다른 도펀트 전구체는, 비스알킬마그네슘 비스아릴마그네슘, 비스(사이클로펜타디에닐)마그네슘 [Mg(Cp)2] 및 이의 치환된 형태, 가령 비스(에틸사이클로펜타디에닐)마그네슘 [Mg(η5-C5H4Et)2], 비스(n-프로필사이클로펜타디에닐)마그네슘 [Mg(η5-C5H4nPr)2], 및 비스(펜타메틸사이클로펜타디에닐)마그네슘 [Mg(η5-C5Me5)2]; 마그네슘 β-케토네이트, 가령 비스(2,2,6,6-테트라메틸-3,5-헵탄디오네이토)마그네슘 [Mg(THD)2], 마그네슘 아세틸아세토네이트 [Mg(acac)2], 및 마그네슘 헥사플루오로아세틸아세토네이트 [Mg(hfa)2]; 및 마그네슘 β-아미디네이트, 가령 비스(N,N′-디-sec-부틸아세트아미디네이토)마그네슘 [Mg[(iPrN)2CMe]2] 및 비스(N-t-부틸-N''-에틸프로판이미다미데이토)마그네슘 [Mg[(tBuN)CEt(NEt)]2]를 포함하는 유기마그네슘을 포함할 수 있다.
다른 도펀트 전구체는 알루미늄 할라이드, 가령 알루미늄 브로마이드 [AlBr3] 및 알루미늄 클로라이드 [AlCl3]; 트리알킬알루미늄 및 트리아릴알루미늄을 포함하는 유기알루미늄, 가령 트리메틸알루미늄 [AlMe3] 및 트리에틸알루미늄 [AlEt3]; 알루미늄 알콕사이드, 가령 알루미늄 메톡사이드 [Al(OMe)3] 및 알루미늄 i-프로폭사이드 [Al(OiPr)3]; 알루미늄 β-케토네이트, 가령 알루미늄 아세틸아세토네이트 [Al(acac)3], 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이토)알루미늄 [Al(THD)3], 및 알루미늄 헥사플루오로아세틸아세토네이트 [Al(hfa)3]; 트리스(디알킬아미도)알루미늄, 가령 트리스(디메틸아미도)알루미늄 [Al(NMe2)3 또는 Al2(NMe2)6]; 알콕시-함유 알루미늄 전구체, 가령 트리스(1-메톡시-2-메틸-2-프로폭시)알루미늄 [Al(mmp)3]; 및 아미노-함유 알루미늄 전구체, 가령 [3-(디메틸아미노)프로필] 디메틸알루미늄 [AlMe2(dmp)], [3-(디메틸아미노)프로필]비스(디메틸아미도)알루미늄 [Al(NMe2)2(dmp)], 및 [3-(디메틸아미노)프로필]비스(디이소프로필아미도)알루미늄 [Al(iPrN)2(dmp)]를 포함할 수 있다.
또다른 다른 도펀트 전구체는 유기스칸듐, 가령 비스(사이클로펜타디에닐)알릴스칸듐 [ScCp23-C3H5)] 또는 트리스(사이클로펜타디에닐)스칸듐 [ScCp3]; 스칸듐 β-케토네이트, 가령 스칸듐 아세틸아세토네이트 [Sc(acac)2] 및 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이토)스칸듐 [Sc(TMHD)3]; 스칸듐 β-아미디네이트, 가령 트리스(N,N′-디-sec-부틸아세트아미디네이토)스칸듐 [Sc[(iPrN)2CMe]3], 트리스(N-t-부틸-N''-에틸프로판이미다미데이토)스칸듐 [Sc[(tBuN)CEt(NEt)]3], 및 트리스(N,N'-디이소프로필아세트아미디네이토)스칸듐 [Sc[(iPrN)2CH)]3]; 및 트리알킬실릴아미드-함유 스칸듐 화합물, 가령 트리스[N,N-비스(트리메틸실릴)아미드]스칸듐 [Sc[(N(SiMe3)2]3]를 포함할 수 있다.
유기금속 전구체
유기금속 전구체는 임의의 유용한 수 및 유형의 리간드(들)를 가질 수 있다. 리간드는 반대 반응물의 존재 또는 패터닝 방사선의 존재 하에 반응하는 능력을 특징으로 할 수 있다. 예를 들어, 유기금속 전구체는 반대 반응물과 반응하는 리간드(예를 들어, 디알킬아미노 그룹 또는 알콕시 기)를 포함할 수 있으며, 이는 금속 중심 사이에 연결(예: -O- 연결)을 도입할 수 있다. 다른 예에서, 유기금속 전구체는 패터닝 방사선의 존재 하에 제거하는 리간드를 포함할 수 있다. 이러한 리간드는 베타-수소를 갖는 분지형 또는 선형 알킬기를 포함할 수 있다.
유기금속 전구체는 유기금속제, 금속 할라이드 또는 캡핑제(예를 들어, 본원에 기재된 바와 같음)와 같은 임의의 유용한 금속 함유 전구체일 수 있다. 비제한적 예에서, 유기금속 전구체는 화학식 (I)을 갖는 구조를 포함한다:
MaRbLc (I),
여기서:
M은 금속이고;
각각의 R는, 독립적으로, 할로, 임의로 치환된 알킬, 임의로 치환된 아릴, 임의로 치환된 아미노, 임의로 치환된 알콕시, 또는 L;
각각의 L는, 독립적으로, 리간드, 이온, 또는 반대-반응물과 반응성인 다른 모이어티이고, 여기서 R 및 L은 M과 함께 결합하여, 헤테로사이클릴 기를 임의로 형성할 수 있고 또는 여기서 R 및 L은 함께 결합하여, 헤테로사이클릴 기를 임의로 형성할 수 있고;
a ≥ 1; b ≥ 1; 및 c ≥ 1이다.
일부 구체예에서, 각각의 리간드 내 유기금속 전구체는 반대-반응물과 반응성인 것일 수 있다. 한 예에서, 유기금속 전구체는 화학식 (I)을 갖는 구조를 포함하고, 여기서 각각의 R는, 독립적으로, L이다. 또다른 예에서, 유기금속 전구체는 화학식 (Ia)을 갖는 구조를 포함한다:
MaLc (Ia),
여기서:
M은 금속이고;
각각의 L는, 독립적으로, 리간드, 이온, 또는 반대-반응물과 반응성인 다른 모이어티이고, 여기서 두 개 L은 함께 결합하여, 헤테로사이클릴 기를 임의로 형성할 수 있고;
a ≥ 1; 및 c ≥ 1이다.
화학식 (Ia)의 특정 구체예에서, a는 1이다. 추가 구체예에서, c는 2, 3, 또는 4이다.
본원의 임의의 화학식에 대해, M은 높은 패터닝 방사선 흡수 단면적(예를 들어, 1×107 cm2/mol 이상인 EUV 흡수 단면적)을 갖는 금속일 수 있다. 일부 구체예에서, M은 주석 (Sn), 텔루륨 (Te), 비스무트 (Bi), 안티몬 (Sb), 하프늄 (Hf), 또는 지르코늄 (Zr)이다. 추가 구체예에서, M은 Sn, a는 1이고, c는 화학식 (I) 또는 (Ia) 내 4이다. 다른 구체예에서, M은 Sn, a는 1이고, 및 c는 화학식 (I) 또는 (Ia) 내 2이다. 특정 구체예에서, M은 Sn(II)이고 (예를 들어, 화학식 (I) 또는 (Ia) 내), 이로써 Sn(II)계 화합물인 유기금속 전구체를 제공한다. 다른 구체예에서, M은 Sn(IV) (예를 들어, 화학식 (I) 또는 (Ia) 내)이고, 이로써 Sn(IV)계 화합물인 유기금속 전구체를 제공한다.
본원의 임의의 화학식에 대해, 각각의 L는, 독립적으로, H, 할로, 임의로 치환된 알킬, 임의로 치환된 아릴, 임의로 치환된 아미노, 임의로 치환된 비스(트리알킬실릴)아미노, 임의로 치환된 트리알킬실릴, 또는 임의로 치환된 알콕시 (예를 들어, -OR1, 여기서 R1는 일 수 있다 알킬)이다. 일부 구체예에서, 임의로 치환된 아미노는 -NR1R2이고, 여기서 각각의 R1 및 R2는, 독립적으로, H 또는 알킬; 또는 여기서 R1 및 R2는 각각이 부착된 질소 원자와 함께 결합하여 본원에 정의된 바와 같은 헤테로사이클릴 기를 형성한다. 다른 구체예에서, 임의로 치환된 비스(트리알킬실릴)아미노는 -N(SiR1R2R3)2이고, 여기서 각각의 R1, R2, 및 R3는, 독립적으로, 알킬이다. 또다른 구체예에서, 임의로 치환된 트리알킬실릴은 -SiR1R2R3이고, 여기서 각각의 R1, R2, 및 R3는, 독립적으로, 알킬이다.
다른 구체예에서, 화학식은 -NR1R2인 제1 L 및 -NR1R2인 제2 L를 포함하고, 여기서 각각의 R1 및 R2는, 독립적으로, H 또는 알킬이고; 또는 여기서 제1 L의 R1 및 제2 L의 R1은 질소 원자 및 각각이 부착된 금속 원자와 함께 결합하여 본원에 정의된 바와 같은 헤테로사이클릴 기를 형성한다. 또다른 구체예에서, 화학식은 -OR1인 제1 L 및 -OR1인 제2 L를 포함하고, 여기서 각각의 R1는, 독립적으로, H 또는 알킬; 또는 여기서 제1 L의 R1 및 제2 L의 R1은 산소 원자 및 각각이 부착된 금속 원자와 함께 결합하여 본원에 정의된 바와 같은 헤테로사이클릴 기를 형성한다.
일부 구체예에서, L 또는 R 중 적어도 하나는 임의로 치환된 알킬 (예를 들어, 화학식 (I) 또는 (Ia) 내)이다. 비제한적 알킬 기는, 예를 들어, CnH2n+1를 포함하고, 여기서 n는 1, 2, 3, 또는 그 이상, 가령 메틸, 에틸, n-프로필, 이소프로필, n-부틸, 이소부틸, s-부틸, 또는 t-부틸이다. 다양한 구체예에서, L 또는 R은 적어도 하나 베타-수소 또는 베타-불소를 가진다. 특히, 유기금속 전구체는 테트라메틸 주석 (SnMe4), 테트라에틸 주석 (SnEt4), t-부틸 텔루륨 하이드라이드 (Te(t-Bu)(H)), 디메틸 텔루륨 (TeMe2), 디(t-부틸) 텔루륨 (Te(t-Bu)2), 또는 디(이소프로필)텔루륨 (Te(i-Pr)2)일 수 있다.
일부 구체예에서, 각각의 L 또는 적어도 하나의 L는 할로 (예를 들어, 화학식 (I) 또는 (Ia) 내)이다. 특히, 유기금속 전구체는 금속 할라이드일 수 있다. 비제한적 금속 할라이드는 SnBr4, SnCl4, SnI4, 및 SbCl3를 포함한다.
일부 구체예에서, 각각의 L 또는 적어도 하나의 L는 질소 원자를 포함할 수 있다. 특정 구체예에서, 하나 이상의 L는 임의로 치환된 아미노 또는 임의로 치환된 비스(트리알킬실릴)아미노 (예를 들어, 화학식 (I) 또는 (Ia) 내)일 수 있다. 비제한적 L 치환체는, 예를 들어, -NMe2, -NEt2, -NMeEt, -N(t-Bu)-[CHCH3]2-N(t-Bu)- (tbba), -N(SiMe3)2, 및 -N(SiEt3)2를 포함할 수 있다. 비제한적 유기금속 전구체는, 예를 들어, Sn(NMe2)4, Sn(NEt2)4, Sn(i-Pr)(NMe2)3, Sn(n-Bu)(NMe2)3, Sn(s-Bu)(NMe2)3, Sn(i-Bu)(NMe2)3, Sn(t-Bu)(NMe2)3, Sn(t-Bu)2(NMe2)2, Sn(t-Bu)(NEt2)3, Sb(NMe2)3, Sn(tbba), Sn[N(SiMe3)2]2, 또는 Bi[N(SiMe3)2]3를 포함할 수 있다.
일부 구체예에서, 각각의 L 또는 적어도 하나의 L는 규소 원자를 포함할 수 있다. 특정 구체예에서, 하나 이상의 L는 일 수 있다 임의로 치환된 트리알킬실릴 또는 임의로 치환된 비스(트리알킬실릴)아미노 (예를 들어, 화학식 (I) 또는 (Ia) 내)이다. 비제한적 L 치환체는, 예를 들어, -SiMe3, -SiEt3, -N(SiMe3)2, 및 -N(SiEt3)2를 포함할 수 있다. 비제한적 유기금속 전구체는, 예를 들어, Sn[N(SiMe3)2]2, 비스(트리메틸실릴)텔루륨 (Te(SiMe3)2), 비스(트리에틸실릴) 텔루륨 (Te(SiEt3)2), 또는 Bi[N(SiMe3)2]3를 포함할 수 있다.
일부 구체예에서, 각각의 L 또는 적어도 하나의 L는 산소 원자를 포함할 수 있다. 특정 구체예에서, 하나 이상의 L는 임의로 치환된 알콕시 (예를 들어, 화학식 (I) 또는 (Ia) 내)일 수 있다. 비제한적 L 치환체는, 예를 들어, 메톡시, 에톡시, 이소프로폭시 (i-PrO), t-부톡시 (t-BuO), 및 -O=C(CH3)-CH=C(CH3)-O- (acac)를 포함한다. 비제한적 유기금속 전구체는, 예를 들어, Sn(t-BuO)4, Sn(n-Bu)(t-BuO)3, 또는 Sn(acac)2를 포함한다.
또다른 다른 유기금속 전구체 및 비제한적 치환체가 본원에 기술되어 있다. 예를 들어, 유기금속 전구체는 상기한 바와 같은 화학식 (I) 및 (Ia); 또는 하기한 바와 같은 화학식 (III), (IV), (V), (VI), (VII), 또는 (VIII) 구조를 갖는 임의의 것일 수 있다. 본원에 기술된 바와 같은 치환체 M, R, X, 또는 L 중 임의의 것은 화학식 (I), (Ia), (III), (IV), (V), (VI), (VII), 또는 (VIII) 중 임의의 것에서 사용될 수 있다.
하나의 비제한적인 예에서 도핑된 필름을 제공하기 위해, 유기금속 전구체의 리간드와 반응하거나 대체하기 위해 도펀트 전구체가 사용될 수 있다. 비제한적 도펀트 전구체가 본원에 기술되어 있다.
이러한 전구체(들)는 하나 이상의 반대-반응물과 조합하여 추가 사용될 수 있다. 반대 반응물은 바람직하게는 화학 결합을 통해 적어도 2개의 금속 원자를 연결하기 위해 반응성 모이어티, 리간드 또는 이온(예를 들어, 본원의 화학식에서 L)을 대체하는 능력을 갖는다. 예시적인 반대 반응물은 O2, O3, 물, 과산화물(예를 들어, 과산화수소), 산소 플라즈마, 물 플라즈마, 알코올, 디- 또는 폴리히드록시 알코올, 플루오르화 디- 또는 폴리히드록시 알코올, 플루오르화 글리콜, 포름산, 및 하이드록실 모이어티의 다른 공급원 뿐만 아니라 이들의 조합을 포함한다. 다양한 구체예에서, 반대 반응물은 인접한 금속 원자 사이에 산소 가교를 형성함으로써 유기금속 전구체와 반응한다. 다른 잠재적 반대-반응물은 황 가교를 통해 금속 원자를 가교시킬 수 있는 황화수소 및 이황화수소와 텔루륨 가교를 통해 금속 원자를 가교시킬 수 있는 비스(트리메틸실릴)텔루륨을 포함한다. 또한, 요오드화수소를 사용하여 요오드를 필름에 통합할 수 있다.
특정 구체예에서, 반대-반응물은, 예를 들어, 다음 화학식을 갖는 구조를 포함하는 칼코게나이드 전구체이고:
X3-Z-X4,
여기서:
Z는 황, 셀레늄, 또는 텔루륨이고; 및
각각의 X3 및 X4는, 독립적으로, H, 임의로 치환된 알킬 (예를 들어, 메틸, 에틸, n-프로필, 이소프로필, n-부틸, t-부틸, 등), 임의로 치환된 알케닐, 임의로 치환된 아릴, 임의로 치환된 아미노, 임의로 치환된 알콕시, 또는 임의로 치환된 트리알킬실릴이다.
본원에서 유기금속 전구체를 사용하여 구배 필름을 형성할 수 있다. 예를 들어, 도펀트 전구체 및/또는 반대 반응물에 존재하는 다양한 원자가 구배 필름 내에 제공될 수 있다. 본원에서 논의된 기술의 일부 구체예에서, 포토레지스트(PR) 필름에서 EUV 감도를 추가로 개선할 수 있는 비제한적 전략은 필름 조성이 수직 등급화되어 깊이-의존 EUV 감도를 초래하는 필름을 생성하는 것이다. 흡수 계수가 높은 균질한 PR에서 필름 깊이 전체에 걸쳐 감소하는 광 강도는 바닥이 충분히 노출되도록 더 높은 EUV 선량을 필요로 한다. 필름 상단에 비해 필름 하단에서 EUV 흡수율이 높은 원자의 밀도를 높이면(즉, EUV 흡수가 증가하는 기울기를 생성하여) 사용 가능한 EUV 광자를 보다 효율적으로 사용하는 동시에 더 많이 흡수하는 필름의 바닥을 향해 흡수(및 2차 전자의 효과)를 더 균일하게 분배하는 것이 가능해진다. 하나의 비제한적인 예에서, 구배 필름은 필름의 바닥을 향하여(예를 들어, 기판에 더 가깝게) Te, I, 또는 다른 원자를 포함한다.
PR 필름에서 수직 조성 구배를 엔지니어링하는 전략은 특히 CVD 및 ALD와 같은 건식 증착 방법에 적용가능하며 증착 동안 상이한 반응물 사이의 흐름 비율을 조정함으로써 실현될 수 있다. 엔지니어링될 수 있는 조성 구배의 유형은 다음을 포함한다: 서로 다른 고흡수 금속 사이의 비율, EUV 절단 가능한 유기 기를 갖는 금속 원자의 백분율, 고흡수 원소를 포함하는 도펀트 및/또는 반대 반응물의 백분율, 그리고 위의 조합.
EUV PR 필름의 조성 구배는 또한 추가적인 이점을 가져올 수 있다. 예를 들어, 필름의 바닥 부분에 있는 고밀도 EUV 흡수 원소는 필름의 윗부분을 더 잘 노출시킬 수 있는 더 많은 2차 전자를 효과적으로 생성할 수 있다. 또한, 이러한 조성 구배는 부피가 큰 말단 치환기에 결합되지 않은 EUV 흡수 종의 더 높은 분율과 직접적으로 관련될 수 있다. 예를 들어, Sn계 레지스트의 경우, 4개의 이탈기를 갖는 주석 전구체의 함입이 가능하여 계면에서 Sn-O-기판 결합 형성을 촉진하여 접착력을 향상시킨다.
이러한 구배 필름은 본원에 기재된 임의의 유기금속 전구체(예를 들어, 주석 또는 비주석 전구체), 도펀트 전구체 및/또는 반대 반응물을 사용하여 형성될 수 있다. 또 다른 필름, 방법, 전구체 및 기타 화합물은 2019년 10월 2일에 출원되고 제목이 SUBSTRATE SURFACE MODIFICATION WITH HIGH EUV ABSORBERS FOR HIGH PERFORMANCE EUV PHOTORESISTS인 미국 가출원 번호 62/909,430, 및 International Appl. PCT/US20/70172, 2020년 6월 24일에 출원되고 제목이 PHOTORESIST WITH MULTIPLE PATTERNING RADIATION-ABSORBING ELEMENTS AND/OR VERTICAL COM위치 GRADIENT인 국제출원 번호 PCT/US20/70172에 기술되어 있고, EUV 레지스트 마스크를 형성하기 위한 직접 광패턴화가능한 금속 산화물 필름의 조성, 증착 및 패턴화와 적어도 관련된 그 개시 내용은 본원에 참고로 포함된다.
또한, 2개 이상의 상이한 전구체가 각각의 층(예를 들어, 필름 또는 캡핑 층) 내에 사용될 수 있다. 예를 들어, 본원의 금속 함유 전구체 중 2개 이상이 합금을 형성하기 위해 사용될 수 있다. 하나의 비제한적 예에서, 주석 텔루라이드는 R이 알킬, 특히 t-부틸 또는 i-프로필인 RTeH, RTeD 또는 TeR2 전구체와 함께 -NR2 리간드를 포함하는 주석 전구체를 사용함으로써 형성될 수 있다. 또다른 예에서, 금속 텔루라이드는 트리알킬실릴 리간드(예를 들어, 비스(트리메틸실릴)텔루륨)를 포함하는 텔루륨 함유 전구체와 함께 알콕시 또는 할로 리간드(예를 들어, SbCl3)를 포함하는 제1 금속 전구체를 사용함으로써 형성될 수 있다.
또 다른 예시적인 EUV-민감성 재료뿐만 아니라 가공 방법 및 장치는 미국특허 No. 9,996,004 및 국제특허공개번호 WO 2019/217749에 기술되어 있고, 이들 각각은 그 전문이 본원에 참조로 포함된다.
추가 전구체
본원에 기재된 바와 같이, 본원의 필름, 층 및 방법은 임의의 유용한 전구체와 함께 사용될 수 있다. 일부 경우에, 유기금속 전구체는 하기 화학식 (III)을 갖는 금속 할라이드를 포함한다:
MXn (III),
여기서 M은 금속이고, X는 할로이며, n은 M의 선택에 따라 2 내지 4이다. M에 대한 예시적인 금속은 Sn, Te, Bi 또는 Sb를 포함한다. 예시적 금속 할라이드는 SnBr4, SnCl4, SnI4, 및 SbCl3를 포함한다.
또다른 비제한적 금속-함유 전구체는 화학식 (IV)을 갖는 구조를 포함하고:
MRn (IV),
여기서 M은 금속이고; 각각의 R은 독립적으로 H, 임의로 치환된 알킬, 아미노 (예를 들어, -NR2, 여기서 각각의 R은 독립적으로 알킬), 임의로 치환된 비스(트리알킬실릴)아미노 (예를 들어, -N(SiR3)2, 여기서 각각의 R은 독립적으로 알킬), 또는 임의로 치환된 트리알킬실릴 (예를 들어, -SiR3, 여기서 각각의 R은 독립적으로 알킬); 및 n은 M의 선택에 따라 2 내지 4이다. M에 대한 예시적인 금속은 Sn, Te, Bi 또는 Sb를 포함한다. 알킬 기는 CnH2n+1일 수 있고, 여기서 n는 1, 2, 3, 또는 그 이상이다. 예시적 유기금속제는 SnMe4, SnEt4, TeRn, RTeR, t-부틸 텔루륨 하이드라이드 (Te(t-Bu)(H)), 디메틸 텔루륨 (TeMe2), 디(t-부틸) 텔루륨 (Te(t-Bu)2), 디(이소프로필)텔루륨 (Te(i-Pr)2), 비스(트리메틸실릴)텔루륨 (Te(SiMe3)2), 비스(트리에틸실릴) 텔루륨 (Te(SiEt3)2), 트리스(비스(트리메틸실릴)아미도) 비스무트 (Bi[N(SiMe3)2]3), Sb(NMe2)3, 등을 포함한다.
또다른 비제한적 금속-함유 전구체는 다음 화학식 (V)를 갖는 캡핑제를 포함할 수 있다:
MLn (V),
여기서 M은 금속이고; 각각의 L은 독립적으로 임의로 치환된 알킬, 아미노 (예를 들어, -NR1R2, 여기서 각각의 R1 및 R2는 H 또는 알킬, 가령 본원에 기술된 임의의 것일 수 있다), 알콕시 (예를 들어, -OR, 여기서 R은 알킬, 가령 본원에 기술된 임의의 것), 할로, 또는 다른 유기 치환체; 및 n은 M의 선택에 따라 2 내지 4이다. M에 대한 예시적인 금속은 Sn, Te, Bi 또는 Sb를 포함한다. 예시적 리간드는 디알킬아미노 (예를 들어, 디메틸아미노, 메틸에틸아미노, 및 디에틸아미노), 알콕시 (예를 들어, t-부톡시, 및 이소프로폭시), 할로 (예를 들어, F, Cl, Br, 및 I), 또는 다른 유기 치환체 (예를 들어, 아세틸아세톤 또는 N 2 ,N 3 -디-tert부틸-부탄-2,3-디아미노)를 포함한다. 비제한적 캡핑제는 SnCl4; SnI4; Sn(NR2)4를 포함하고, 여기서 각각의 R은 독립적으로 메틸 또는 에틸; 또는 Sn(t-BuO)4이다. 일부 구체예에서, 다수 유형의 리간드가 존재한다.
금속-함유 전구체는 하기 화학식 (VI)을 갖는 히드로카르빌-치환 캡핑제를 포함할 수 있다:
RnMXm (VI),
여기서 M은 금속이고, R은 베타-수소를 갖는 C2-10 알킬 또는 치환된 알킬이고, X는 노출된 하이드록실 기의 하이드록실 기와 반응시 적합한 이탈 기이다. 다양한 구체예에서, n = 1 내지 3, 및 m = 4 - n, 3 - n, 또는 2 - n, 단 m > 0 (또는 m ≥ 1). 예를 들어, R는 t-부틸, t-펜틸, t-헥실, 사이클로헥실, 이소프로필, 이소부틸, sec-부틸, n-부틸, n-펜틸, n-헥실, 또는 베타에 헤테로원자 치환기를 갖는 이들의 유도체일 수 있다. 적합한 헤테로원자는 할로겐 (F, Cl, Br, 또는 I), 또는 산소 (-OH 또는 -OR)를 포함한다. X는 디알킬아미노 (예를 들어, 디메틸아미노, 메틸에틸아미노, 또는 디에틸아미노), 알콕시 (예를 들어, t-부톡시, 이소프로폭시), 할로 (예를 들어, F, Cl, Br, 또는 I), 또는 또다른 유기 리간드일 수 있다. 의 예 히드로카르빌-치환된 캡핑제는 t-부틸트리스(디메틸아미노)주석 (Sn(t-Bu)(NMe2)3), n-부틸트리스(디메틸아미노)주석 (Sn(n-Bu)(NMe2)3), t-부틸트리스(디에틸아미노)주석 (Sn(t-Bu)(NEt2)3), 디(t-부틸)디(디메틸아미노)주석 (Sn(t-Bu)2(NMe2)2), sec-부틸트리스(디메틸아미노)주석 (Sn(s-Bu)(NMe2)3), n-펜틸트리스(디메틸아미노)주석 (Sn(n-펜틸)(NMe2)3), i-부틸트리스(디메틸아미노) 주석 (Sn(i-Bu)(NMe2)3), i-프로필트리스(디메틸아미노)주석 (Sn(i-Pr)(NMe2)3), t-부틸트리스(t-부톡시)주석 (Sn(t-Bu)(t-BuO)3), n-부틸(트리스(t-부톡시)주석 (Sn(n-Bu)(t-BuO)3), 또는 이소프로필트리스(t-부톡시)주석 (Sn(i-Pr)(t-BuO)3)를 포함한다.
다양한 구체예에서, 금속 함유 전구체는 증기상 반응에서 살아남을 수 있는 각각의 금속 원자 상에 적어도 하나의 알킬기를 포함하는 반면, 금속 원자에 배위된 다른 리간드 또는 이온은 반대-반응물에 의해 대체될 수 있다. 따라서, 또다른 비제한적 금속-함유 전구체는 화학식 (VII)를 갖는 유기금속제를 포함한다:
MaRbLc (VII),
여기서 M은 금속; R은 임의로 치환된 알킬; L은 리간드, 이온, 또는 반대-반응물과 반응성인 다른 모이어티;이고 a ≥ 1; b ≥ 1; 및 c ≥ 1이다. 특정 구체예에서, = 1, 및 b + c = 4이다. 일부 구체예에서, M은 Sn, Te, Bi, 또는 Sb이다. 특정 구체예에서, 각각의 L은 독립적으로 아미노 (예를 들어, -NR1R2, 여기서 각각의 R1 및 R2는 H 또는 알킬, 가령 본원에 기술된 임의의 것일 수 있다), 알콕시 (예를 들어, -OR, 여기서 R은 알킬, 가령 본원에 기술된 임의의 것), 또는 할로 (예를 들어, F, Cl, Br, 또는 I)이다. 예시적 물질은 SnMe3Cl, SnMe2Cl2, SnMeCl3, SnMe(NMe2)3, SnMe3(NMe2), 등을 포함한다.
다른 구체예에서, 비제한적 금속-함유 전구체는 화학식 (VIII)를 갖는 유기금속제를 포함한다:
MaLc (VIII),
여기서 M은 금속; L은 리간드, 이온, 또는 반대-반응물과 반응성인 다른 모이어티;이고 a ≥ 1; 및 c ≥ 1이다. 특정 구체예에서, c = n - 1, 및 n는 2, 3, 또는 4이다. 일부 구체예에서, M은 Sn, Te, Bi, 또는 Sb이다. 반대 반응물은 바람직하게는 화학 결합을 통해 적어도 2개의 금속 원자를 연결하기 위해 반응성 모이어티, 리간드 또는 이온(예를 들어, 본원의 화학식에서 L)을 대체하는 능력을 갖는다.
본원의 임의의 구체예에서, R는 임의로 치환된 알킬 (예를 들어, C1-10 알킬)일 수 있다. 한 구체예에서, 알킬은 하나 이상의 할로(예를 들어, F, Cl, Br 또는 I와 같은 1, 2, 3, 4개 또는 그 이상의 할로를 포함하는 할로-치환된 C1-10 알킬)로 치환된다. 예시적 R 치환체는 CnH2n+1, 바람직하게는 여기서 n ≥ 3; 및 CnFxH(2n+1-x)를 포함하고, 여기서 2n+1 ≤ x ≤ 1이다. 다양한 구체예에서, R은 적어도 하나 베타-수소 또는 베타-불소를 가진다. 예를 들어, R는 i-프로필, n-프로필, t-부틸, i-부틸, n-부틸, sec-부틸, n-펜틸, i-펜틸, t-펜틸, sec-펜틸, 및 이의 혼합물로 이루어진 그룹으로부터 선택될 수 있다.
본원의 임의의 구체예에서, L은 M-OH 모이어티, 가령 아미노 (예를 들어, -NR1R2, 여기서 각각의 R1 및 R2는 H 또는 알킬, 가령 본원에 기술된 임의의 것일 수 있다), 알콕시 (예를 들어, -OR, 여기서 R은 알킬, 가령 본원에 기술된 임의의 것), 카복실레이트, 할로 (예를 들어, F, Cl, Br, 또는 I), 및 이의 혼합물로 이루어진 그룹으로부터 선택된 모이어티을 생성하기 위해 반대 반응물에 의해 쉽게 대체되는 임의의 모이어티일 수 있다.
특정 구체예에서, 금속 전구체는 주석를 포함한다. 일부 구체예에서, 주석 전구체는 SnR 또는 SnR2 또는 SnR4 또는 R3SnSnR3를 포함하고, 여기서 각각의 R는, 독립적으로, H, 할로, 임의로 치환된 C1-12 알킬, 임의로 치환된 C1-12 알콕시, 임의로 치환된 아미노 (예를 들어, -NR1R2), 임의로 치환된 C2-12 알케닐, 임의로 치환된 C2-12 알키닐, 임의로 치환된 C3-8 사이클로알킬, 임의로 치환된 아릴, 사이클로펜타디에닐, 임의로 치환된 비스(트리알킬실릴)아미노 (예를 들어, -N(SiR1R2R3)2), 임의로 치환된 알칸오일옥시 (예를 들어, 아세테이트), 디케토네이트 (예를 들어, -OC(R1)-Ak-(R2)CO-), 또는 두자리 킬레이팅 이질소 (예를 들어, -N(R1)-Ak-N(R1)-)이다. 특정 구체예에서, 각각의 R1, R2, 및 R3는, 독립적으로, H 또는 C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 또는 네오펜틸)이고; 및 Ak는 임의로 치환된 C1-6 알킬렌이다. 비제한적 주석 전구체는 SnF2, SnH4, SnBr4, SnCl4, SnI4, 테트라메틸 주석 (SnMe4), 테트라에틸 주석 (SnEt4), 트리메틸 주석 클로라이드 (SnMe3Cl), 디메틸 주석 디클로라이드 (SnMe2Cl2), 메틸 주석 트리클로라이드 (SnMeCl3), 테트라알릴주석, 테트라비닐 주석, 헥사페닐 2주석 (IV) (Ph3Sn-SnPh3, 여기서 Ph는 페닐), 디부틸디페닐주석(SnBu2Ph2), 트리메틸(페닐) 주석 (SnMe3Ph), 트리메틸(페닐에티닐) 주석, 트리사이클로헥실 주석 하이드라이드, 트리부틸 주석 하이드라이드 (SnBu3H), 디부틸주석 디아세테이트 (SnBu2(CH3COO)2), 주석(II) 아세틸아세토네이트 (Sn(acac)2), SnBu3(OEt), SnBu2(OMe)2, SnBu3(OMe), Sn(t-BuO)4, Sn(n-Bu)(t-BuO)3, 테트라키스(디메틸아미노)주석 (Sn(NMe2)4), 테트라키스(에틸메틸아미노)주석 (Sn(NMeEt)4), 테트라키스(디에틸아미노)tin(IV) (Sn(NEt2)4), (디메틸아미노)트리메틸 주석(IV) (Sn(Me)3(NMe2), Sn(i-Pr)(NMe2)3, Sn(n-Bu)(NMe2)3, Sn(s-Bu)(NMe2)3, Sn(i-Bu)(NMe2)3, Sn(t-Bu)(NMe2)3, Sn(t-Bu)2(NMe2)2, Sn(t-Bu)(NEt2)3, Sn(tbba), Sn(II) (1,3-비스(1,1-디메틸에틸)-4,5-디메틸-(4R,5R)-1,3,2-디아자스타놀리딘-2-일리덴), 또는 비스[비스(트리메틸실릴)아미노] 주석 (Sn[N(SiMe3)2]2)를 포함한다.
예시적 유기금속제는 SnMeCl3, (N2,N3-디-t-부틸-부탄-2,3-디아미도) 주석(II) (Sn(tbba)), 비스(비스(트리메틸실릴)아미도) 주석(II), 테트라키스(디메틸아미노) 주석(IV) (Sn(NMe2)4), t-부틸 트리스(디메틸아미노) 주석 (Sn(t-부틸)(NMe2)3), i-부틸 트리스(디메틸아미노) 주석 (Sn(i-Bu)(NMe2)3), n-부틸 트리스(디메틸아미노) 주석 (Sn(n-Bu)(NMe2)3), sec-부틸 트리스(디메틸아미노) 주석 (Sn(s-Bu)(NMe2)3), i-프로필(트리스)디메틸아미노 주석 (Sn(i-Pr)(NMe2)3), n-프로필 트리스(디에틸아미노) 주석 (Sn(n-Pr)(NEt2)3), 및 유사 알킬(트리스)(t-부톡시) 주석 화합물, 가령 t-부틸 트리스(t-부톡시) 주석 (Sn(t-Bu)(t-BuO)3)를 포함한다. 일부 구체예에서, 유기금속제는 부분적으로 플루오르화된다.
리소그래피 공정
EUV 리소그래피는 EUV 레지스트를 사용하는데, 이는 액체-계 스핀온 기술에 의해 생성된 중합체-계 화학 증폭 레지스트 또는 건식 증착 기술에 의해 생성된 금속 산화물-계 레지스트일 수 있다. 이러한 EUV 레지스트는 본원에 기술된 임의의 EUV -민감성 필름 또는 재료를 포함할 수 있다. 리소그래피 방법은 예를 들어 EUV 방사선으로 EUV 레지스트를 노출하여 포토 패턴을 형성함으로써 레지스트를 패터닝하는 것, 이어서 포토 패턴에 따라 레지스트의 일부를 제거함으로써 마스크를 형성함으로써 패턴을 현상하는 것을 포함할 수 있다.
본 발명은 EUV 리소그래피로 예시되는 리소그래피 패터닝 기술 및 재료에 관한 것이지만, 다른 차세대 리소그래피 기술에도 적용가능하다는 것을 또한 이해해야 한다. 현재 사용 및 개발 중인 표준 13.5nm EUV 파장을 포함하는 EUV 외에도, 이러한 리소그래피와 가장 관련이 있는 방사원은 일반적으로 248nm 또는 193nm 엑시머 레이저 소스를 사용하는 DUV(deep-UV)이며, 이는 공식적으로 X선 범위의 낮은 에너지 범위에서 EUV를 포함하는 X선과 넓은 에너지 범위를 커버할 수 있는 e-빔의 사용을 지칭한다. 이러한 방법은 기판(예를 들어, 임의로 노출된 하이드록실 기를 가짐)을 금속 함유 전구체(예를 들어, 본원에 기재된 임의의 것)와 접촉시켜 기판 표면 상의 이미징/포토레지스트(PR) 층으로서 금속 산화물(예를 들어, 다른 비금속 및 비산소기(non-metal and non-oxygen groups)를 포함할 수 있는 금속 산화물 결합의 네트워크를 포함하는 층) 필름을 형성하는 방법을 포함할 수 있다. 특정 방법은 반도체 기판 및 최종 반도체 장치에 사용되는 특정 재료 및 응용 분야에 따라 달라질 수 있다. 따라서, 본 출원에 기술된 방법은 단지 본 기술에서 사용될 수 있는 방법 및 재료의 예시일 뿐이다.
직접 광패턴화 가능한 EUV 레지스트는 유기 성분 내에 혼합된 금속 및/또는 금속 산화물로 구성되거나 이를 함유할 수 있다. 금속/금속 산화물은 EUV 광자 흡착을 강화하고 2차 전자를 생성할 수 있고 및/또는 하부 필름 스택 및 장치 층에 대해 증가된 에칭 선택성을 나타낼 수 있다는 점에서 매우 유망하다. 지금까지 이러한 레지스트는 습식(용제) 접근 방식을 사용하여 개발되었는데, 이 방식에서는 웨이퍼가 트랙으로 이동하여 현상 용제에 노출되고 건조 및 베이킹되어야 한다. 습식 현상은 생산성을 제한할 뿐만 아니라 미세한 피쳐 사이에서 용매가 증발하는 동안 표면 장력 효과로 인해 라인 붕괴로 이어질 수도 있다.
기판 박리 및 인터페이스 실패를 제거함으로써 이러한 문제를 극복하기 위해 건식 현상 기술이 제안되었다. 건식 현상에는 비노출된 레지스트 재료와 EUV에 노출된 레지스트 재료 사이의 에칭 선택성을 포함하여 고유한 문제가 있다. 이로 인해 습식 현상과 비교할 때 효과적인 레지스트 노출을 위해 크기에 대한 선량이 더 높아질 수 있다. 차선인 선택성은 또한 에칭 가스 하에서 더 긴 노출로 인해 PR 코너 라운딩을 유발할 수 있으며, 이는 다음 이송 에칭 단계에서 라인 CD 변화를 증가시킬 수 있다. 리소그래피 중에 사용되는 부가적 공정이 아래에 자세히 설명되어 있다.
건식 증착을 포함하는 증착 공정
전술한 바와 같이, 본 발명은 EUV 또는 다른 차세대 리소그래피 기술을 사용하여 패터닝될 수 있는 반도체 기판 상에 이미징 층을 제조하는 방법을 제공한다. 방법은 중합된 유기 금속 재료가 증기에서 생성되어 기판에 증착되는 방법를 포함한다. 일부 구체예에서, 건식 증착은 임의의 유용한 금속 함유 전구체(예를 들어, 금속 할라이드, 캡핑제, 또는 본원에 기재된 유기금속제)를 사용할 수 있다. 다른 구체예에서, 스핀-온 제형이 사용될 수 있다. 증착 공정은 EUV 민감성 재료를 레지스트 필름으로서 및/또는 레지스트 필름 상의 캡핑층으로서 도포하는 것을 포함할 수 있다. 예시적 EUV-민감성 재료가 본원에 기술되어 있다.
예시적인 EUV 민감성 재료가 본 명세서에 기재되어 있다. 본 기술은 EUV에 민감한 필름이 기판 상에 증착되는 방법을 포함하며, 이러한 필름은 후속 EUV 리소그래피 및 가공를 위한 레지스트로서 작동 가능하다. 또한, 2차 EUV-민감성 필름은 밑에 있는 1차 EUV 감응 필름 위에 증착될 수 있다. 한 예에서, 2차 필름은 캡핑층을 구성하고, 1차 필름은 이미징층을 구성한다.
이러한 EUV-민감성 필름은 EUV에 노출되면 저밀도 M-OH 풍부 물질에서 금속 원자에 결합된 부피가 큰 펜던트 리간드의 손실과 같은 변화를 겪는 물질을 포함하여 보다 조밀한 M-O-M 결합 금속 산화물로의 가교결합을 허용하는 재료를 포함한다. 다른 구체예에서, EUV 노출은 금속 원자에 결합된 리간드 사이의 추가 가교결합을 초래하여 L이 리간드인 조밀한 M-L-M 결합 유기금속 재료를 제공한다. 또다른 구체예에서, EUV 노출은 포지티브 톤 현상액에 의해 제거될 수 있는 M-OH 물질을 제공하기 위한 리간드의 손실을 초래한다.
EUV 패터닝을 통해, 비노출 영역에 비해 물리적 또는 화학적 특성이 변경된 필름 영역이 생성된다. 이러한 특성은 비노출된 영역 또는 노출된 영역을 용해하거나 노출된 영역 또는은 비노출된 영역 중 하나에 재료를 선택적으로 증착하는 것과 같은 후속 가공에서 이용될 수 있다. 일부 구체예에서, 비노출된 비노출된 필름은 소수성 표면을 갖고, 노출된 필름은 이러한 후속 공정이 수행되는 조건 하에서 친수성 표면을 갖는다(노출된 영역과 비노출된 영역의 친수성 특성은 서로 상대적인 것으로 인식됨). 예를 들어, 재료의 제거는 필름의 화학적 조성, 밀도 및 가교결합의 차이를 활용하여 수행될 수 있다. 제거는 본원에서 추가로 설명되는 바와 같이 습식 가공 또는 건식 가공에 의해 이루어질 수 있다.
기판 표면에 형성되는 EUV 패터너블 필름의 두께는 표면 특성, 사용되는 재료 및 공정 조건에 따라 달라질 수 있다. 다양한 구체예에서, 필름 두께는 약 0.5-100 nm 범위일 수 있다. 바람직하게는, 필름은 EUV 패터닝 조건 하에서 대부분의 EUV 광을 흡수하기에 충분한 두께를 갖는다. 예를 들어, 레지스트 필름의 전체 흡수율은 30% 이하(예를 들어, 10% 이하, 또는 5% 이하)로 하여 레지스트막 하부의 레지스트 재료가 충분히 노출되도록 할 수 있다. 일부 구체예에서, 필름 두께는 10-20nm이다. 본 발명의 메카니즘, 기능 또는 유용성을 제한하지 않고, 당업계의 습식 스핀-코팅 공정과 달리, 본 발명의 공정은 기판의 표면 접착 특성에 대한 제한이 더 적고, 따라서 다양한 기판에 적용할 수 있다. 더욱이, 위에서 논의된 바와 같이, 증착된 필름은 표면 피쳐에 밀접하게 일치할 수 있어, 그러한 피쳐를 "채우거나" 그렇지 않으면 평탄화하지 않고 하부 피쳐를 갖는 기판과 같은 기판 위에 마스크를 형성하는 데 이점을 제공한다.
필름(예를 들어, 이미징 층) 또는 캡핑 층은 임의의 유용한 방식으로 증착된 금속 산화물 층으로 구성될 수 있다. 이러한 금속 산화물 층은 도펀트 전구체와 함께 금속 함유 전구체(예를 들어, 금속 할로겐화물, 캡핑제 또는 유기금속제)와 같은 본원에 기술된 임의의 EUV 민감성 재료를 사용하여 증착되거나 도포될 수 있다. 예시적인 공정에서, 중합된 유기금속 재료는 금속 산화물 층을 제공하기 위해 기판의 표면 상에 증기상으로 또는 제자리에서 형성된다. 상기 금속 산화물층은 필름, 접착층 또는 캡핑층으로 사용될 수 있다.
선택적으로, 금속 산화물 층은 하이드록실-말단 금속 산화물 층을 포함할 수 있으며, 이는 산소 함유 반대 반응물과 함께 캡핑제(예를 들어, 본원에 기재된 임의의 것)를 사용함으로써 증착될 수 있다. 이러한 히드록실-종결 금속 산화물 층은 예를 들어 기판과 필름 사이 및/또는 포토레지스트 층과 캡핑 층 사이와 같은 2개의 다른 층 사이의 접착층으로서 사용될 수 있다.
예시적인 증착 기술(예를 들어, 필름 또는 캡핑 층에 대한)은 ALD(예를 들어, 열적 ALD 및 플라즈마 강화 ALD), 스핀-코트 증착, PVD 동시 스퍼터링을 포함하는 PVD, CVD(예를 들어, PE-CVD 또는 LP-CVD), 스퍼터링 증착, e-빔 공동 증착을 포함하는 e-빔 증착 등, 또는 이들의 조합, 예를 들어 금속 함유 전구체, 도펀트 전구체 및 반대 반응물이 시간 또는 공간에서 분리되는 불연속, ALD-유사 공정과 같은 CVD을 포함하는 ALD와 같은 본원에 기재된 임의의 것을 포함한다.
본 발명에 적용 가능한 EUV 포토레지스트 필름으로서의 전구체 및 이들의 증착 방법에 대한 추가 설명은 2019년 5월 9일 출원된, 제목: METHODS FOR MAKING EUV PATTERNABLE HARD MASKS의 International Pub. WO2019/217749로서 공개된, International Appl. No. PCT/US19/31618에서 찾을 수 있다. 박막은 유기 금속 전구체, 도펀트 전구체 및 필름의 화학적 또는 물리적 특성을 수정하기 위해, 예를 들어 EUV에 대한 필름의 민감도를 수정하거나 에칭 저항을 향상시키기 위한 반대 반응물에 더하여 선택적인 재료를 포함할 수 있다. 이러한 선택적인 재료는 예를 들어 기판 상에 증착하기 전, 필름 증착 후 또는 둘 다에 기상 형성 중에 도핑함으로써 도입될 수 있다. 일부 구체예에서, 예를 들어 EUV 하에서 레지스트의 반응성을 증가시킬 수 있는 일부 Sn-L 결합을 Sn-H로 대체하기 위해 부드러운 원격 H2 플라즈마가 도입될 수 있다.
일반적으로, 방법은 유기금속 전구체(예를 들어, 유기금속제와 같은 금속 함유 전구체)의 증기 스트림을 도펀트 전구체의 증기 스트림 및 선택적 반대 반응물의 증기 스트림과 혼합하는 것을 포함할 수 있다. 일부 구체예에서, 금속 함유 전구체를 도펀트 전구체 및 선택적인 반대-반응물과 혼합하는 것은 중합된 유기금속 재료를 형성할 수 있다. 당업자에 의해 이해되는 바와 같이, 공정의 혼합 및 증착 양상은 실질적으로 연속적인 공정에서 동시적일 수 있다.
예시적인 연속 CVD 공정에서, 유기금속 전구체, 도펀트 전구체 및 선택적인 반대 반응물의 소스의 별도의 입구 경로에서 둘 이상의 가스 스트림이 CVD 장치의 증착 챔버로 도입되고, 여기서 이들이 혼합되고 기상에서 반응하여 (예를 들어, 금속-산소-금속 결합 형성을 통해) 응집된 중합체 물질을 형성하거나 기판 상에 필름을 형성한다. 예를 들어 별도의 주입구 또는 이중 플레넘 샤워헤드를 사용하여 가스 흐름을 도입할 수 있다. 장치는 유기금속 전구체, 도펀트 전구체 및 선택적 반대 반응물의 스트림이 챔버에서 혼합되어 유기금속 전구체, 도펀트 전구체 및 선택적 반대 반응물이 반응하여 중합된 유기금속 재료 또는 필름을 형성하도록 구성된다 (예를 들어, 금속-산소-금속 결합 형성을 통한 것과 같은 금속 산화물 코팅 또는 응집된 중합체 재료).
금속 산화물을 증착하기 위해, CVD 공정은 일반적으로 0.1-10 Torr와 같은 감소된 압력에서 수행된다. 일부 구체예에서, 공정은 1-2 Torr의 압력에서 수행된다. 기판의 온도는 반응물 스트림의 온도보다 낮은 것이 바람직하다. 예를 들어, 기판 온도는 0 내지 250℃, 또는 주위 온도(예를 들어, 23℃) 내지 150℃일 수 있다.
응집된 중합체 재료을 침착시키기 위해, CVD 공정은 일반적으로 10mTorr 내지 10Torr와 같은 감소된 압력에서 수행된다. 일부 구체예에서, 공정은 0.5-2 Torr에서 수행된다. 기판의 온도는 바람직하게는 반응물 스트림의 온도 이하이다. 예를 들어, 기판 온도는 0 내지 250℃, 또는 주위 온도(예를 들어, 23℃) 내지 150℃일 수 있다. 다양한 공정에서, 기판 상의 중합된 유기금속 재료의 증착은 표면 온도에 반비례하는 속도로 일어난다. 본 기술의 메카니즘, 기능 또는 유용성을 제한하지 않고, 금속 원자가 도펀트 전구체 및/또는 반대 반응물에 의해 가교결합되고, 이어서 응축되거나 그렇지 않으면 기판에 증착됨에 따라서 이러한 기상 반응으로부터의 생성물은 분자량이 더 무거워진다고 생각된다.
건식 침착 방법을 사용하는 잠재적인 이점은 필름이 성장함에 따라 필름의 조성을 쉽게 조정할 수 있다는 것이다. CVD 공정에서 이것은 증착 동안 유기금속 전구체와 도펀트 전구체의 상대적 흐름을 변경함으로써 달성될 수 있다. 증착은 0.01-100 Torr 사이의 압력에서 30-200°C 사이에서 일어날 수 있지만, 보다 일반적으로는 약 0.1-10 Torr 사이에서 일어날 수 있다.
필름(예를 들어, 금속-산소-금속 결합 형성을 통한 것과 같은 금속 산화물 코팅 또는 응집된 중합체 재료)은 또한 ALD 공정에 의해 증착될 수 있다. 예를 들어, 유기 금속 전구체, 도펀트 전구체 및 선택적 반대 반응물은 ALD 주기를 나타내는 별도의 시간에 도입된다. 유기 금속 전구체와 도펀트 전구체는 표면에서 반응하여 각 주기에 대해 한 번에 재료의 단층을 형성한다. 이는 표면 전체에 걸친 필름 두께의 균일성에 대한 탁월한 제어를 허용할 수 있다. ALD 공정은 일반적으로 0.1-10 Torr와 같은 감소된 압력에서 수행된다. 일부 구체예에서, 공정은 1-2 Torr에서 수행된다. 기판 온도는 0-250℃, 또는 주위 온도(예를 들어, 23℃) 내지 150℃일 수 있다. 공정은 열 공정 또는 바람직하게는 플라즈마 보조 증착일 수 있다.
본원의 임의의 증착 방법은 2개 이상의 상이한 유기금속 전구체의 사용을 허용하도록 변형될 수 있다. 한 구체예에서, 전구체는 동일한 금속이지만 상이한 리간드를 포함할 수 있다. 또다른 구체예에서, 전구체는 상이한 금속 그룹을 포함할 수 있다. 하나의 비제한적 예에서, 다양한 휘발성 금속 함유 전구체의 교대 흐름은 제1 금속(예를 들어, Sn)을 갖는 금속 알콕사이드 전구체를 상이한 제2 금속(예: Te)을 갖는 실릴계 전구체와 함께 사용하는 것과 같은 혼합 금속층을 제공할 수 있다.
또한, 본원의 임의의 증착 방법은 2개 이상의 상이한 도펀트 전구체의 사용을 허용하도록 변형될 수 있다. 한 구체예에서, 도펀트 전구체는 금속 중심에 상이한 결합된 리간드를 제공할 수 있다. 하나의 비제한적인 예에서, 다양한 도펀트 전구체의 교대 흐름은 구배 필름에서와 같이 다양한 도펀트 함량을 갖는 층을 제공할 수 있다.
또한, 본원의 임의의 증착 방법은 필름 또는 캡핑 층 내에 하나 이상의 층을 제공하도록 변형될 수 있다. 한 예에서, 상이한 유기금속 전구체 및/또는 도펀트 전구체가 각각의 층에 사용될 수 있다. 또다른 예에서, 동일한 전구체가 각 층에 사용될 수 있지만, 최상층은 상이한 화학 조성(예를 들어, 도펀트 전구체를 조절하거나 변경함으로써 제공되는 금속-리간드 결합의 상이한 밀도, 상이한 금속 대 탄소 비율 또는 상이한 결합된 리간드)을 가질 수 있다.
본원의 공정은 표면 개질을 달성하기 위해 사용될 수 있다. 일부 반복에서 유기금속 전구체의 증기가 웨이퍼 위로 통과할 수 있다. 반응이 진행되도록 열 에너지를 제공하기 위해 웨이퍼를 가열할 수 있다. 일부 반복에서 가열은 약 50-250°C일 수 있다. 일부 경우에, 펌프 및/또는 정화 단계에 의해 분리된 도펀트 전구체의 펄스가 사용될 수 있다. 예를 들어, 도펀트 전구체는 전구체 펄스 사이에서 펄스화되어 ALD 또는 ALD 유사 성장을 야기할 수 있다. 다른 경우에, 유기금속 전구체 및 도펀트 전구체가 동시에 흐를 수 있다. 표면 개질에 유용한 원소의 예는 I, F, Sn, Bi, Sb, Te 및 이들 화합물의 산화물 또는 합금을 포함한다.
본원의 공정은 ALD 또는 CVD에 의해 얇은 금속 산화물 또는 금속을 증착하는 데 사용될 수 있다. 예는 SnOx, BiOx, 및 Te를 포함한다. 증착 후, 필름은 본 명세서의 다른 곳에서 기술된 바와 같이 MaRbLc 형태의 알킬 치환된 전구체로 캡핑될 수 있다. 리간드를 더 잘 제거하기 위해 반대 반응물을 사용할 수 있으며 기판 표면의 완전한 포화를 보장하기 위해 여러 주기를 반복할 수 있다. 그런 다음 표면은 EUV에 민감한 필름이 증착될 준비를 할 수 있다. 한 가지 가능한 방법은 SnOx 박막을 생산하는 것이다. 가능한 화학은 테트라키스(디메틸아미노)주석과 물 또는 O2 플라즈마와 같은 반대 반응물을 순환시켜 SnO2를 성장시키는 것을 포함한다. 성장 후 캡핑제를 사용할 수 있다. 예를 들어, 이소프로필트리스(디메틸아미노)주석 증기가 표면 위로 흐를 수 있다.
증착 공정은 임의의 유용한 표면에 사용될 수 있다. 본원에 언급된 바와 같이, "표면"은 본 기술의 필름이 증착되거나 가공 동안 EUV에 노출되는 표면이다. 그러한 표면은 기판(예를 들어, 필름이 증착될 기판), 필름(예를 들어, 캡핑층이 증착될 기판) 또는 캡핑층 상에 존재할 수 있다.
리소그래피 공정, 특히 집적 회로 및 기타 반도체 장치의 생산에 적합한 임의의 재료 구조를 포함하는 임의의 유용한 기판이 사용될 수 있다. 일부 구체예에서, 기판은 실리콘 웨이퍼이다. 기판은 불규칙한 표면 토포그래피를 갖는 피쳐("기본 토포그래피 피쳐")가 생성된 실리콘 웨이퍼일 수 있다.
이러한 기본 토포그래피적 피쳐는 이 기술의 방법을 수행하기 전에 공정 중에 재료가 제거된 영역(예를 들어, 에칭에 의해) 또는 재료가 추가된 영역(예를 들어, 증착에 의해)을 포함할 수 있다. 이러한 사전 공정은 이 기술의 방법 또는 2개 이상의 피쳐 층이 기판 상에 형성되는 반복 공정의 다른 공정 방법을 포함할 수 있다. 본 기술의 메카니즘, 기능 또는 유용성을 제한하지 않고, 일부 구체예에서, 본 기술의 방법은 스핀 캐스팅 방법을 사용하여 포토리소그래피 필름이 기판의 표면 상에 증착되는 당업계에 공지된 방법에 비해 이점을 제공하는 것으로 생각된다. 이러한 이점은 그러한 피쳐를 "채우거나" 그렇지 않으면 평탄화함 없이 하부 피쳐에 대한 본 기술의 필름의 일치성 및 다양한 재료 표면에 필름을 증착하는 능력으로부터 유래할 수 있다.
일부 구체예에서, 들어오는 웨이퍼는 원하는 재료의 기판 표면으로 제조될 수 있으며, 최상층 물질은 레지스트 패턴이 이송되는 층이다. 재료 선택은 집적도에 따라 달라질 수 있지만 일반적으로 EUV 레지스트 또는 이미징 레이어보다 높은 선택성(즉, 훨씬 빠르게)으로 에칭될 수 있는 재료를 선택하는 것이 바람직하다. 적합한 기판 재료는 다양한 탄소-계 필름(예를 들어, 애쉬블 하드 마스크(AHM)), 실리콘-계 필름(예를 들어, 실리콘, 실리콘 산화물, 실리콘 질화물, 실리콘 옥시나이트라이드, 또는 실리콘 옥시카보니트라이드, 뿐만 아니라 SiOx, SiOxNy, SiOxCyNz, a-Si:H, poly-Si, 또는 SiN를 포함하는 이들의 도핑된 형태), 또는 패터닝 공정를 용이하게 하기 위해 적용된 임의의 다른(일반적으로 희생) 필름을 포함할 수 있다.
일부 구체예에서, 기판은 하부 반도체 재료의 리소그래피 에칭에 사용되는 하드 마스크이다. 하드 마스크는 무정형 탄소 (a-C), SnOx, SiO2, SiOxNy, SiOxC, Si3N4, TiO2, 주석, W, W-도핑된 C, WOx, HfO2, ZrO2, 및 Al2O3를 포함하는 다양한 재료 중 임의의 것을 포함할 수 있다. 예를 들어, 기판은 바람직하게는 SnOx, 가령 SnO2를 포함할 수 있다. 다양한 구체예에서, 층은 1-100nm 두께, 또는 2-10nm 두께일 수 있다.
일부 비제한적 구체예에서, 기판은 하부층을 포함한다. 하부층은 하드 마스크 또는 다른 층 상에 증착될 수 있고 일반적으로 본원에 기술된 바와 같이 이미징 층(또는 필름) 아래에 있다. 하부층은 PR의 감도를 개선하고, EUV 흡수율을 증가시키고 및/또는 PR의 패터닝 성능을 증가시키기 위해 사용될 수 있다. 패터닝할 기판에 중요한 토포그래피를 생성하는 장치 피쳐가 존재하는 경우, 하부층의 또 다른 중요한 기능은 기존 토포그래피를 오버코팅하고 평탄화하여 후속 패터닝 단계가 패턴의 모든 영역이 초점이 되도록 평평한 표면에서 수행될 수 있도록 하는 것이다. 그러한 적용을 위해, 하부층(또는 다수의 하부층 중 적어도 하나)은 스핀-코팅 기술을 사용하여 도포될 수 있다. 사용되는 PR 재료가 중요한 무기 성분을 포함하는 경우, 예를 들어 주로 금속 산화물 프레임워크를 나타내는 경우, 하부층은 유리하게는 스핀 코팅 또는 건식 진공-계 증착 공정에 의해 적용된 탄소 기반 필름일 수 있다. 층은 탄소 및 수소-계 조성을 갖는 다양한 AHM(ashable hard mask) 필름을 포함할 수 있으며 텅스텐, 붕소, 질소 또는 불소와 같은 추가 원소로 도핑될 수 있다.
일부 구체예에서, 표면 활성화 작업은 향후 작업을 위해 표면(예를 들어, 기판 및/또는 필름의)을 활성화하는 데 사용될 수 있다. 예를 들어, SiOx 표면의 경우 물 또는 산소/수소 플라즈마를 사용하여 표면에 하이드록실 기를 생성할 수 있다. 탄소 또는 탄화수소-계 표면의 경우 다양한 처리(예: 물, 수소/산소, CO2 플라즈마 또는 오존 처리)를 사용하여 카르복실산/또는 하이드록실 기를 생성할 수 있다. 이러한 접근법은 그렇지 않으면 취급 중에 또는 현상 중에 용매 내에서 박리되거나 벗겨질 수 있는 기판에 대한 레지스트 피쳐의 접착력을 개선하는 데 중요한 것으로 입증될 수 있다.
접착력은 또한 기계적 접착력을 직접적으로 향상시킬 뿐만 아니라 상호작용에 이용가능한 표면적을 증가시키기 위해 표면에 거칠기를 유도함으로써 향상될 수 있다. 예를 들어 먼저 Ar 또는 기타 비반응성 이온 충격을 사용하는 스퍼터링 공정을 사용하여 거친 표면을 생성할 수 있다. 이후, 표면은 전술한 바와 같이 원하는 표면 작용기(예를 들어, 히드록실 및/또는 카르복실산 기)로 종결될 수 있다. 탄소 상에서, 조합적 접근법이 사용될 수 있는데, 여기서 국소 비- 균일성으로 필름의 박막을 에칭 제거하고 동시에 -OH, -OOH 또는 -COOH 기로 종결시키기 위해 CO2, O2, 또는 H2O (또는 H2 및 O2의 혼합물) 와 같은 화학적 반응성 산소 함유 플라즈마를 사용할 수 있다. 이는 바이어스와 함께 또는 없이 수행될 수 있다. 위에서 언급한 표면 개질 전략에 대해 이 접근 방식은 무기 금속 산화물-계 레지스트에의 직접 접착 또는 추가 기능화를 위한 중간 표면 개질을 위한, 기판 표면의 표면 거칠기와 화학적 활성화의 이중 목적을 제공할 수 있다.
다양한 구체예에서, (예를 들어, 기판 및/또는 필름의) 표면은 그 표면에 노출된 하이드록실 기를 포함한다. 일반적으로, 표면은 노출된 히드록실 표면을 포함하거나 생성하도록 처리된 임의의 표면일 수 있다. 이러한 하이드록실 기는 산소 플라즈마, 물 플라즈마 또는 오존을 이용한 기판의 표면 처리에 의해 표면에 형성될 수 있다. 다른 구체예에서, 필름의 표면은 노출된 하이드록실기를 제공하도록 처리될 수 있으며, 그 위에 캡핑층이 적용될 수 있다. 다양한 구체예에서, 하이드록실-말단 금속 산화물 층은 0.1-20nm, 또는 0.2-10nm, 또는 0.5-5nm의 두께를 갖는다.
EUV 노출 공정
필름의 EUV 노출은 EUV-매개 절단 이벤트에 의해 생성되는, 금속 원자(M)를 포함하는 활성화된 반응 중심을 갖는 EUV 노출 영역을 제공할 수 있다. 이러한 반응 중심은 댕글링 금속 결합, M-H 기, 절단된 M-리간드 기, 이합체화된 M-M 결합 또는 M-O-M 가교를 포함할 수 있다. 다른 구체예에서, EUV 노출은 필름 내에서 리간드를 광중합함으로써 가교된 유기 모이어티를 제공하고; 또는 EUV 노출은 리간드 내 결합의 광분해로 인해 기체 부산물을 방출한다.
EUV 노출은 10-15nm, 예를 들어 13.5nm의 파장과 같이 진공 환경에서 약 10-20nm 범위의 파장을 가질 수 있다. 특히, 패터닝은 EUV 노출 영역과 EUV 비노출 영역을 제공하여 패턴을 형성할 수 있다.
본 기술은 DUV 또는 e-빔뿐만 아니라 EUV를 사용한 패터닝을 포함할 수 있다. 그러한 패터닝에서, 방사선은 이미징 층의 하나 이상의 영역에 집중된다. 이미징 층 필름이 방사선에 노출되지 않는 하나 이상의 영역을 포함하도록 노출이 전형적으로 수행된다. 결과로 얻어진 이미징 층은 복수의 노출된 영역과 비노출된 영역을 포함할 수 있으며, 이는 기판의 후속 가공에서 기판으로부터 재료의 추가 또는 제거에 의해 형성되는 반도체 장치의 트랜지스터 또는 다른 피쳐의 생성과 일치하는 패턴을 생성한다. 본원에서 유용한 EUV, DUV 및 e-빔 방사선 방법 및 장비는 당업계에 공지된 방법 및 장비를 포함한다.
일부 EUV 리소그래피 기술에서, 유기 하드마스크(예를 들어, PECVD 비정질 수소화 탄소의 애쉬블 하드마스크)는 종래의 포토레지스트 공정을 사용하여 패터닝된다. 포토레지스트 노출 동안 EUV 방사선은 레지스트와 아래 기판에서 흡수되어 고에너지 광전자(예: 약 100eV)를 생성하고 결국 수 나노미터만큼 측면으로 확산되는 저에너지 2차 전자(예: 약 10eV)의 캐스케이드를 생성한다. 이러한 전자는 EUV 선량 감도를 증가시키는 레지스트의 화학 반응 범위를 증가시킨다. 그러나 본질적으로 무작위인 2차 전자 패턴이 광학 이미지에 중첩된다. 이러한 원치 않는 2차 전자 노출은 해상도 손실, 관찰 가능한 LER(Line Edge Roughness) 및 패터닝된 레지스트의 선폭 변화를 초래한다. 이러한 결함은 후속 패턴 이송 에칭 동안 패턴화될 재료에서 복제된다.
크게 개선된 EUV 리소그래피(EUVL) 성능 - 예를 들어, 감소된 라인 에지 거칠기 -의 결과와 함께, 필름 형성(증착/응축)과 광학 리소그래피를 결합하는 진공-통합 금속 하드마스크 공정 및 관련된 진공- 통합 하드웨어가 본원에 개시되어 있다.
본원에 기술된 다양한 구체예에서, 증착(예를 들어, 응축) 공정(예를 들어, Lam Vector®와 같은 PECVD 툴에서 수행되는 ALD 또는 MOCVD)은 예를 들어 EUVL 광원의 파장(예: 13.5nm = 91.8eV)에서, EUV에서(예를 들어, 10-20 nm 정도의 파장에서) 강한 흡수를 갖는 감광성 금속 염 또는 금속 함유 유기 화합물(유기 금속 화합물)과 같은 필름 금속 함유 박막의 형성에 사용될 수 있다. 이 필름은 EUV 노출 시 광분해되고 후속 에칭(예: Lam 2300® Kiyo®와 같은 전도체 에칭 툴에서) 동안 패턴 이송 층인 금속 마스크를 형성한다.
증착 후, EUV-패턴가능 박막은 전형적으로 상대적으로 높은 진공 하에서 EUV 광 빔에 대한 노출에 의해 패터닝된다. EUV 노출을 위해 금속 함유 필름은 리소그래피 플랫폼(예를 들어, TWINSCAN NXE: 3300B® 플랫폼(ASML, Veldhoven, NL에서 공급)과 같은 웨이퍼 스테퍼)과 통합된 챔버 내에서 증착되고 노출전 반응하지 않도록 진공 상태에서 이송된다. 리소그래피 툴와의 통합은 H2O, O2, 등과 같은 주변 가스에 의한 입사 광자의 강한 광 흡수가 주어지면 EUVL이 크게 감소된 압력을 필요로 한다는 사실에 의해 촉진된다. 다른 구체예에서, 감광성 금속 필름 증착 및 EUV 노출 같은 챔버에서 진행할 수 있다.
건식 현상를 포함하는 현상 공정
EUV 노출 또는 비노출 영역뿐만 아니라 캡핑 층은 임의의 유용한 현상 공정 에 의해 제거될 수 있다. 한 구체예에서, EUV 노출 영역은 댕글링 금속 결합, M-H 기 또는 이합체화된 M-M 결합과 같은 활성화된 반응 중심을 가질 수 있다. 특정 구체예에서, M-H 기는 하나 이상의 건식 현상 공정(예를 들어, 할라이드 화학)을 사용함으로써 선택적으로 제거될 수 있다. 다른 구체예에서, M-M 결합은 습식 현상 공정을 사용함으로써, 예를 들어 가용성 M(OH)n 기를 제공하기 위해 뜨거운 에탄올 및 물을 사용함으로써 선택적으로 제거될 수 있다. 또다른 구체예에서, EUV 노출 영역은 습식 현상을 사용하여(예를 들어, 포지티브 톤 현상제를 사용하여) 제거된다. 일부 구체예에서, EUV 비노출 영역은 건식 현상을 사용하여 제거된다.
건식 현상 공정은 또한 HCl- 또는 HBr-계 공정과 같은 할로겐화물의 사용을 포함할 수 있다. 본 개시 내용은 임의의 특정 이론 또는 작업 메커니즘에 제한되지 않지만, 접근 방식은 증기 또는 플라즈마를 사용하여 휘발성 생성물을 형성하기 위해 청정 화학(예를 들어, HCl, HBr 및 BCl3)과 함께 건식 증착된 EUV 포토레지스트 필름의 화학적 반응성을 활용하는 것으로 이해된다. 건조 증착된 EUV 포토레지스트 필름은 최대 1nm/s의 에칭 속도로 제거할 수 있다. 이러한 화학에 의한 건식 증착된 EUV 포토레지스트 필름의 빠른 제거는 챔버 세정, 후면 세정, 베벨 세정 및 PR 현상에 적용할 수 있다. 필름은 다양한 온도에서 증기를 사용하여 제거할 수 있지만(예: -10°C 이상의 온도에서 HCl 또는 HBr, 또는 80°C 이상의 온도에서 BCl3) 반응성을 가속화하거나 향상시키기 위해 플라즈마를 추가로 사용할 수도 있다.
플라즈마 공정은 당업계에 공지된 장비 및 기술을 사용하는 트랜스포머 결합 플라즈마(TCP), 유도 결합 플라즈마(ICP) 또는 선량 결합 플라즈마(CCP)를 포함한다. 예를 들어, 공정은 > 0.5 mTorr(예를 들어, 1-100 mTorr)의 압력, < 1000 W(예를 들어, < 500 W)의 전력 수준에서 수행될 수 있다. 온도는 1 내지 3000초 (예를 들어, 10초~600초) 동안 100 내지 1000 sccm(standard cubic centimeter per minute), 예를 들어 약 500 sccm의 유속에서 30-300°C (예를 들어, 30-120°C)일 수 있다.
할라이드 반응물 흐름이 수소 가스 및 할라이드 가스인 경우, H2 및 Cl2 및/또는 Br2로부터 라디칼을 생성하기 위해 원격 플라즈마/UV 방사선이 사용되며, 수소 및 할라이드 라디칼은 반응 챔버로 흘러 패터닝된 EUV 포토레지스트와 웨이퍼의 기판 층에서 접촉한다. 적합한 플라즈마 전력은 바이어스 없이 100-500W 범위일 수 있다. 이러한 조건은 일부 가공 반응기, 예를 들어 Lam Research Corporation, Fremont, CA에서 입수할 수 있는 Kiyo 에칭 툴에 적합하지만, 가공 반응기의 능력에 따라 더 넓은 범위의 처리 조건이 사용될 수 있음을 이해해야 한다.
열적 현상 공정에서, 기판은 진공 챔버(예를 들어, 오븐)에서 건식 현상 화학(예를 들어, 루이스 산)에 노출된다. 적절한 챔버는 진공 라인, 건식 할로겐화 수소 화학 가스(예: HBr, HCl) 라인 및 온도 제어용 히터를 포함할 수 있다. 일부 구체예에서, 챔버 내부는 유기 중합체 또는 무기 코팅과 같은 내부식성 필름으로 코팅될 수 있다. 이러한 코팅 중 하나는 폴리테트라플루오로에틸렌((PTFE), 예를 들어 TeflonTM)이다. 이러한 재료는 플라즈마 노출에 의한 제거 위험 없이 본 발명의 열 공정에 사용될 수 있다.
건식 현상을 위한 공정 조건은 포토레지스트 필름 및 캡핑층과 이들의 조성 및 특성에 따라 100-500 sccm(예: 500 sccm HBr 또는 HCl)의 반응물 흐름, -10-120°C(예: -10°C)의 온도, 플라즈마 없이 약 10초 내지 1분 동안 500mTorr(예를 들어, 300mTorr)의 압력이다.
다양한 구체예에서, 본 발명의 방법은 필름 증착, 증착에 의한 형성, (EUV) 리소그래피 포토패터닝 및 건식 현상의 모든 건식 단계를 조합한다. 그러한 공정에서, 기판은 EUV 스캐너에서 포토패터닝 후 건식 현상/에칭 챔버로 직접 이동할 수 있다. 이러한 공정은 습식 현상과 관련된 재료 및 생산성 비용을 피할 수 있다. 건식 공정은 또한 더 많은 조정 가능성을 제공하고 추가 CD 제어 및/또는 찌꺼기 제거를 제공할 수 있다.
다양한 구체예에서, 일정량의 금속, 금속 산화물 및 유기 성분을 함유하는 EUV 포토레지스트는 식 RxZy, 여기서 R = B, Al, Si, C, S, SO(x > 0이고 Z = Cl, H, Br, F), CH4 및 y > 0,의 화합물을 포함하는 건조 현상 가스를 흐르게 하면서 열 플라즈마(예를 들어, 가능하게는 램프 가열 또는 UV 램프 가열과 같은 광활성화 플라즈마를 포함)또는 열 및 플라즈마 방법의 혼합물에 의해 건식 현상될 수 있다. 건식 현상은 RxZy 종이 노출된 재료를 선택적으로 제거하고 비노출된 반대물을 마스크로 남기는 포지티브 톤을 초래할 수 있다. 일부 구체예에서, 유기주석 산화물계 포토레지스트 필름의 노출된 부분은 본 개시 내용에 따라 건식 현상에 의해 제거된다. 포지티브 톤 건식 현상은 라디칼을 생성하기 위해 플라즈마에서 생성된 원격 플라즈마 또는 UV 방사선을 사용하여, 플라즈마 충돌 없이 HCl 및/또는 HBr을 포함하는 할로겐화수소 또는 수소 및 할로겐화물을 포함하는 흐름, 또는 H2 및 Cl2 및/또는 Br2의 흐름에 노출된 EUV 노출 영역의 선택적 건식 현상(제거)에 의해 달성될 수 있다.
습식 현상 방법을 사용할 수도 있다. 특정 구체예에서, 이러한 습식 현상 방법은 EUV 노출 영역을 제거하여 포지티브 톤 포토레지스트 또는 네거티브 톤 레지스트를 제공하는 데 사용된다. 일부 구체예에서, 습식 현상은 중성 현상제(예를 들어, 물과 같은 pH 중성 현상제) 또는 과산화물 함유 현상제(예를 들어, 과산화수소, H2O2 포함)를 포함한다. 예시적, 비제한적 습식 현상은 암모늄, 예를 들어, 암모늄 하이드록사이드 (NH4OH); 암모늄-계 이온성 액체, 예를 들어, 테트라메틸암모늄 하이드록사이드 (TMAH), 테트라에틸암모늄 하이드록사이드 (TEAH), 테트라프로필암모늄 하이드록사이드 (TPAH), 테트라부틸암모늄 하이드록사이드 (TBAH), 또는 다른 4차 알킬암모늄 하이드록사이드; 유기아민, 가령 모노-, 디-, 및 트리-유기아민 (예를 들어, 디에틸아민, 디에틸아민, 에틸렌디아민, 트리에틸렌테트라민); 또는 알칸올아민, 가령 모노에탄올아민, 디에탄올아민, 트리에탄올아민, 또는 디에틸렌글리콜아민을 포함하는, 알칼리 현상제 (예를 들어, 수성 알칼리 현상제) 내 염기의 사용을 포함할 수 있다. 다른 구체예에서, 알칼리 현상제는 질소-함유 염기, 예를 들어, 화학식 RN1NH2, RN1RN2NH, RN1RN2RN3N, 또는 RN1RN2RN3RN4N+XN1-를 갖는 화합물을 포함할 수 있고, 여기서 각각의 RN1, RN2, RN3, 및 RN4는, 독립적으로, 유기 치환체 (예를 들어, 임의로 치환된 알킬 또는 본원에서 기술된 임의의 것)이거나, 또는 두 개 이상의 유기 치환체는 함께 결합할 수 있고, 및 XN1- 는 OH-, F-, Cl-, Br-, I-, 또는 당업계에 공지된 4차 암모늄 양이온 종을 포함할 수 있다. 이들 염기는 또한 당업계에 공지된 헤테로시클릴 질소 화합물을 포함할 수 있으며, 이들 중 일부는 본원에 기재되어 있다. 비제한적 조합은 물과 염기성 현상액제를 포함한다.
다른 현상 방법론은 할라이드(예를 들어, HCl 또는 HBr), 유기산(예를 들어, 포름산, 아세트산 또는 시트르산), 또는 유기 불소 화합물(예를 들어, 트리플루오로아세트산)을 포함하는 산성 현상제 (예를 들어, 유기 용매 내 수성 산성 현상제 또는 산 현상제)의 사용; 또는 케톤(예: 2-헵타논, 사이클로헥사논 또는 아세톤), 에스테르(예: γ-부티로락톤 또는 에틸 3-에톡시프로피오네이트(EEP)), 알코올(예: 이소프로필 알코올(예: IPA)), 또는 에테르, 예컨대 글리콜 에테르(예를 들어, 프로필렌 글리콜 메틸 에테르(PGME) 또는 프로필렌 글리콜 메틸 에테르 아세테이트(PGMEA)), 뿐만 아니라 이들의 조합과 같은 유기 현상제의 사용를 포함할 수 있다. 비제한적 조합는 물과 산성 현상액를 포함한다.
특정 구체예에서, 포지티브 톤 현상제는 수성 알칼리 현상제 (예를 들어, NH4OH, TMAH, TEAH, TPAH, 또는 TBAH를 포함하는)이다. 다른 구체예에서, 네거티브 톤 현상제는 수성 산성 현상제, 유기 용매 내 산성 현상제, 또는 유기 현상제 (예를 들어, HCl, HBr, 포름산, 트리플루오로아세트산, 2-헵타논, IPA, PGME, PGMEA, 또는 이들의 조합)이다.
본원의 현상제 중 임의의 것은 하나 이상의 계면활성제를 포함할 수 있다. 계면활성제는 양전하, 음전하 또는 중성 전하를 포함할 수 있으며 플루오르화 또는 비플루오르화 계면활성제로 구성된 그룹에서 선택될 수 있다. 비제한적 계면활성제는 4차 암모늄염, 퍼플루오로옥탄산 암모늄염, 퍼플루오로노난산 암모늄염, 플루오로계면활성제, 폴리옥시에틸렌 스테아릴에테르, 폴리옥시에틸렌 올레일 에테르, 폴리옥시에틸렌 옥틸페닐 에테르, 폴리옥시에틸렌 노닐페닐 에테르, 폴리에틸렌 글리콜 디라우레이트, 폴리에틸렌 글리콜 디스테아레이트, 알킬벤젠 술포네이트, 소듐 술포석시네이트, 및 나트륨 라우릴 설페이트를 포함한다.
습식 현상은 침지 현상, 퍼들 현상 및 스프레이 현상을 포함하는 임의의 유용한 공정을 포함할 수 있다. 이들 공정 중 임의의 공정 후 또는 동안에, 기판을 회전시켜 필름의 용해된 부분을 제거함과 동시에 필름을 건조시킬 수 있다.
현상 공정은 습식 및 건식 현상 공정을 모두 포함할 수 있다. 이러한 공정은 초기 습식 현상에 이어 이후의 건식 현상 또는 그 반대를 포함할 수 있다. 현상은 또한 복수의 습식 현상 공정이 사용되거나, 복수의 건식 현상 공정이 사용되거나, 복수의 습식 및 건식 현상 공정이 사용되는 주기로 발생할 수 있다.
도포-후 공정
본원의 방법은 아래에 설명된 바와 같이 임의의 유용한 도포-후 공정을 포함할 수 있다.
후면 및 베벨 세정 공정의 경우, 증기 및/또는 플라즈마는 웨이퍼의 전면에서 어떠한 필름 분해 없이 후면 및 베벨만 제거되도록 웨이퍼의 특정 영역으로 제한될 수 있다. 제거되는 건식 증착된 EUV 포토레지스트 필름은 일반적으로 Sn, O 및 C로 구성되지만 동일한 세정 방식을 다른 금속 산화물 레지스트 및 재료의 필름으로 확장할 수 있다. 또한 이 접근 방식은 필름 스트립 및 PR 재작업에도 사용할 수 있다.
건식 베벨 에지 및 후면 세정에 적합한 공정 조건은 포토레지스트 필름 및 캡핑층과 이들의 조성 및 특성에 따라 100-500sccm의 반응물 흐름(예를 들어, 500sccm HCl, HBr 또는 H2 및 Cl2 또는 Br2, BCl3 또는 H2), 온도 -10- 120℃(예를 들어, 20℃), 20-500mTorr의 압력(예를 들어, 300mTorr), 고주파(예를 들어, 13.56MHz)에서 0-500W의 플라즈마 전력, 약 10-20초의 시간 동안이다. 이러한 조건은 일부 가공 반응기, 예를 들어 Lam Research Corporation, Fremont, CA에서 입수할 수 있는 Kiyo 에칭 툴에 적합하지만, 처리 반응기의 능력에 따라 더 넓은 범위의 처리 조건이 사용될 수 있음을 이해해야 한다.
포토리소그래피 공정은 일반적으로 포토레지스트의 노출 영역과 비노출 영역 사이의 화학적 콘트라스트를 생성하는 데 필요한 화학 반응을 촉진하기 위해 하나 이상의 베이킹 단계를 포함한다. 대량 제조(HVM)의 경우 이러한 베이크 단계는 일반적으로 웨이퍼가 대기 또는 경우에 따라 N2 흐름 하에서 미리 설정된 온도로 핫플레이트에서 베이크되는 트랙에서 수행된다. 베이크 환경을 보다 신중하게 제어하고 이러한 베이크 단계 동안 주변에 추가 반응 가스 성분을 도입하면 선량 요구 사항을 추가로 줄이고 패턴 충실도를 개선하는 데 도움이 될 수 있다.
본 개시의 다양한 양상에 따르면, 증착(예를 들어, 도포-후 베이크(PAB)) 및/또는 노출(예를 들어, 노출-후 베이크) 및/또는 현상(예: 현상 후 베이크(PDB)) 후에 금속 및/또는 금속 산화물계 포토레지스트에 대한 하나 이상의 후처리 (PEB))은 노출된 포토레지스트와 비노출된 포토레지스트 사이의 재료 특성 차이를 증가시킬 수 있으므로 크기에 대한 선량(DtS)을 감소시키고 PR 프로필을 개선하며 라인 에지 및 후속 건식 현상 후 폭 거칠기(LER/LWR)를 개선할 수 있다. 이러한 가공은 온도, 가스 환경 및 수분 제어를 동반한 열 처리를 포함할 수 있으므로 후속 가공에서 건식 현상 성능 향상이 유발된다. 경우에 따라 원격 플라즈마가 사용될 수 있다.
도포-후 가공(예를 들어, PAB)의 경우, 온도, 가스 환경 (예를 들어, 공기, H2O, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, Ar, He, 또는 이들 혼합물) 또는 진공 상태에서 및 수분 제어와 함께 열적 가공을, 증착 후 및 노출 전에 비노출된 금속 및/또는 금속 산화물 포토레지스트의 조성을 변경하기 위해 사용할 수 있다. 이러한 변화는 재료의 EUV 감도를 증가시킬 수 있으므로 노출 및 건식 현상 후에 크기 및 가장자리 거칠기에 대한 더 낮은 선량을 달성할 수 있다.
노출-후 가공 (예를 들어, 인큐베이팅 또는 PEB)의 경우, 온도, 가스 환경 (예를 들어, 공기, H2O, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, Ar, He, 또는 이들 혼합물) 또는 진공 상태에서 및 수분 제어와 함께 열적 가공을, 비노출된 및 노출된 포토레지스트 둘 다의 조성을 변경하기 위해 사용할 수 있다. 이러한 변화는 비노출된 포토레지스트와 노출된 포토레지스트 사이의 조성/재료 특성 차이 및 비노출된 포토레지스트와 노출된 포토레지스트 사이의 건식 현상 에칭 가스의 에칭 속도 차이를 증가시킬 수 있다. 따라서 더 높은 에칭 선택도가 달성될 수 있다. 개선된 선택성으로 인해 표면 거칠기가 개선되고/되거나 포토레지스트 잔류물/찌꺼기가 더 적은 더욱 정사각형인 PR 프로파일을 얻을 수 있다. 특정 구체예에서, PEB는 공기 중에서 선택적으로 수분 및 CO2의 존재 하에 수행될 수 있다.
현상-후 가공 (예를 들어, 현상-후 베이크 또는 PDB)의 경우, 온도, 가스 환경 (예를 들어, 공기, H2O, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, Ar, He, 또는 이들 혼합물) 또는 진공 상태에서 (예를 들어, UV와 함께) 및 수분 제어와 함께 열적 가공을, 비노출된 및 노출된 포토레지스트 둘 다의 조성을 변경하기 위해 사용할 수 있다. 특정 구체예에서, 조건은 또한 플라즈마(예를 들어, O2, O3, Ar, He 또는 이들의 혼합물 포함)의 사용을 포함한다. 이러한 변화는 재료의 경도를 증가시킬 수 있으며, 이는 하부 기판을 에칭할 때 필름이 레지스트 마스크로 사용될 경우 유리할 수 있다.
이러한 경우에, 대안적인 구현예에서, 반응에 대한 에너지 장벽을 낮추고 생산성을 증가시키기 위해 반응 종을 증가시키기 위해 열적 공정을 원격 플라즈마 공정으로 대체할 수 있다. 원격 플라즈마는 더 많은 반응성 라디칼을 생성할 수 있으므로 처리를 위한 반응 온도/시간을 낮추어 생산성을 높일 수 있다.
따라서, 건식 현상 선택성을 증가시키기 위해 포토레지스트 자체를 변형하기 위해 하나 이상의 공정이 적용될 수 있다. 이러한 열 또는 라디칼 변형은 비노출된 재료 및 노출된 재료 사이의 콘트라스트를 증가시킬 수 있으므로 후속 건식 현상 단계의 선택성을 증가시킬 수 있다. 비노출된 재료 및 노출된 재료의 재료 특성 간의 결과적인 차이는 온도, 가스 흐름, 수분, 압력 및/또는 RF 전력을 포함한 공정 조건을 조정하여 조정할 수 있다. 습식 현상액의 재료 용해도에 의해 제한되지 않는, 건식 현상으로 가능해진 넓은 공정 관용도는 보다 공격적인 조건을 적용할 수 있게 하여 달성할 수 있는 재료 콘트라스트를 더욱 향상시킨다. 그 결과 높은 재료 콘트라스트는 건식 현상을 위한 더 넓은 공정 윈도우을 피드백하여 생산성 증가, 비용 절감 및 결함 성능 향상을 가능하게 한다.
습식 현상된 레지스트 필름의 실질적인 제한은 제한된 온도 베이크이다. 습식 현상은 재료 용해도에 의존하기 때문에, 예를 들어 220°C 이상으로 가열하면 금속 함유 PR 필름의 노출 영역과 비노출된 영역 모두에서 가교 정도가 크게 증가하여 둘 다 습식 현상에서 불용성이 되어 필름은 더 이상 안정적으로 습식 현상할 수 없다. 건식 현상된 레지스트 필름의 경우, PR의 노출된 영역과 비노출된 영역 사이의 에칭 속도 차이(즉, 선택도)가 레지스트의 노출된 부분 또는 비노출된 부분의 제거에 의존하는 경우, PAB, PEB, 또는 PDB의 처리 온도는 처리 공정을 조정하고 최적화하기 위해 훨씬 더 넓은 윈도우에서 변경할 수 있어서, PAB에 대해 예를 들어 약 90-250°C 가령 90-190°C, PEB 및/또는 PDB에 대해 약 170-250°C 이상, 가령 190-240°C이다. 감소된 에칭 속도 및 더 큰 에칭 선택성은 언급된 범위에서 더 높은 처리 온도에서 발생하는 것으로 밝혀졌다.
특정 구체예에서, PAB, PEB, 및/또는 PDB 처리는 100-10000sccm 범위의 가스 주변 흐름, 몇 퍼센트에서 최대 100%(예를 들어, 20 -50%) 수분 함량, 대기압과 진공 사이의 압력, 및 약 1-15분, 예를 들어 약 2분의 지속 시간 동안 수행된다.
이러한 발견은 처리 조건을 조정하여 특정 재료 및 환경에 대한 가공을 조정하거나 최적화하는 데 사용될 수 있다. 예를 들어, 공기 중에서 약 20% 습도에서 약 2분 동안 220-250°C PEB 열처리로 주어진 EUV 선량에 대해 달성된 선택도는 그러한 열 처리 없이 약 30% 더 높은 EUV 선량에 대한 선택도와 유사하게 만들어질 수 있다. 따라서, 반도체 가공 작업의 선택성 요구사항/제약에 따라, 가령 본원에 기술된 열처리가 필요한 EUV 선량을 낮추기 위해 사용될 수 있다. 또는 더 높은 선택성이 필요하고 더 높은 선량이 허용될 수 있는 경우, 습식 현상에서 가능한 것보다 최대 100배 노출된 vs. 비노출된의 훨씬 더 높은 선택성을 얻을 수 있다.
또 다른 단계는 물리적 및 구조적 특성(예를 들어, 임계 치수, 필름 두께 등)이 포토리소그래피 공정 동안 평가될 수 있는 현장 계측을 포함할 수 있다. 현장 계측을 구현하기 위한 모듈은 예를 들어 산란계측법, 엘립소메트리, 다운스트림 질량 분광법 및/또는 플라즈마 강화 다운스트림 광학 방출 분광법 모듈을 포함한다.
장치
본발명은 또한 본원에 기술된 임의의 방법을 수행하도록 구성된 임의의 장치를 포함한다. 한 구체예에서, 필름을 증착하기 위한 장치는 도펀트 전구체의 존재하에 유기금속 전구체를 제공함으로써 EUV-민감성 재료를 필름으로 증착하기 위한 챔버를 포함하는 증착 모듈; 30 nm 미만의 파장 방사선 소스를 갖는 EUV 포토리소그래피 툴을 포함하는 패터닝 모듈; 및 필름을 현상하기 위한 챔버를 포함하는 현상 모듈;을 포함한다.
장치는 그러한 모듈에 대한 명령을 갖는 컨트롤러를 더 포함할 수 있다. 한 구체예에서, 컨트롤러는 하나 이상의 메모리 장치, 하나 이상의 프로세서, 및 필름 또는 캡핑층의 증착을 수행하기 위한 명령으로 코딩된 시스템 제어 소프트웨어를 포함한다. 그러한 명령은 증착 모듈에서, 기판 또는 포토레지스트 층의 상부 표면 상에 도핑된 필름을 증착하기 위한 것; 패터닝 모듈에서 EUV 노출에 의해 직접 30 nm 미만의 해상도로 필름을 패터닝하여 필름 내에 패턴을 형성하기 위한 것; 현상 모듈에서, 필름을 현상하기 위한 것;을 포함할 수 있다. 특정 구체예에서, 현상 모듈은 EUV 노출 또는 EUV 비노출 영역의 제거를 제공하여 필름 내에서 패턴을 제공한다.
도 4는 설명된 건식 스트리핑 및 현상 구체예의 구현에 적합한 저압 환경을 유지하기 위한 공정 챔버 본체(402)를 갖는 공정 스테이션(400)의 구체예의 개략도를 도시한다. 복수의 공정 스테이션(400)이 공통 저압 공정 툴 환경에 포함될 수 있다. 예를 들어, 도 5는 Lam Research Corporation, Fremont, CA로부터 입수가능한 VECTOR® 가공 툴와 같은 다중 스테이션 가공 툴(500)의 구체예를 도시한다. 일부 구체예에서, 이하에서 상세히 논의되는 것을 포함하는 공정 스테이션(400)의 하나 이상의 하드웨어 파라미터는 하나 이상의 컴퓨터 컨트롤러(450)에 의해 프로그래밍 방식으로 조정될 수 있다.
공정 스테이션은 클러스터 툴의 모듈로 구성될 수 있다. 도 7은 본원에 기술된 구체예의 구현에 적합한 진공 집적 증착 및 패터닝 모듈을 갖는 반도체 공정 클러스터 툴 아키텍처를 도시한다. 이러한 클러스터 공정 툴 아키텍처는 도 6도 7을 참조하여 본원에서 설명된 바와 같이 레지스트 증착, 레지스트 노출(EUV 스캐너), 레지스트 건식 현상 및 에칭 모듈을 포함할 수 있다.
일부 구체예에서, 특정 가공 기능, 예를 들어 건식 현상 및 에칭이 동일한 모듈에서 연속적으로 수행될 수 있다. 그리고 본 개시의 구체예는 에칭될 층 또는 층 스택 상에 배치된 광패턴화된 EUV 레지스트 박막 층을 포함하는 웨이퍼를 EUV 스캐너에서의 광패터닝 후에 건식 현상/에칭 챔버로 수용하고; 이후 EUV 레지스트 박막층을 건식 광패터닝하고; 이후 본원에 기재된 바와 같이 패터닝된 EUV 레지스트를 마스크로 사용하여 하부층을 에칭하기 위한 방법 및 장치에 관한 것이다.
도 4에서, 공정 스테이션(400)은 연결부(405)에 의해 공정 가스를 분배 샤워헤드(406)로 전달하기 위해 반응물 전달 시스템(401a)과 유체 소통한다. 반응물 전달 시스템(401a)은 샤워헤드(406)로 전달하기 위해 공정 가스를 블렌딩 및/또는 컨디셔닝하기 위한 혼합 용기(404)를 선택적으로 포함한다. 하나 이상의 혼합 용기 유입 밸브(420)는 혼합 용기(404)로의 공정 가스의 도입을 제어할 수 있다. 플라즈마 노출이 사용되는 경우, 플라즈마는 샤워헤드(406)로 전달되거나 공정 스테이션(400)에서 생성될 수도 있다. 공정 가스는, 예를 들어, 본원에서 기술된 임의의 것, 가령 유기금속 전구체, 도펀트 전구체, 또는 반대-반응물을 포함할 수 있다.
도 4는 혼합 용기(404)에 공급될 액체 반응물을 기화시키기 위한 선택적인 기화점(403)을 포함한다. 액체 반응물은 유기금속 전구체, 도펀트 전구체 또는 반대 반응물을 포함할 수 있다. 일부 구체예에서, 기화 및 공정 스테이션(400)으로의 전달을 위한 액체의 질량 흐름을 제어하기 위한, 증발 지점(403) 상류에 액체 흐름 컨트롤러 (LFC)가 제공될 수 있다. 예를 들어, LFC는 열 질량 흐름 미터(MFM)를 포함할 수 있다. LFC의 플런저 밸브는 MFM과 전기 통신하는 PID(Proportional-Integral-Derivative) 컨트롤러가 제공하는 피드백 제어 신호에 응답하여 조정될 수 있다.
샤워헤드(406)는 기판(412)을 향해 공정 가스를 분배한다. 도 4에 나타낸 구체예에서, 기판(412)은 샤워헤드(406) 아래에 위치하고 받침대(408) 위에 놓여 있는 것으로 도시되어 있다. 샤워헤드(406)는 임의의 적합한 형상을 가질 수 있고 기판(412)에 공정 가스를 분배하기 위한 포트의 임의의 적절한 수 및 배열을 가질 수 있다.
일부 구체예에서, 받침대(408)는 기판(412)과 샤워헤드(406) 사이의 부피에 기판(412)을 노출시키기 위해 상승 또는 하강될 수 있다. 일부 구체예에서 받침대 높이는 적절한 컴퓨터 컨트롤러(450)에 의해 프로그래밍 방식으로 조정될 수 있음을 이해할 것이다.
일부 구체예에서, 받침대(408)는 히터(410)를 통해 온도 제어될 수 있다. 일부 구체예에서, 받침대(408)는 개시된 구체예에 기재된 바와 같이 HBr, HCl, 또는 BCl3와 같은 건식 현상 화학에 포토패터닝된 레지스트의 비플라즈마 열 노출 동안, 0℃ 초과 300℃ 이상, 예를 들어 50-120℃가령 약 65-80°C의 온도로 가열될 수 있다.
또한, 일부 구체예에서 공정 스테이션(400)에 대한 압력 제어는 버터플라이 밸브(418)에 의해 제공될 수 있다. 도 4의 구체예에 나타낸 바와 같이, 버터플라이 밸브(418)는 하류 진공 펌프(미도시)에 의해 제공되는 진공을 스로틀링한다. 그러나, 일부 구체예에서, 공정 스테이션(400)의 압력 제어는 공정 스테이션(400)에 도입되는 하나 이상의 가스의 유량을 변경함으로써 조정될 수도 있다.
일부 구체예에서, 샤워헤드(406)의 위치는 기판(412)과 샤워헤드(406) 사이의 부피를 변화시키기 위해 받침대(408)에 대해 조정될 수 있다. 또한, 받침대(408) 및/또는 샤워헤드(406)의 수직 위치는 본 발명의 범위 내에서 임의의 적합한 메커니즘에 의해 변경될 수 있음을 이해할 것이다. 일부 구체예에서, 받침대(408)는 기판(412)의 배향을 회전시키기 위한 회전축을 포함할 수 있다. 일부 구체예에서, 이러한 예시적인 조정 중 하나 이상이 하나 이상의 적절한 컴퓨터 컨트롤러(450)에 의해 프로그래밍 방식으로 수행될 수 있음을 이해할 것이다.
예를 들어, 플라즈마 기반 건식 현상 구체예 및/또는 동일한 챔버에서 수행되는 에칭 작업에서 플라즈마가 사용될 수 있는 경우, 샤워헤드(406) 및 받침대 (408)는 무선 주파수(RF) 전원(414) 및 매칭 네트워크와 전기적으로 통신한다. 일부 구체예에서, 플라즈마 에너지는 공정 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수 있다. 예를 들어, RF 전력 공급 장치(414) 및 매칭 네트워크(416)는 라디칼 종의 원하는 조성을 갖는 플라즈마를 형성하기 위해 임의의 적합한 전력으로 작동될 수 있다. 적합한 전력의 예는 최대 약 500W이다.
일부 구체예에서, 컨트롤러(450)에 대한 명령은 입력/출력 제어(IOC) 시퀀싱 명령을 통해 제공될 수 있다. 하나의 예에서, 공정 단계에 대한 조건을 설정하기 위한 명령은 공정 레시피의 해당 레시피 단계에 포함될 수 있다. 경우에 따라 공정 레시피 단계가 순차적으로 배열되어 공정 단계에 대한 모든 명령이 해당 공정 단계와 동시에 실행될 수 있다. 일부 구체예에서, 하나 이상의 반응기 파라미터를 설정하기 위한 명령이 레시피 단계에 포함될 수 있다. 예를 들어, 레시피 단계는 HBr 또는 HCl과 같은 건식 현상 화학 반응 가스의 유량을 설정하기 위한 명령 및 레시피 단계에 대한 시간 지연 명령을 포함할 수 있다. 일부 구체예에서, 컨트롤러(450)는 도 5의 시스템 컨트롤러(550)와 관련하여 아래에 설명된 피쳐 중 임의의 것을 포함할 수 있다.
전술한 바와 같이, 하나 이상의 공정 스테이션이 다중 스테이션 가공 툴에 포함될 수 있다. 도 5는 인바운드 로드 록(502) 및 아웃바운드 로드 록(504) 중 하나 또는 둘 다 원격 플라즈마 소스를 포함할 수 있는 다중 스테이션 가공 툴(500)의 구체예의 개략도를 도시한다. 대기압에서 로봇(506)은 포드(508)를 통해 로드된 카세트로부터 대기 포트(510)를 통해 인바운드 로드 록(502)으로 웨이퍼를 이동시키도록 구성된다. 인바운드 로드 록(502)의 받침대(512) 상에 로봇(506)에 의해 웨이퍼가 배치되고, 대기 포트(510)가 폐쇄되고, 대기 포트(510)가 폐쇄되고 로드 록이 펌핑 다운된다. 인바운드 로드 록(502)이 원격 플라즈마 소스를 포함하는 경우, 웨이퍼는 가공 챔버(514)로 도입되기 전에 로드 록에서 실리콘 질화물 표면을 처리하기 위해 원격 플라즈마 처리에 노출될 수 있다. 또한, 웨이퍼는 예를 들어 수분 및 흡착된 가스를 제거하기 위해 인바운드 로드 록(502)에서 가열될 수 있다. 다음, 가공 챔버(514)로의 챔버 수송 포트(516)가 개방되고, 또 다른 로봇(미도시)이 처리를 위해 반응기 내에 도시된 제1 스테이션의 받침대 상의 반응기 내로 웨이퍼를 위치시킨다. 도 5에 도시된 구체예는 로드 록을 포함하지만, 일부 구체예에서 공정 스테이션으로의 웨이퍼의 직접 진입이 제공될 수 있음을 이해할 것이다.
도시된 가공 챔버(514)는 도 5에 도시된 구체예에서 1부터 4까지 번호가 매겨진 4개의 처리 스테이션을 포함한다. 각 스테이션에는 가열 받침대(스테이션 1의 경우 518로 표시됨)와 가스 라인 주입구가 있다. 일부 구체예에서 각각의 공정 스테이션은 상이하거나 다수의 목적을 가질 수 있음을 이해할 것이다. 예를 들어, 일부 구체예에서, 공정 스테이션은 건식 현상 모드와 에칭 공정 모드 사이에서 전환 가능할 수 있다. 추가로 또는 대안적으로, 일부 구체예에서, 가공 챔버(514)는 건식 현상 및 에칭 처리 스테이션의 하나 이상의 매칭된 쌍을 포함할 수 있다. 도시된 가공 챔버(514)는 4개의 스테이션을 포함하지만, 본 발명에 따른 가공 챔버는 임의의 적절한 수의 스테이션을 가질 수 있음을 이해할 것이다. 예를 들어, 일부 구체예에서, 가공 챔버는 5개 이상의 스테이션을 가질 수 있는 반면, 다른 구체예에서는 가공 챔버가 3개 이하의 스테이션을 가질 수 있다.
도 5는 가공 챔버(514) 내에서 웨이퍼를 이송하기 위한 웨이퍼 핸들링 시스템(590)의 구체예를 도시한다. 일부 구체예에서, 웨이퍼 핸들링 시스템(590)은 다양한 공정 스테이션 사이 및/또는 공정 스테이션과 로드 록 사이에서 웨이퍼를 전달할 수 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 사용될 수 있음을 이해할 것이다. 비제한적인 예는 웨이퍼 캐러셀 및 웨이퍼 핸들링 로봇을 포함한다. 도 5는 또한 공정 툴(500)의 공정 조건 및 하드웨어 상태를 제어하기 위해 사용되는 시스템 컨트롤러(550)의 구체예를 도시한다. 시스템 컨트롤러(550)는 하나 이상의 메모리 장치(556), 하나 이상의 대용량 저장 장치(554) 및 하나 이상의 프로세서(552)를 포함할 수 있다. 프로세서(552)는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 연결, 스테퍼 모터 컨트롤러 보드 등을 포함할 수 있다.
일부 구체예에서, 시스템 컨트롤러(550)는 공정 툴(500)의 모든 활동을 제어한다. 시스템 컨트롤러(550)는 대용량 저장 장치(554)에 저장되고 메모리 장치(556)에 로드되고 프로세서(552)에서 실행되는 시스템 제어 소프트웨어(558)를 실행한다. 대안적으로, 제어 로직은 컨트롤러(550)에서 하드 코딩될 수 있다. 애플리케이션 특정 집적 회로, 프로그래밍 가능 논리 장치(예를 들어, 현장 프로그래밍 가능 게이트 어레이 또는 FPGA) 등이 이러한 목적을 위해 사용될 수 있다. 다음 논의에서 "소프트웨어" 또는 "코드"가 사용되는 곳마다 기능적으로 비교 가능한 하드 코딩된 로직이 대신 사용될 수 있다. 시스템 제어 소프트웨어(558)는 타이밍, 가스의 혼합, 가스 유량, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타겟 전력 레벨, RF 전력 레벨, 기판 받침대, 척 및 /또는 서셉터 위치, 및 공정 툴(500)에 의해 수행되는 특정 공정의 다른 파라미터의 제어를 위한 명령을 포함할 수 있다. 시스템 제어 소프트웨어(558)는 임의의 적절한 방식으로 구성될 수 있다. 예를 들어, 다양한 공정 툴 구성요소 서브루틴 또는 제어 객체는 다양한 공정 툴 공정을 수행하는 데 사용되는 공정 툴 구성요소의 작업을 제어하기 위해 기록될 수 있다. 시스템 제어 소프트웨어(558)는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수 있다.
일부 구체예에서, 시스템 제어 소프트웨어(558)는 전술한 다양한 파라미터를 제어하기 위한 입력/출력 제어(IOC) 시퀀싱 명령을 포함할 수 있다. 시스템 컨트롤러(550)와 연관된 대용량 저장 장치(554) 및/또는 메모리 장치(556)에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램이 일부 구체예에서 사용될 수 있다. 이러한 목적을 위한 프로그램 또는 프로그램의 섹션의 예는 기판 포지셔닝 프로그램, 공정 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 받침대(518) 상에 기판을 로딩하고 기판과 공정 툴(500)의 다른 부분 사이의 간격을 제어하기 위해 사용되는 공정 툴 구성요소에 대한 프로그램 코드를 포함할 수 있다.
공정 가스 제어 프로그램은 다양한 가스 조성(예를 들어, 본원에 기재된 바와 같은 HBr 또는 HCl 가스) 및 유속을 제어하고, 선택적으로 공정 스테이션에서 압력을 안정화하기 위해 증착 전에 가스를 하나 이상의 공정 스테이션으로 흐르게 하기 위한 코드를 포함할 수 있다. 압력 제어 프로그램은 예를 들어 공정 스테이션의 배기 시스템에 있는 스로틀 밸브, 공정 스테이션으로의 가스 흐름 등을 조절하여 공정 스테이션의 압력을 제어하기 위한 코드를 포함할 수 있다.
히터 제어 프로그램은 기판을 가열하는데 사용되는 가열 유닛에 대한 전류를 제어하기 위한 코드를 포함할 수 있다. 대안적으로, 히터 제어 프로그램은 기판으로의 열전달 가스(헬륨과 같은)의 전달을 제어할 수 있다.
플라즈마 제어 프로그램은 본 명세서의 구체예에 따라 하나 이상의 공정 스테이션에서 공정 전극에 적용되는 RF 전력 레벨을 설정하기 위한 코드를 포함할 수 있다.
압력 제어 프로그램은 본 명세서의 구체예에 따라 반응 챔버의 압력을 유지하기 위한 코드를 포함할 수 있다.
일부 구체예에서, 시스템 컨트롤러(550)와 연관된 사용자 인터페이스가 있을 수 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 공정 조건의 그래픽 소프트웨어 디스플레이, 포인팅 장치, 키보드, 터치 스크린, 마이크 등와 같은 사용자 입력 장치를 포함할 수 있다.
일부 구체예에서, 시스템 컨트롤러(550)에 의해 조정된 파라미터는 공정 조건과 관련될 수 있다. 비제한적 예시는 공정 가스 조성 및 유량, 온도, 압력, 플라즈마 조건(예: RF 바이어스 전력 수준) 등을 포함한다. 이러한 파라미터는 레시피의 형태로 사용자에게 제공될 수 있으며, 이는 사용자 인터페이스를 이용하여 입력될 수 있다.
공정을 모니터링하기 위한 신호는 다양한 공정 툴 센서로부터 시스템 컨트롤러(550)의 아날로그 및/또는 디지털 입력 연결부에 의해 제공될 수 있다. 공정을 제어하기 위한 신호는 공정 툴(500)의 아날로그 및 디지털 출력 연결부에서 출력될 수 있다. 모니터링할 수 있는 공정 툴 센서의 비제한적인 예는 질량 흐름 컨트롤러, 압력 센서(예: 압력계), 열전쌍 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘은 공정 조건을 유지하기 위해 이러한 센서의 데이터와 함께 사용될 수 있다.
시스템 컨트롤러(550)는 전술한 증착 공정을 구현하기 위한 프로그램 명령을 제공할 수 있다. 프로그램 명령은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도 등과 같은 다양한 공정 파라미터를 제어할 수 있다. 명령은 여기에 설명된 다양한 구체예에 따라 건식 현상 및/또는 에칭 공정을 작동하기 위해 파라미터를 제어할 수 있다.
시스템 컨트롤러(550)는 개시된 구체예에 따른 방법을 장치가 수행하도록 명령을 실행하도록 구성된 하나 이상의 메모리 장치 및 하나 이상의 프로세서를 일반적으로 포함할 것이다. 개시된 구체예에 따른 공정 작업을 제어하기 위한 명령을 포함하는 기계-판독가능 매체가 시스템 컨트롤러(550)에 결합될 수 있다.
일부 구현예에서, 시스템 컨트롤러(550)는 전술한 예의 일부일 수 있는 시스템의 일부이다. 그러한 시스템은 가공 툴 또는 툴들, 챔버 또는 챔버들, 가공을 위한 플랫폼 또는 플랫폼들, 및/또는 특정 가공 구성요소(웨이퍼 받침대, 가스 유동 시스템 등)를 포함하는 반도체 가공 장비를 포함할 수 있다. 이들 시스템은 반도체 웨이퍼 또는 기판의 가공 전, 도중 및 후에 작업을 제어하기 위해 전자 장치와 통합될 수 있다. 전자 장치는 시스템 또는 시스템의 다양한 구성 요소 또는 하위 부품을 제어할 수 있는 "컨트롤러"라고 할 수 있다. 가공 조건 및/또는 시스템 유형에 따라 시스템 컨트롤러(550)는 가공 가스의 전달, 온도 설정(예를 들어, 가열 및/또는 냉각), 압력설정, 진공 설정, 전원 설정, 무선 주파수(RF) 발생기 설정, RF 매칭 회로 설정, 주파수 설정, 유속 설정, 유체 전달 설정, 위치 및 작업 설정, 툴 및 특정 시스템에 연결되거나 인터페이스되는 기타 전송 툴 및 /또는 로드 잠금 안팎으로의 웨이퍼 전송을 포함하는, 본원에 개시된 임의의 공정을 제어하도록 프로그래밍될 수 있다.
넓게 말해서, 시스템 컨트롤러(550)는 명령, 발행 명령, 제어 작업, 청소 작업 가능, 끝점 측정 가능 등을 수신하는 다양한 집적 회로, 로직, 메모리 및/또는 소프트웨어를 갖는 전자 장치로 정의될 수 있다. 집적 회로는 프로그램 명령을 저장하는 펌웨어 형태의 칩, DSP(digital signal processor), ASIC(application specific integrated circuit)로 정의된 칩, 및/또는 프로그램 명령을 실행하는 하나 이상의 마이크로프로세서 또는 마이크로컨트롤러(예:, 소프트웨어)를 포함할 수 있다. 프로그램 명령은 다양한 개별 설정(또는 프로그램 파일)의 형태로 시스템 컨트롤러(550)에 전달되는 명령일 수 있으며, 반도체 웨이퍼에 대해 또는 시스템에 대해 특정 공정을 수행하기 위한 작업 파라미터를 정의한다. 작업 파라미터는 일부 구체예에서 하나 이상의 층, 재료, 금속, 산화물, 실리콘, 이산화규소, 표면, 회로 및 /또는 웨이퍼의 다이의 제조 동안 하나 이상의 공정 단계를 달성하기 위해 공정 엔지니어에 의해 정의된 레시피의 일부일 수 있다.
시스템 컨트롤러(550)는, 일부 구현예에서, 시스템과 통합되거나, 시스템에 결합되거나, 그렇지 않으면 시스템에 네트워크로 연결된 컴퓨터 또는 이들의 조합의 일부이거나 컴퓨터에 결합될 수 있다. 예를 들어, 시스템 컨트롤러(550)는 "클라우드" 또는 팹 호스트 컴퓨터 시스템의 전체 또는 일부에 있을 수 있으며, 이는 웨이퍼 가공의 원격 액세스를 허용할 수 있다. 컴퓨터는 제조 작업의 현재 진행 상황을 모니터링하고, 과거 제조 작업의 이력을 검사하고, 복수의 제조 작업의 추세 또는 성능 메트릭스를 조사하여, 현재 가공의 파라미터를 변경하고, 현재 가공을 따르는 가공 단계를 설정하고, 새로운 공정을 시작하도록 시스템에 대한 원격 액세스를 활성화할 수 있다. 일부 예에서, 원격 컴퓨터(예: 서버)는 로컬 네트워크 또는 인터넷을 포함할 수 있는 네트워크를 통해 시스템에 공정 레시피를 제공할 수 있다. 원격 컴퓨터는 파라미터 및/또는 설정의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수 있으며, 이는 원격 컴퓨터에서 시스템으로 전달된다. 일부 예에서, 시스템 컨트롤러(550)는 하나 이상의 작업 중에 수행될 각각의 가공 단계에 대한 파라미터를 지정하는 데이터 형태의 명령을 수신한다. 파라미터는 수행될 공정의 유형 및 툴의 유형에 특이적이어서 시스템 컨트롤러(550)가 인터페이스하거나 제어하도록 구성됨을 이해해야 한다. 따라서, 전술한 바와 같이, 시스템 컨트롤러(550)는 예를 들어 함께 네트워킹되고 본원에 기술된 공정 및 제어와 같은 공통 목적을 향해 작동하는 하나 이상의 개별 컨트롤러를 포함함으로써 분포될 수 있다. 이러한 목적을 위한 분포된 컨트롤러의 예는 챔버 상에서 공정을 제어하기 위해 결합하는 원격 위치(예: 플랫폼 수준 또는 원격 컴퓨터의 일부)에 있는 하나 이상의 집적 회로와 통신하는 챔버 상 하나 이상의 집적 회로이다.
제한 없이, 예시적인 시스템은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 클린 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, 물리 기상 증착(PVD) 챔버 또는 모듈, 화학 기상 증착(CVD) 챔버 또는 모듈, ALD 챔버 또는 모듈, 원자층 에칭 (ALE) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 챔버 또는 모듈, EUV 리소그래피 챔버(스캐너) 또는 모듈, 건식 현상 챔버 또는 모듈, 반도체 웨이퍼의 제조 및/또는 제조에 관련되거나 사용될 수 있는 임의의 기타 반도체 가공 시스템을 포함할 수 있다.
전술한 바와 같이, 툴에 의해 수행될 공정 단계 또는 단계들에 따라, 시스템 컨트롤러(550)는 하나 이상의 다른 툴 회로 또는 모듈, 다른 툴 구성 요소, 클러스터 툴, 다른 툴 인터페이스, 인접한 툴, 인접 툴, 공장 전체에 위치한 툴, 주 컴퓨터, 다른 컨트롤러 또는 반도체 제조 공장의 툴 위치 및/또는 로드 포트에서 웨이퍼 컨테이너를 가져오는 재료 운송에 사용되는 툴과 교신할 수 있다.
특정 구체예에서 일부 구체예의 구현에 적합한 에칭 작업에 적합할 수 있는 유도 결합 플라즈마(ICP) 반응기가 이제 설명된다. ICP 반응기가 여기에서 설명되지만, 일부 구체예에서, 용량 결합 플라즈마 반응기가 또한 사용될 수 있음을 이해해야 한다.
도 6은 건식 현상 및/또는 에칭과 같은 특정 구체예 또는 구체예의 양상을 구현하기에 적합한 유도 결합 플라즈마 장치(600)의 단면도를 개략적으로 도시하며, 그 예는 Fremont, CA의 Lam Research Corp.에 의해 제조된 Kiyo® 반응기이다. 다른 구체예에서, 본원에 기술된 건식 현상 및/또는 에칭 공정을 수행하는 기능을 갖는 다른 툴 또는 툴 유형이 구현을 위해 사용될 수 있다.
유도 결합 플라즈마 장치(600)는 챔버 벽(601) 및 윈도우(611)에 의해 구조적으로 정의된 전체 공정 챔버를 포함한다. 챔버 벽(601)은 스테인리스 스틸 또는 알루미늄으로 제조될 수 있다. 윈도우(611)는 석영 또는 다른 유전체 재료로 제조될 수 있다. 선택적인 내부 플라즈마 그리드(650)는 전체 공정 챔버를 상부 서브-챔버(602)와 하부 서브-챔버(603)로 분할한다. 대부분의 구체예에서, 플라즈마 그리드(650)는 제거될 수 있으며, 이에 따라 서브-챔버(602 및 603)로 이루어진 챔버 공간을 활용할 수 있다. 척(617)은 하부 내부 표면 근처의 하부 서브-챔버(603) 내에 위치된다. 척(617)은 에칭 및 증착 공정가 수행되는 반도체 웨이퍼(619)를 수용하고 보유하도록 구성된다. 척(617)은 존재할 때 웨이퍼(619)를 지지하기 위한 정전기 척일 수 있다. 일부 구체예에서, 에지 링(미도시)은 척(617)을 둘러싸고, 척(617) 위에 존재할 때 웨이퍼(619)의 상부 표면과 대략 평면인 상부 표면을 갖는다. 척(617)은 또한 척(617) 및 웨이퍼(619)를 척킹 또는 디척킹하기 위한 정전기 전극을 포함한다. 이러한 목적을 위해 필터 및 DC 클램프 전원(미도시)이 제공될 수 있다.
척(617)으로부터 웨이퍼(619)를 들어올리기 위한 다른 제어 시스템이 또한 제공될 수 있다. 척(617)은 RF 전원(623)을 사용하여 전기적으로 충전될 수 있다. RF 전원(623)은 연결부(627)을 통해 매칭 회로(621)에 연결된다. 매칭 회로(621)는 연결(625)을 통해 척(617)에 연결된다. 이런 식으로, RF 전원(623)은 척(617)에 연결된다. 다양한 구체예에서, 정전기 척의 바이어스 전력은 약 50V로 설정될 수 있거나 개시된 구체예에 따라 수행되는 공정에 따라 다른 바이어스 전력으로 설정될 수 있다. 예를 들어, 바이어스 전력은 약 20V와 약 100V 사이, 또는 약 30V와 약 150V 사이일 수 있다.
플라즈마 생성을 위한 요소는 윈도우(611) 위에 위치한 코일(633)을 포함한다. 일부 구체예에서, 코일은 개시된 구체예에서 사용되지 않는다. 코일(633)은 전기 전도성 재료로 제조되고 적어도 하나의 완전한 턴을 포함한다. 도 6에 도시된 코일(633)의 예는 3턴을 포함한다. 코일(633)의 단면은 기호로 도시되어 있고, "X"를 갖는 코일은 페이지 내로 회전 연장되는 반면, "●"를 갖는 코일은 페이지 밖으로 회전 연장된다. 플라즈마 생성을 위한 요소는 또한 코일(633)에 RF 전력을 공급하도록 구성된 RF 전력 공급기(641)를 포함한다. 일반적으로, RF 전원 (641)는 연결부(645)를 통해 매칭 회로(639)에 연결된다. 매칭 회로(639)는 연결부(643)를 통해 코일(633)에 연결된다. 이러한 방식으로, RF 전원(641)은 코일(633)에 연결된다. 선택적 패러데이 실드(649)는 코일(633)과 윈도우(611) 사이에 위치된다. 패러데이 실드(649)는 코일(633)에 대해 상대적으로 이격된 관계로 유지될 수 있다. 일부 구체예에서, 패러데이 실드(649)는 윈도우(611) 바로 위에 배치된다. 일부 구체예에서, 패러데이 실드는 윈도우(611)과 척(617) 사이에 있다. 일부 구체예에서, 패러데이 실드는 코일(633)에 대해 이격된 관계로 유지되지 않는다. 예를 들어, 패러데이 쉴드는 갭 없이 윈도우 바로 아래에 있을 수 있다. 코일(633), 패러데이 쉴드(649) 및 윈도우(611)는 서로 실질적으로 평행하도록 각각 구성된다. 패러데이 실드(649)는 공정 챔버의 윈도우(611) 상에 금속 또는 다른 종이 증착되는 것을 방지할 수 있다.
공정 가스는 상부 서브-챔버(602)에 위치된 하나 이상의 메인 가스 흐름 유입구(660) 및/또는 하나 이상의 측면 가스 흐름 유입구(670)를 통해 공정 챔버 내로 흐를 수 있다. 마찬가지로, 명시적으로 도시되지는 않았지만, 용량 결합 플라즈마 가공 챔버에 가공 가스를 공급하기 위해 유사한 가스 흐름 유입구가 사용될 수 있다. 진공 펌프, 예를 들어, 1단 또는 2단 기계식 건식 펌프 및/또는 터보분자 펌프(640)가 공정 챔버 밖으로 공정 가스를 인출하고 공정 챔버 내의 압력을 유지하기 위해 사용될 수 있다. 예를 들어, 진공 펌프는 ALD의 퍼지 작업 동안 하부 서브-챔버(603)를 배기하기 위해 사용될 수 있다. 밸브로 제어되는 도관은 진공 펌프에 의해 제공되는 진공 환경의 적용을 선택적으로 제어하기 위해 진공 펌프를 공정 챔버에 유체적으로 연결하는 데 사용될 수 있다. 이는 작업 플라즈마 가공 중에 스로틀 밸브(미도시) 또는 진자 밸브(미도시)와 같은 폐쇄 루프 제어 흐름 제한 장치를 사용하여 수행될 수 있다. 마찬가지로, 용량 결합 플라즈마 가공 챔버에 대한 진공 펌프 및 밸브 제어 유체 연결부도 사용될 수 있다.
장치(600)의 작업 중에 하나 이상의 공정 가스가 가스 흐름 주입구(660 및/또는 670)를 통해 공급될 수 있다. 특정 구체예에서, 주 가스 흐름 입구(660)를 통해서만 또는 측면 가스 흐름 입구(670)를 통해서만 공급될 수 있다. 일부 경우에, 도면에 도시된 가스 흐름 입구는 더 복잡한 가스 흐름 입구, 예를 들어 하나 이상의 샤워헤드로 대체될 수 있다. 패러데이 쉴드(649) 및/또는 선택적인 그리드(650)는 공정 챔버로 공정 가스의 전달을 허용하는 내부 채널 및 홀을 포함할 수 있다. 패러데이 실드(649) 및 선택적인 그리드(650) 중 하나 또는 둘 모두는 공정 가스의 전달을 위한 샤워헤드의 역할을 할 수 있다. 일부 구체예에서, 액체 기화 및 전달 시스템은 공정 챔버의 상류에 위치할 수 있어서, 액체 반응물 또는 전구체가 기화되면, 기화된 반응물 또는 전구체가 가스 흐름 유입구(660 및/또는 670)를 통해 공정 챔버 내로 도입된다.
무선 주파수 전력은 RF 전원(641)으로부터 코일(633)로 공급되어 RF 전류가 코일(633)을 통해 흐르게 한다. 코일(633)을 통해 흐르는 RF 전류는 코일(633) 주위에 전자기장을 생성한다. 전자기장은 상부 서브-챔버(602) 내에서 유도성 전류를 생성한다. 웨이퍼(619)와 생성된 다양한 이온 및 라디칼의 물리적 및 화학적 상호작용은 웨이퍼(619)의 피쳐를 에칭하고 웨이퍼(619) 상의 층을 선택적으로 증착한다.
상부 서브-챔버(602)와 하부 서브-챔버(603)가 모두 존재하도록 플라즈마 그리드(650)가 사용되는 경우, 유도 전류는 상부 서브-챔버(602)에 존재하는 가스에 작용하여 전자-이온 플라즈마를 생성한다. 선택적인 내부 플라즈마 그리드(650)는 하부 서브-챔버(603)에서 열전자 (hot electrons)의 양을 제한한다. 일부 구체예에서, 장치(600)는 하부 서브-챔버(603)에 존재하는 플라즈마가 이온-이온 플라즈마가 되도록 설계되고 작동된다.
상부 전자-이온 플라즈마와 하부 이온-이온 플라즈마 모두 양이온과 음이온을 함유할 수 있지만, 이온-이온 플라즈마는 양이온에 대한 음이온의 비율이 더 높을 것이다. 휘발성 에칭 및/또는 증착 부산물은 포트(622)를 통해 하부 서브-챔버(603)로부터 제거될 수 있다. 본원에 개시된 척(617)은 약 10-250℃ 범위의 상승된 온도에서 작업할 수 있다. 온도는 공정 작업 및 특정 레시피에 따라 달라진다.
장치(600)는 클린룸 또는 제조 시설에 설치될 때 시설(미도시)에 연결될 수 있다. 시설에는 가공 가스, 진공, 온도 제어 및 환경 입자 제어를 제공하는 배관이 포함된다. 이들 설비는 타겟 제조 설비에 설치될 때 장치(600)에 결합된다. 추가로, 장치(600)는 로보틱스가 전형적인 자동화를 사용하여 장치(600) 안팎으로 반도체 웨이퍼를 이송할 수 있도록 하는 이송 챔버에 결합될 수 있다.
일부 구체예에서, 시스템 컨트롤러(630)(하나 이상의 물리적 또는 논리적 컨트롤러를 포함할 수 있음)는 공정 챔버의 일부 또는 모든 작업을 제어한다. 시스템 컨트롤러(630)는 하나 이상의 메모리 장치 및 하나 이상의 프로세서를 포함할 수 있다. 일부 구체예에서, 장치(600)는 개시된 구체예가 수행될 때 유속 및 기간을 제어하기 위한 스위칭 시스템을 포함한다. 일부 구체예에서, 장치(600)는 최대 약 600ms 또는 최대 약 750ms의 스위칭 시간을 가질 수 있다. 전환 시간은 유동 화학, 선택한 레시피, 반응기 아키텍처 및 기타 요인에 따라 달라질 수 있다.
일부 구현예에서, 시스템 컨트롤러(630)는 시스템의 일부이며, 이는 위에서 설명된 예의 일부일 수 있다. 그러한 시스템은 가공 툴 또는 툴들, 챔버 또는 챔버들, 가공을 위한 플랫폼 또는 플랫폼들, 및/또는 특정 가공 구성요소(웨이퍼 받침대, 가스 유동 시스템 등)를 포함하는 반도체 가공 장비를 포함할 수 있다. 이들 시스템은 반도체 웨이퍼 또는 기판의 가공 전, 도중 및 후에 작업을 제어하기 위해 전자 장치와 통합될 수 있다. 전자 장치는 시스템 또는 시스템들의 다양한 구성 요소 또는 하위 부품을 제어할 수 있는 시스템 컨트롤러(630)에 통합될 수 있다. 가공 가스의 전달, 온도 설정(예: 가열 및/또는 냉각), 압력 설정, 진공 설정, 전원 설정, 무선 주파수(RF) 발생기 설정, RF 정합 회로 설정, 주파수 설정, 유속 설정, 유체 전달 설정, 위치 및 작업 설정, 툴 안팎으로의 웨이퍼 전송 및 기타 전송 툴 및/ 또는 특정 시스템에 연결되거나 인터페이스되는 로드 잠금을 포함하는 공정 파라미터 및/또는 시스템 유형에 따라 시스템 컨트롤러는 본원에 개시된 임의의 공정을 제어하도록 프로그래밍될 수 있다.
대체로 말해서, 시스템 컨트롤러(630)는 명령, 발행 명령, 제어 작업, 청소 작업 가능, 끝점 측정 가능 등을 수신하는 다양한 집적 회로, 로직, 메모리 및/또는 소프트웨어를 갖는 전자 장치로 정의될 수 있다. 집적 회로는 프로그램 명령을 저장하는 펌웨어 형태의 칩, DSP(digital signal processor), ASIC(application specific integrated circuit)로 정의된 칩, 및/또는 프로그램 명령을 실행하는 하나 이상의 마이크로프로세서 또는 마이크로컨트롤러(예:, 소프트웨어)를 포함할 수 있다. 프로그램 명령은 다양한 개별 설정(또는 프로그램 파일)의 형태로 컨트롤러에 전달되는 명령일 수 있으며, 반도체 웨이퍼에 대해 또는 시스템에 대해 특정 공정을 수행하기 위한 작업 파라미터를 정의한다. 작업 파라미터는 일부 구체예에서 하나 이상의 층, 재료, 금속, 산화물, 실리콘, 이산화규소, 표면, 회로, 및/또는 웨이퍼의 다이의 제조 또는 제거 동안 하나 이상의 가공 단계를 달성하기 위해 공정 엔지니어에 의해 정의된 레시피의 일부일 수 있다.
시스템 컨트롤러(630)는, 일부 구현예에서, 시스템과 통합되거나, 시스템에 결합되거나, 그렇지 않으면 시스템에 네트워크로 연결된 컴퓨터 또는 이들의 조합의 일부이거나 컴퓨터에 결합될 수 있다. 예를 들어, 컨트롤러는 "클라우드" 또는 팹 호스트 컴퓨터 시스템의 전체 또는 일부에 있을 수 있으며, 이는 웨이퍼 가공의 원격 액세스를 허용할 수 있다. 컴퓨터는 제조 작업의 현재 진행 상황을 모니터링하고, 과거 제조 작업의 이력을 검사하고, 복수의 제조 작업의 추세 또는 성능 메트릭스를 조사하여, 현재 가공의 파라미터를 변경하고, 현재 가공을 따르는 가공 단계를 설정하고, 새로운 공정을 시작하도록 시스템에 대한 원격 액세스를 활성화할 수 있다. 일부 예에서, 원격 컴퓨터(예: 서버)는 로컬 네트워크 또는 인터넷을 포함할 수 있는 네트워크를 통해 시스템에 공정 레시피를 제공할 수 있다. 원격 컴퓨터는 파라미터 및/또는 설정의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수 있으며, 이는 원격 컴퓨터에서 시스템으로 전달된다. 일부 예에서, 시스템 컨트롤러(630)는 하나 이상의 작업 중에 수행될 각각의 가공 단계에 대한 파라미터를 지정하는 데이터 형태의 명령을 수신한다. 파라미터는 수행될 공정의 유형 및 컨트롤러가 인터페이스하거나 제어하도록 구성되는 툴의 유형에 특이적일 수 있음을 이해해야 한다. 따라서, 전술한 바와 같이, 시스템 컨트롤러(630)는 예를 들어 함께 네트워킹되고 본원에 기술된 공정 및 제어와 같은 공통 목적을 향해 작동하는 하나 이상의 개별 컨트롤러를 포함함으로써 분포될 수 있다. 이러한 목적을 위한 분포된 컨트롤러의 예는 챔버 상에서 공정을 제어하기 위해 결합하는 원격 위치(예: 플랫폼 수준 또는 원격 컴퓨터의 일부)에 있는 하나 이상의 집적 회로와 통신하는 챔버 상 하나 이상의 집적 회로이다.
제한 없이, 예시적인 시스템은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 클린 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, 물리 기상 증착(PVD) 챔버 또는 모듈, 화학 기상 증착(CVD) 챔버 또는 모듈, ALD 챔버 또는 모듈, 원자층 에칭 (ALE) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 챔버 또는 모듈, EUV 리소그래피 챔버(스캐너) 또는 모듈, 건식 현상 챔버 또는 모듈, 반도체 웨이퍼의 제조 및/또는 제조에 관련되거나 사용될 수 있는 임의의 기타 반도체 가공 시스템을 포함할 수 있다.
전술한 바와 같이, 툴에 의해 수행될 공정 단계 또는 단계들에 따라, 컨트롤러는 하나 이상의 다른 툴 회로 또는 모듈, 다른 툴 구성 요소, 클러스터 툴, 다른 툴 인터페이스, 인접한 툴, 인접 툴, 공장 전체에 위치한 툴, 주 컴퓨터, 다른 컨트롤러 또는 반도체 제조 공장의 툴 위치 및/또는 로드 포트에서 웨이퍼 컨테이너를 가져오는 재료 운송에 사용되는 툴와 교신할 수 있다.
EUVL 패터닝은 종종 스캐너로 지칭되는 임의의 적합한 툴, 예를 들어 ASML(Veldhoven, NL)에 의해 공급되는 TWINSCAN NXE: 3300B® 플랫폼을 사용하여 수행될 수 있다. EUVL 패터닝 툴은 본원에 기재된 바와 같이 증착 및 에칭을 위해 기판이 안팎으로 이동되는 독립형 장치일 수 있다. 또는 아래에 설명된 대로 EUVL 패터닝 툴은 더 큰 다중 구성 요소 툴의 모듈일 수 있다. 도 7은 본원에 설명된 공정의 구현에 적합한 진공 통합 증착, EUV 패터닝 및 진공 이송 모듈과 인터페이스하는 건식 현상/에칭 모듈을 갖는 반도체 공정 클러스터 툴 아키텍처를 도시한다. 이러한 진공 통합 장치 없이 공정이 수행될 수 있지만, 이러한 장치는 일부 구현예에서 유리할 수 있다.
도 7은 본원에 기술된 공정의 구현에 적합한 진공 전달 모듈과 인터페이스하는 진공 통합 증착 및 패터닝 모듈을 갖는 반도체 공정 클러스터 툴 아키텍처를 도시한다. 다수의 저장 시설 및 가공 모듈 사이에서 웨이퍼를 "이송"하기 위한 이송 모듈의 배열은 "클러스터 툴 아키텍처" 시스템으로 지칭될 수 있다. 증착 및 패터닝 모듈은 특정 공정의 요구 사항에 따라 진공 통합된다. 에칭용과 같은 다른 모듈도 클러스터에 포함될 수 있다.
VTM(vacuum transport module)(738)은 다양한 제조 공정을 수행하도록 개별적으로 최적화될 수 있는 4개의 가공 모듈(720a-720d)과 인터페이스한다. 예로서, 가공 모듈(720a-720d)은 증착, 증발, ELD, 건식 현상, 에칭, 박리, 및/또는 다른 반도체 가공을 수행하도록 구현될 수 있다. 예를 들어, 모듈(720a)은 Lam Research Corporation, Fremont, CA에서 입수할 수 있는 Vector 툴와 같이, 본원에 기술된 바와 같은 비플라즈마 열 원자층 증착을 수행하도록 작동될 수 있는 ALD 반응기일 수 있다. 그리고 모듈(720b)은 Lam Vector®와 같은 PECVD 툴일 수 있다. 도면이 반드시 축척에 맞게 그려지는 것은 아니라는 점을 이해해야 한다.
에어록(742 및 746)은 로드록 또는 전송 모듈로도 알려져 있으며 VTM(738) 및 패터닝 모듈(740)과 인터페이스한다. 예를 들어, 위에서 언급한 바와 같이 적합한 패터닝 모듈은 ASML(Veldhoven, NL)에 의해 공급되는 TWINSCAN NXE: 3300B® 플랫폼일 수 있다. 이 툴 아키텍처는 반도체 기판 또는 웨이퍼와 같은 작업물을 진공 상태에서 이송하여 노출 전에 반응하지 않도록 한다. 증착 모듈의 리소그래피 툴와의 통합은 H2O, O2, 등과 같은 주변 가스에 의한 입사 광자의 강한 광 흡수가 주어지면 EUVL이 크게 감소된 압력을 필요로 한다는 사실에 의해 촉진된다.
위에서 언급한 바와 같이, 이 통합 아키텍처는 설명된 공정의 구현을 위한 툴의 하나의 가능한 구체예일 뿐이다. 공정은 또한 보다 전통적인 독립형 EUVL 스캐너 및 Lam Vector 툴와 같은 증착 반응기를 사용하여 독립적으로, 또는 예를 들어 도 7을 참조하여 설명된 바와 같은 모듈로서, 그러나 통합 패터닝 모듈 없이 에칭, 스트립 등과 같은 다른 툴(예: Lam Kiyo 또는 Gamma 툴)와 함께 클러스터 아키텍처에 통합되어 구현될 수 있다.
에어락(742)은 증착 모듈(720a)을 제공하는 VTM(738)에서 패터닝 모듈(740)로의 기판의 이송을 지칭하는 "아웃고잉" 로드락일 수 있고, 에어락(746)은 패터닝 모듈(740)로부터 다시 VTM(738)으로의 기판의 이송을 지칭하는 "인고잉" 로드락일 수 있다. 인고잉 로드록(746)은 또한 기판의 액세스 및 배출을 위한 툴의 외부에 대한 인터페이스를 제공할 수 있다. 각각의 공정 모듈은 모듈을 VTM(738)에 연결하는 패싯을 갖는다. 예를 들어, 증착 공정 모듈(720a)은 패싯(736)을 갖는다. 각 패싯 내부에서, 센서, 예를 들어 도시된 센서 (1-18)는 각 스테이션 사이를 이동할 때 웨이퍼(726)의 통과를 감지하는 데 사용된다. 패터닝 모듈(740) 및 에어록(742, 746)은 도시되지 않은 추가적인 패싯 및 센서를 유사하게 장착할 수 있다.
메인 VTM 로봇(722)은 에어록(742 및 746)을 포함하는 모듈 사이에서 웨이퍼(726)를 이송한다. 한 구체예에서, 로봇(722)은 하나의 암을 갖고, 다른 구체예에서, 로봇(722)은 두 개의 암을 가지며, 각 암은 수송용 웨이퍼 (726)과 같은 웨이퍼를 집기 위한 엔드 이펙터(724)를 갖는다. 프런트-엔드 로봇(744)은 아웃고잉 에어록(742)에서 패터닝 모듈(740)로, 패터닝 모듈(740)에서 인고잉 에어록(746)으로 웨이퍼(726)를 이송하는 데 사용된다. 프런트-엔드 로봇(744)은 또한 기판의 액세스 및 배출을 위해 웨이퍼(726)를 인고잉 로드록과 툴의 외부 사이에서 운반할 수 있다. 인고잉 에어록 모듈(746)이 대기와 진공 사이의 환경을 일치시키는 능력을 갖기 때문에, 웨이퍼(726)는 손상되지 않고 두 압력 환경 사이에서 이동할 수 있다.
EUVL 툴은 일반적으로 증착 툴보다 더 높은 진공에서 작동한다는 점에 유의해야 한다. 이러한 경우라면 기판이 패터닝 툴에 진입하기 전에 가스를 제거할 수 있도록 EUVL 툴로의 증착 사이의 이송 중에 기판의 진공 환경을 증가시키는 것이 바람직하다. 아웃고잉 에어록(742)은 이송된 웨이퍼를 일정 시간 동안 패터닝 모듈(740)의 압력보다 높지 않은 더 낮은 압력으로 유지하고, 임의의 가스 방출을 배출함으로써 이 기능을 제공할 수 있으므로, 패터닝 툴(740)의 광학계는 기판에서 배출되는 가스에 의해 오염되지 않는다. 아웃고잉, 가스 방출 에어록에 적합한 압력은 1E-8 Torr 이하이다.
일부 구체예에서, 시스템 컨트롤러(750)(하나 이상의 물리적 또는 논리적 컨트롤러를 포함할 수 있음)는 클러스터 툴 및/또는 그 개별 모듈의 작업 중 일부 또는 전부를 제어한다. 컨트롤러는 클러스터 아키텍처에 로컬이거나 제조 현장의 클러스터 아키텍처 외부에 위치하거나 원격 위치에 있고 네트워크를 통해 클러스터 아키텍처에 연결될 수 있음에 유의해야 한다. 시스템 컨트롤러(750)는 하나 이상의 메모리 장치 및 하나 이상의 프로세서를 포함할 수 있다. 프로세서는 중앙 처리 장치(CPU) 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 연결, 스테퍼 모터 컨트롤러 보드 및 기타 유사한 구성 요소를 포함할 수 있다. 적절한 제어 작업을 구현하기 위한 명령이 프로세서에서 실행된다. 이러한 명령은 컨트롤러와 관련된 메모리 장치에 저장되거나 네트워크를 통해 제공될 수 있다. 특정 구체예에서, 시스템 컨트롤러는 시스템 제어 소프트웨어를 실행한다.
시스템 제어 소프트웨어는 적용 타이밍 및/또는 툴 또는 모듈 작업의 모든 양상의 크기를 제어하기 위한 명령을 포함할 수 있다. 시스템 제어 소프트웨어는 적절한 방법으로 구성할 수 있다. 예를 들어, 다양한 공정 툴 구성요소 서브루틴 또는 제어 객체는 다양한 공정 툴 공정을 수행하는 데 필요한 공정 툴 구성요소의 작업을 제어하기 위해 기록될 수 있다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수 있다. 일부 구체예에서, 시스템 제어 소프트웨어는 전술한 다양한 파라미터를 제어하기 위한 입력/출력 제어(IOC) 시퀀싱 명령을 포함한다. 예를 들어, 반도체 제조 공정의 각 단계는 시스템 컨트롤러에 의한 실행을 위한 하나 이상의 명령을 포함할 수 있다. 응축, 증착, 증발, 패터닝 및/또는 에칭 단계에 대한 공정 조건을 설정하기 위한 명령은 예를 들어 대응하는 레시피 단계에 포함될 수 있다.
다양한 구체예에서, 네거티브 패턴 마스크를 형성하기 위한 장치가 제공된다. 장치는 패터닝, 증착 및 에칭을 위한 가공 챔버, 및 네거티브 패턴 마스크를 형성하기 위한 명령을 포함하는 컨트롤러를 포함할 수 있다. 명령은 가공 챔버에서 기판의 표면을 노출시키기 위해 EUV 노출에 의해 반도체 기판 상의 화학 증폭(CAR) 레지스트의 피쳐를 패턴화하고, 광패턴화된 레지스트를 건식 현상하고, 패터닝된 레지스트를 마스크로 사용하여 하부 층 또는 층 스택을 에칭하기 위한 코드를 포함할 수 있다.
웨이퍼 이동을 제어하는 컴퓨터는 클러스터 구조에 로컬일 수 있거나 제조 현장에서 클러스터 구조 외부에 위치할 수 있거나 원격 위치에 있고 네트워크를 통해 클러스터 구조에 연결될 수 있음에 유의해야 한다.
결론
전술한 구체예는 명확한 이해를 위해 일부 상세하게 설명되었지만, 첨부된 청구 범위 내에서 특정 변경 및 수정이 실시될 수 있음이 명백할 것이다. 본원에 개시된 구체예는 이들 특정 세부사항의 일부 또는 전부 없이 실시될 수 있다. 다른 경우에, 개시된 구체예를 불필요하게 불명료하게 하지 않기 위해 잘 알려진 공정 작업은 상세히 설명되지 않았다. 또한, 개시된 구체예는 특정 구체예와 관련하여 설명될 것이지만, 특정 구체예는 개시된 구체예를 제한하도록 의도되지 않는다는 것이 이해될 것이다. 본 구체예의 공정, 시스템 및 장치를 구현하는 많은 대안적 방법이 있음을 주목해야 한다. 따라서, 본 구체예는 예시적인 것으로 간주되어야 하며 제한적이지 않으며, 구체예는 여기에 제공된 세부 사항에 제한되지 않는다.

Claims (38)

  1. 유기금속 재료 및 베릴륨 (Be), 붕소 (B), 마그네슘 (Mg), 알루미늄 (Al), 스칸듐 (Sc), 크세논 (Xe), 및 이들의 조합으로 이루어진 그룹으로부터 선택된 도펀트를 포함하는 패터닝 감방사선 조성물.
  2. 제1 항에 있어서, 상기 유기금속 재료는 유기주석-옥시 케이지를 포함하고, 상기 도펀트는 상기 케이지 내의 내면체 도펀트로서 Xe인 조성물.
  3. 제 2항에 있어서, 케이지 내에 약 1-8 Xe 원자를 추가로 포함하는 조성물.
  4. 제 1항에 있어서, 유기금속 재료은 유기주석 산화물을 포함하고, 및 여기서 도펀트는 Be, B, Mg, Al, 또는 Sc인 조성물.
  5. 제4 항에 있어서, 도펀트는 산소 가교 (-O-)를 통해 유기금속 재료 내 금속 원자에 부착된 조성물.
  6. 제 1-5항에 있어서, 패터닝 감방사선 조성물은 극자외선 (EUV)-민감성 필름을 포함하는 조성물.
  7. 다음을 포함하는 레지스트를 사용하는 방법:
    기판의 표면 상에 유기금속 전구체를 증착시켜 레지스트 필름을 얻는 단계; 및
    레지스트 필름을 도펀트 전구체에 노출시켜, 도핑된 필름을 제공하는 단계, 여기서 도펀트 전구체는 크세논 (Xe) 또는 고 2차 전자 수율을 특징으로 하는 원소를 포함함.
  8. 제 7항에 있어서, 레지스트 필름 또는 도핑된 필름은 극자외선 (EUV)-민감성 필름을 포함하는 방법.
  9. 제 7항에 있어서, 레지스트 필름은 유기주석 옥시, 유기주석 산화물, 또는 유기주석 산화물 하이드록사이드를 포함하는 방법.
  10. 제 7항에 있어서, 도펀트 전구체는 Xe을 포함하는 방법.
  11. 제10 항에 있어서, 도핑된 필름은 유기주석-옥시 케이지 및 케이지 내 내면체 도펀트로서 Xe을 포함하는 방법.
  12. 제10 항에 있어서, 상기 노출은 약 1-200 atm 압력 및/또는 약 30-150°C 온도에서 Xe-함유 가스에의 노출을 포함하는 방법.
  13. 제 12항에 있어서, 상기 노출은 약 30 초 내지 약 4 시간의 기간 동안인 방법.
  14. 제 7항에 있어서, 도펀트 전구체는 베릴륨 (Be), 붕소 (B), 마그네슘 (Mg), 알루미늄 (Al), 스칸듐 (Sc), 및 이들의 조합으로 이루어진 그룹으로부터 선택된 원소를 포함하는 방법.
  15. 제14 항에 있어서, 도펀트는 산소 가교 (-O-)를 통해 유기금속 재료 내 금속 원자에 부착된 방법.
  16. 제 7항에 있어서, 도펀트 전구체는 화학식 (II)를 갖는 구조를 포함하고:
    XaZb (II),
    여기서:
    각각의 X는, 독립적으로, 고 2차 전자 수율을 특징으로 하는 원소;
    각각의 Z는, 독립적으로, H, 할로, 임의로 치환된 알킬, 임의로 치환된 아릴, 임의로 치환된 아미노, 임의로 치환된 비스(트리알킬실릴)아미노, 임의로 치환된 트리알킬실릴, 임의로 치환된 알콕시, 또는 리간드;
    a ≥ 1; 및 b ≥ 2인 방법.
  17. 제 16항에 있어서, 도펀트 전구체는 베릴륨 할라이드, 유기베릴륨, 비스(디알킬)아미노 베릴륨, 베릴륨 β-케토네이트, 보란 또는 이의 착물, 붕소 할라이드, 유기보란, 알킬보레이트, 트리스(디알킬아미노)보란, 유기마그네슘, 비스(사이클로펜타디에닐)마그네슘 또는 이의 치환된 형태, 마그네슘 β-케토네이트, 마그네슘 β-아미디네이트, 알루미늄 할라이드, 유기알루미늄, 알루미늄 알콕사이드, 알루미늄 β-케토네이트, 트리스(디알킬아미도)알루미늄, 알콕시-함유 알루미늄 전구체, 아미노-함유 알루미늄 전구체, 유기스칸듐, 스칸듐 β-케토네이트, 스칸듐 β-아미디네이트, 또는 트리알킬실릴아미드-함유 스칸듐 화합물을 포함하는 방법.
  18. 제14 항에 있어서, 도핑된 필름은 약 1-20 at.%의 상기 원소를 포함하는 방법.
  19. 제 14항에 있어서, 상기 증착 및 상기 노출은 동시에 발생하는 방법.
  20. 제 19항에 있어서, 상기 증착 및 상기 노출은 다음을 포함하는 방법:
    유기금속 전구체, 선택적인 반대 반응물 및 도펀트 전구체를 기판을 제공하도록 구성된 챔버로 공동 전달함으로써 도핑된 필름을 형성하는 단계.
  21. 제 14항에 있어서, 상기 증착 및 상기 노출은 교대 주기로 발생하는 방법.
  22. 제 21항에 있어서, 상기 증착 및 상기 노출은 다음을 포함하는 방법:
    유기금속 전구체를 선택적인 반대 반응물을 기판을 제공하도록 구성된 챔버로 전달하는 단계.
    챔버를 퍼징하여 유기금속 전구체 및/또는 선택적인 반대 반응물을 제거하는 단계; 그리고
    도펀트 전구체를 챔버로 전달함으로써 도핑된 필름을 형성하는 단계, 여기서 상기 유기금속 전구체 전달, 상기 퍼징 및 상기 도펀트 전구체 전달은 1회 이상 수행될 수 있음.
  23. 제 14항에 있어서, 도핑된 필름은 구배 필름 또는 나노라미네이트를 포함하는 방법.
  24. 제 7항에 있어서, 유기금속 전구체는 화학식 (I)를 갖는 구조를 포함하는 방법:
    MaRbLc (I),
    여기서:
    M은 금속이고;
    각각의 R는, 독립적으로, 할로, 임의로 치환된 알킬, 임의로 치환된 아릴, 임의로 치환된 아미노, 임의로 치환된 알콕시, 또는 L;
    각각의 L는, 독립적으로, 리간드, 이온, 또는 반대-반응물과 반응성인 다른 모이어티이고, 여기서 R 및 L은 M과, 함께 결합하여, 헤테로사이클릴 기를 임의로 형성할 수 있고 또는 여기서 R 및 L은 함께 결합하여, 헤테로사이클릴 기를 임의로 형성할 수 있고;
    a ≥ 1; b ≥ 1; 및 c ≥ 1.
  25. 제 24항에 있어서, 각각의 R은 L 및/또는 M은 주석 (Sn)인 방법.
  26. 제 24항에 있어서, 각각의 L는, 독립적으로, H, 할로, 임의로 치환된 알킬, 임의로 치환된 아릴, 임의로 치환된 아미노, 임의로 치환된 비스(트리알킬실릴)아미노, 임의로 치환된 트리알킬실릴, 또는 임의로 치환된 알콕시인 방법.
  27. 제 7항에 있어서, 상기 증착은 증기 형태로 유기금속 전구체를 제공하는 것을 포함하는 방법.
  28. 제 7항에 있어서, 상기 증착은 반대-반응물을 제공하는 것을 추가로 포함하는 방법.
  29. 제 28항에 있어서, 반대-반응물은 산소 또는 칼코게나이드 전구체를 포함하는 방법.
  30. 제 7항에 있어서, 다음을 추가로 포함하는 방법:
    패터닝된 방사선에 노출시켜 도핑된 필름을 패터닝하여 방사선 노출 영역 및 방사선 비노출 영역을 갖는 노출된 필름을 제공하는 단계; 그리고
    노출된 필름을 현상하여 방사선 노출 영역을 제거하여 포지티브 톤 레지스트 필름 내에 패턴을 제공하거나 방사선 비노출 영역을 제거하여 네거티브 톤 레지스트 내에 패턴을 제공하는 단계.
  31. 제30항에 있어서, 상기 패터닝은 진공 환경에서 약 10nm 내지 약 20nm 범위의 파장을 갖는 EUV 노출을 포함하는 방법.
  32. 제30항에 있어서, 상기 현상이 습식 현상 또는 건식 현상을 포함하는 것인 방법.
  33. 다음을 포함하는 레지스트막 형성 장치:
    레지스트 필름을 증착하기 위한 챔버를 포함하는 증착 모듈; 및
    하나 이상의 메모리 장치, 하나 이상의 프로세서 및 다음을 위한 기계-판독가능 명령을 포함하는 명령으로 코딩된 시스템 제어 소프트웨어를 포함하는 컨트롤러:
    증착 모듈에서, 반도체 기판의 상부 표면 상에 유기금속 전구체의 증착을 야기하여 레지스트 필름을 형성하는 단계; 그리고
    증착 모듈에서 레지스트 필름을 도펀트 전구체에 노출시켜 도핑된 필름을 제공하는 단계, 여기서 도펀트 전구체는 크세논(Xe) 또는 높은 2차 전자 수율을 특징으로 하는 원소를 포함하며, 여기서 증착을 유발하는 단계 및 노출을 유발하는 단계 동시에 또는 순차적으로 발생할 수 있음.
  34. 제33 항에 있어서, 레지스트 필름 또는 도핑된 필름은 극자외선 (EUV)-민감성 필름을 포함하는 장치.
  35. 제 33항에 있어서, 다음을 추가로 포함하는 장치:
    300 nm 미만 파장 방사원을 갖는 포토리소그래피 툴을 포함하는 패터닝 모듈로서, 여기서 기계-판독가능 명령을 포함하는 명령은 다음을 추가로 포함함:
    패터닝 모듈에서, 방사선 노출을 패터닝함으로써 직접 300 nm 미만의 해상도로 도핑된 필름을 패터닝함으로써, 방사선 노출 영역 및 방사선 비노출 영역을 갖는 노출된 필름을 형성하는 단계.
  36. 제 35항에 있어서, 포토리소그래피 툴용 소스는 30 nm 미만의 파장 방사선의 소스인 장치.
  37. 제 36항에 있어서, 기계-판독가능 명령을 포함하는 명령은 다음을 추가로 포함하는 장치:
    패터닝 모듈에서, EUV 노출에 의해 직접 30 nm 미만의 해상도로 레지스트 필름을 패터닝함으로써, EUV 노출 영역 및 EUV 비노출 영역을 갖는 노출된 필름을 형성하는 단계.
  38. 제 35항에 있어서, 다음을 추가로 포함하는 장치:
    레지스트 필름 현상용 챔버를 포함하는 현상 모듈, 여기서 기계-판독가능 명령을 포함하는 명령은 다음을 위한 명령을 추가로 포함함:
    현상 모듈에서, 노출된 필름을 현상하여 방사선 노출 영역 또는 방사선 비노출 영역을 제거하여 레지스트 필름 내에 패턴을 제공하는 단계.
KR1020237028523A 2021-02-12 2022-02-02 양자 효율 포토레지스트 및 이의 방법 KR20230146029A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163200082P 2021-02-12 2021-02-12
US63/200,082 2021-02-12
PCT/US2022/014984 WO2022173632A1 (en) 2021-02-12 2022-02-02 Quantum efficient photoresists and methods thereof

Publications (1)

Publication Number Publication Date
KR20230146029A true KR20230146029A (ko) 2023-10-18

Family

ID=82837975

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237028523A KR20230146029A (ko) 2021-02-12 2022-02-02 양자 효율 포토레지스트 및 이의 방법

Country Status (4)

Country Link
JP (1) JP2024506160A (ko)
KR (1) KR20230146029A (ko)
TW (1) TW202246893A (ko)
WO (1) WO2022173632A1 (ko)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4824763A (en) * 1987-07-30 1989-04-25 Ekc Technology, Inc. Triamine positive photoresist stripping composition and prebaking process
US9048294B2 (en) * 2012-04-13 2015-06-02 Applied Materials, Inc. Methods for depositing manganese and manganese nitrides
KR102346372B1 (ko) * 2015-10-13 2021-12-31 인프리아 코포레이션 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝
US10845704B2 (en) * 2018-10-30 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance

Also Published As

Publication number Publication date
TW202246893A (zh) 2022-12-01
JP2024506160A (ja) 2024-02-09
WO2022173632A1 (en) 2022-08-18

Similar Documents

Publication Publication Date Title
US20220299877A1 (en) Positive tone development of cvd euv resist films
US20230259025A1 (en) Dry deposited photoresists with organic co-reactants
US20220365434A1 (en) Substrate surface modification with high euv absorbers for high performance euv photoresists
US20230152701A1 (en) Structure and method to achieve positive tone dry develop by a hermetic overlayer
US20230266664A1 (en) Photoresists from sn(ii) precursors
US20230266670A1 (en) Metal chelators for development of metal-containing photoresist
US20230314946A1 (en) Method of forming photo-sensitive hybrid films
US20230288798A1 (en) Photoresists containing tantalum
KR20230146029A (ko) 양자 효율 포토레지스트 및 이의 방법
US20240134274A1 (en) Halogen-and aliphatic-containing organotin photoresists and methods thereof
US20240192590A1 (en) Apparatus and process for euv dry resist sensitization by gas phase infusion of a sensitizer
WO2023245047A1 (en) Tin precursors for deposition of euv dry resist
TW202413382A (zh) Euv乾式光阻沉積的錫前驅物
WO2023115023A1 (en) Development strategy for high-absorbing metal-containing photoresists
WO2023114724A1 (en) Development of hybrid organotin oxide photoresists
WO2023114730A1 (en) Aqueous acid development or treatment of organometallic photoresist