JP2024506160A - 量子効率の良いフォトレジストおよびその方法 - Google Patents
量子効率の良いフォトレジストおよびその方法 Download PDFInfo
- Publication number
- JP2024506160A JP2024506160A JP2023547536A JP2023547536A JP2024506160A JP 2024506160 A JP2024506160 A JP 2024506160A JP 2023547536 A JP2023547536 A JP 2023547536A JP 2023547536 A JP2023547536 A JP 2023547536A JP 2024506160 A JP2024506160 A JP 2024506160A
- Authority
- JP
- Japan
- Prior art keywords
- film
- optionally substituted
- euv
- precursor
- dopant
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims abstract description 378
- 229920002120 photoresistant polymer Polymers 0.000 title claims description 67
- 239000002243 precursor Substances 0.000 claims abstract description 276
- 125000002524 organometallic group Chemical group 0.000 claims abstract description 175
- 239000002019 doping agent Substances 0.000 claims abstract description 164
- 230000005855 radiation Effects 0.000 claims abstract description 63
- 239000000203 mixture Substances 0.000 claims abstract description 50
- -1 beryllium halide Chemical class 0.000 claims description 135
- 238000000151 deposition Methods 0.000 claims description 135
- 239000000758 substrate Substances 0.000 claims description 120
- 238000011161 development Methods 0.000 claims description 114
- 229910052751 metal Inorganic materials 0.000 claims description 110
- 239000002184 metal Substances 0.000 claims description 109
- 239000000463 material Substances 0.000 claims description 101
- 230000008021 deposition Effects 0.000 claims description 77
- 239000007789 gas Substances 0.000 claims description 68
- 238000000059 patterning Methods 0.000 claims description 66
- 239000000376 reactant Substances 0.000 claims description 59
- 125000004429 atom Chemical group 0.000 claims description 50
- 239000003446 ligand Substances 0.000 claims description 43
- 229910052782 aluminium Inorganic materials 0.000 claims description 41
- 239000012528 membrane Substances 0.000 claims description 41
- 125000002924 primary amino group Chemical group [H]N([H])* 0.000 claims description 40
- 239000004065 semiconductor Substances 0.000 claims description 40
- 239000011777 magnesium Substances 0.000 claims description 39
- 229910052760 oxygen Inorganic materials 0.000 claims description 37
- 125000000547 substituted alkyl group Chemical group 0.000 claims description 36
- 239000001301 oxygen Substances 0.000 claims description 30
- 229910052749 magnesium Inorganic materials 0.000 claims description 26
- 229910052790 beryllium Inorganic materials 0.000 claims description 25
- 229910052706 scandium Inorganic materials 0.000 claims description 24
- 125000000623 heterocyclic group Chemical group 0.000 claims description 23
- 229910052718 tin Inorganic materials 0.000 claims description 23
- 229910052796 boron Inorganic materials 0.000 claims description 22
- 125000003545 alkoxy group Chemical group 0.000 claims description 21
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 21
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 21
- 125000003107 substituted aryl group Chemical group 0.000 claims description 20
- 238000010926 purge Methods 0.000 claims description 17
- 125000005415 substituted alkoxy group Chemical group 0.000 claims description 17
- 125000004665 trialkylsilyl group Chemical group 0.000 claims description 17
- SIXSYDAISGFNSX-UHFFFAOYSA-N scandium atom Chemical compound [Sc] SIXSYDAISGFNSX-UHFFFAOYSA-N 0.000 claims description 16
- 239000007983 Tris buffer Substances 0.000 claims description 13
- 239000012298 atmosphere Substances 0.000 claims description 13
- FYYHWMGAXLPEAU-UHFFFAOYSA-N Magnesium Chemical compound [Mg] FYYHWMGAXLPEAU-UHFFFAOYSA-N 0.000 claims description 12
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical group [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims description 12
- UORVGPXVDQYIDP-UHFFFAOYSA-N borane Chemical compound B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 claims description 12
- 229910052724 xenon Inorganic materials 0.000 claims description 11
- 238000000206 photolithography Methods 0.000 claims description 10
- ATBAMAFKBVZNFJ-UHFFFAOYSA-N beryllium atom Chemical compound [Be] ATBAMAFKBVZNFJ-UHFFFAOYSA-N 0.000 claims description 9
- 230000002441 reversible effect Effects 0.000 claims description 8
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims description 6
- 229910000085 borane Inorganic materials 0.000 claims description 6
- XOLBLPGZBRYERU-UHFFFAOYSA-N tin dioxide Chemical compound O=[Sn]=O XOLBLPGZBRYERU-UHFFFAOYSA-N 0.000 claims description 5
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 claims description 5
- 150000004770 chalcogenides Chemical class 0.000 claims description 4
- USZGMDQWECZTIQ-UHFFFAOYSA-N [Mg](C1C=CC=C1)C1C=CC=C1 Chemical compound [Mg](C1C=CC=C1)C1C=CC=C1 USZGMDQWECZTIQ-UHFFFAOYSA-N 0.000 claims description 3
- 150000003326 scandium compounds Chemical class 0.000 claims description 3
- 125000002734 organomagnesium group Chemical group 0.000 claims description 2
- 125000001475 halogen functional group Chemical group 0.000 claims 3
- 102000014961 Protein Precursors Human genes 0.000 claims 1
- 108010078762 Protein Precursors Proteins 0.000 claims 1
- AUYOHNUMSAGWQZ-UHFFFAOYSA-L dihydroxy(oxo)tin Chemical compound O[Sn](O)=O AUYOHNUMSAGWQZ-UHFFFAOYSA-L 0.000 claims 1
- 125000002370 organoaluminium group Chemical group 0.000 claims 1
- 229910001887 tin oxide Inorganic materials 0.000 claims 1
- 230000000670 limiting effect Effects 0.000 abstract description 58
- 238000010521 absorption reaction Methods 0.000 abstract description 21
- 238000010586 diagram Methods 0.000 abstract description 21
- 230000001965 increasing effect Effects 0.000 abstract description 18
- 239000010408 film Substances 0.000 description 245
- 230000008569 process Effects 0.000 description 211
- 239000010410 layer Substances 0.000 description 123
- 238000012545 processing Methods 0.000 description 82
- 125000000217 alkyl group Chemical group 0.000 description 70
- 235000012431 wafers Nutrition 0.000 description 69
- 125000005843 halogen group Chemical group 0.000 description 37
- 229910044991 metal oxide Inorganic materials 0.000 description 33
- 150000004706 metal oxides Chemical class 0.000 description 32
- 125000003118 aryl group Chemical group 0.000 description 27
- 125000001424 substituent group Chemical group 0.000 description 27
- 125000000999 tert-butyl group Chemical group [H]C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 26
- 238000000231 atomic layer deposition Methods 0.000 description 24
- 238000004519 manufacturing process Methods 0.000 description 24
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 23
- 239000000126 substance Substances 0.000 description 23
- 238000012546 transfer Methods 0.000 description 23
- 239000003795 chemical substances by application Substances 0.000 description 21
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 21
- 238000005229 chemical vapour deposition Methods 0.000 description 19
- 238000005530 etching Methods 0.000 description 19
- 238000001900 extreme ultraviolet lithography Methods 0.000 description 19
- 125000001449 isopropyl group Chemical group [H]C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 18
- 229910052714 tellurium Inorganic materials 0.000 description 18
- 238000001459 lithography Methods 0.000 description 16
- 229910052757 nitrogen Inorganic materials 0.000 description 16
- PORWMNRCUJJQNO-UHFFFAOYSA-N tellurium atom Chemical compound [Te] PORWMNRCUJJQNO-UHFFFAOYSA-N 0.000 description 15
- 238000006243 chemical reaction Methods 0.000 description 14
- 238000004140 cleaning Methods 0.000 description 14
- 229910052731 fluorine Inorganic materials 0.000 description 14
- 150000002739 metals Chemical class 0.000 description 14
- 125000004108 n-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 14
- 150000001298 alcohols Chemical class 0.000 description 13
- 229910052739 hydrogen Inorganic materials 0.000 description 13
- 150000002500 ions Chemical class 0.000 description 13
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 13
- 125000003342 alkenyl group Chemical group 0.000 description 12
- 125000000304 alkynyl group Chemical group 0.000 description 12
- 229910052799 carbon Inorganic materials 0.000 description 12
- IXCSERBJSXMMFS-UHFFFAOYSA-N hydrogen chloride Substances Cl.Cl IXCSERBJSXMMFS-UHFFFAOYSA-N 0.000 description 12
- 229910052710 silicon Inorganic materials 0.000 description 12
- 238000005516 engineering process Methods 0.000 description 11
- 238000010438 heat treatment Methods 0.000 description 11
- 239000001257 hydrogen Substances 0.000 description 11
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 10
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 10
- 238000005137 deposition process Methods 0.000 description 10
- 238000003384 imaging method Methods 0.000 description 10
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 9
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 9
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 description 9
- 238000013459 approach Methods 0.000 description 9
- 230000008901 benefit Effects 0.000 description 9
- 229910052794 bromium Inorganic materials 0.000 description 9
- 230000008859 change Effects 0.000 description 9
- 229910052801 chlorine Inorganic materials 0.000 description 9
- 230000001276 controlling effect Effects 0.000 description 9
- 150000004820 halides Chemical class 0.000 description 9
- 125000000959 isobutyl group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])* 0.000 description 9
- 125000004433 nitrogen atom Chemical group N* 0.000 description 9
- 238000005240 physical vapour deposition Methods 0.000 description 9
- 125000002914 sec-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 9
- 239000010703 silicon Substances 0.000 description 9
- 239000002904 solvent Substances 0.000 description 9
- 125000002947 alkylene group Chemical group 0.000 description 8
- 238000000576 coating method Methods 0.000 description 8
- 150000001875 compounds Chemical class 0.000 description 8
- 239000007788 liquid Substances 0.000 description 8
- BDAGIHXWWSANSR-UHFFFAOYSA-N methanoic acid Chemical class OC=O BDAGIHXWWSANSR-UHFFFAOYSA-N 0.000 description 8
- 238000002156 mixing Methods 0.000 description 8
- 230000004048 modification Effects 0.000 description 8
- 238000012986 modification Methods 0.000 description 8
- 125000004123 n-propyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])* 0.000 description 8
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 8
- 230000035945 sensitivity Effects 0.000 description 8
- 239000010409 thin film Substances 0.000 description 8
- CUJRVFIICFDLGR-UHFFFAOYSA-N acetylacetonate Chemical compound CC(=O)[CH-]C(C)=O CUJRVFIICFDLGR-UHFFFAOYSA-N 0.000 description 7
- 238000001035 drying Methods 0.000 description 7
- 238000007654 immersion Methods 0.000 description 7
- 238000009616 inductively coupled plasma Methods 0.000 description 7
- 229910001507 metal halide Inorganic materials 0.000 description 7
- 150000005309 metal halides Chemical class 0.000 description 7
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 7
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 7
- 229910052717 sulfur Inorganic materials 0.000 description 7
- ARXJGSRGQADJSQ-UHFFFAOYSA-N 1-methoxypropan-2-ol Chemical compound COCC(C)O ARXJGSRGQADJSQ-UHFFFAOYSA-N 0.000 description 6
- 239000002253 acid Substances 0.000 description 6
- 230000015572 biosynthetic process Effects 0.000 description 6
- 238000004132 cross linking Methods 0.000 description 6
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 6
- 230000006870 function Effects 0.000 description 6
- 229910052734 helium Inorganic materials 0.000 description 6
- 238000011068 loading method Methods 0.000 description 6
- 230000007246 mechanism Effects 0.000 description 6
- 239000012071 phase Substances 0.000 description 6
- 150000003254 radicals Chemical class 0.000 description 6
- 230000002829 reductive effect Effects 0.000 description 6
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 6
- 239000011593 sulfur Substances 0.000 description 6
- VDZOOKBUILJEDG-UHFFFAOYSA-M tetrabutylammonium hydroxide Chemical compound [OH-].CCCC[N+](CCCC)(CCCC)CCCC VDZOOKBUILJEDG-UHFFFAOYSA-M 0.000 description 6
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 6
- 238000011282 treatment Methods 0.000 description 6
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 5
- 125000002015 acyclic group Chemical group 0.000 description 5
- 239000003570 air Substances 0.000 description 5
- 125000004450 alkenylene group Chemical group 0.000 description 5
- 125000004419 alkynylene group Chemical group 0.000 description 5
- 229910045601 alloy Inorganic materials 0.000 description 5
- 239000000956 alloy Substances 0.000 description 5
- 150000001412 amines Chemical class 0.000 description 5
- 125000004122 cyclic group Chemical group 0.000 description 5
- 239000012530 fluid Substances 0.000 description 5
- 230000001976 improved effect Effects 0.000 description 5
- 229910052740 iodine Inorganic materials 0.000 description 5
- 239000011159 matrix material Substances 0.000 description 5
- 125000004430 oxygen atom Chemical group O* 0.000 description 5
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 5
- 238000011160 research Methods 0.000 description 5
- 238000004528 spin coating Methods 0.000 description 5
- 238000004544 sputter deposition Methods 0.000 description 5
- 239000004094 surface-active agent Substances 0.000 description 5
- 238000012876 topography Methods 0.000 description 5
- 238000007740 vapor deposition Methods 0.000 description 5
- 125000006552 (C3-C8) cycloalkyl group Chemical group 0.000 description 4
- OSWFIVFLDKOXQC-UHFFFAOYSA-N 4-(3-methoxyphenyl)aniline Chemical class COC1=CC=CC(C=2C=CC(N)=CC=2)=C1 OSWFIVFLDKOXQC-UHFFFAOYSA-N 0.000 description 4
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 4
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 4
- 230000002378 acidificating effect Effects 0.000 description 4
- 239000002585 base Substances 0.000 description 4
- WLNIUEYAQZRJFS-UHFFFAOYSA-N bis[bis(trimethylsilyl)amino]tin Chemical compound C[Si](C)(C)N([Si](C)(C)C)[Sn]N([Si](C)(C)C)[Si](C)(C)C WLNIUEYAQZRJFS-UHFFFAOYSA-N 0.000 description 4
- 229910002091 carbon monoxide Inorganic materials 0.000 description 4
- 125000002915 carbonyl group Chemical group [*:2]C([*:1])=O 0.000 description 4
- 125000000753 cycloalkyl group Chemical group 0.000 description 4
- 125000004663 dialkyl amino group Chemical group 0.000 description 4
- 238000000469 dry deposition Methods 0.000 description 4
- 230000000694 effects Effects 0.000 description 4
- ZSWFCLXCOIISFI-UHFFFAOYSA-N endo-cyclopentadiene Natural products C1C=CC=C1 ZSWFCLXCOIISFI-UHFFFAOYSA-N 0.000 description 4
- 238000001704 evaporation Methods 0.000 description 4
- 230000008020 evaporation Effects 0.000 description 4
- 239000011737 fluorine Substances 0.000 description 4
- 235000019253 formic acid Nutrition 0.000 description 4
- CATSNJVOTSVZJV-UHFFFAOYSA-N heptan-2-one Chemical compound CCCCCC(C)=O CATSNJVOTSVZJV-UHFFFAOYSA-N 0.000 description 4
- 125000005842 heteroatom Chemical group 0.000 description 4
- 230000010354 integration Effects 0.000 description 4
- 125000003253 isopropoxy group Chemical group [H]C([H])([H])C([H])(O*)C([H])([H])[H] 0.000 description 4
- 239000002052 molecular layer Substances 0.000 description 4
- 125000000740 n-pentyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 4
- 230000003287 optical effect Effects 0.000 description 4
- 239000003960 organic solvent Substances 0.000 description 4
- 150000002978 peroxides Chemical class 0.000 description 4
- 238000011112 process operation Methods 0.000 description 4
- 230000009257 reactivity Effects 0.000 description 4
- 238000012552 review Methods 0.000 description 4
- 235000012239 silicon dioxide Nutrition 0.000 description 4
- 238000003860 storage Methods 0.000 description 4
- QPBYLOWPSRZOFX-UHFFFAOYSA-J tin(iv) iodide Chemical compound I[Sn](I)(I)I QPBYLOWPSRZOFX-UHFFFAOYSA-J 0.000 description 4
- 230000008016 vaporization Effects 0.000 description 4
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 3
- CSCPPACGZOOCGX-UHFFFAOYSA-N Acetone Chemical compound CC(C)=O CSCPPACGZOOCGX-UHFFFAOYSA-N 0.000 description 3
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 3
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 3
- 229910006854 SnOx Inorganic materials 0.000 description 3
- 238000002835 absorbance Methods 0.000 description 3
- 239000006096 absorbing agent Substances 0.000 description 3
- 235000011114 ammonium hydroxide Nutrition 0.000 description 3
- 238000000137 annealing Methods 0.000 description 3
- 229910052787 antimony Inorganic materials 0.000 description 3
- 239000011324 bead Substances 0.000 description 3
- 125000004196 benzothienyl group Chemical group S1C(=CC2=C1C=CC=C2)* 0.000 description 3
- 229910052797 bismuth Inorganic materials 0.000 description 3
- 238000006664 bond formation reaction Methods 0.000 description 3
- 239000011248 coating agent Substances 0.000 description 3
- 238000009833 condensation Methods 0.000 description 3
- 230000005494 condensation Effects 0.000 description 3
- 230000000875 corresponding effect Effects 0.000 description 3
- 125000000113 cyclohexyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C1([H])[H] 0.000 description 3
- 125000000058 cyclopentadienyl group Chemical group C1(=CC=CC1)* 0.000 description 3
- 125000001664 diethylamino group Chemical group [H]C([H])([H])C([H])([H])N(*)C([H])([H])C([H])([H])[H] 0.000 description 3
- 125000002147 dimethylamino group Chemical group [H]C([H])([H])N(*)C([H])([H])[H] 0.000 description 3
- 150000002334 glycols Chemical class 0.000 description 3
- 238000010348 incorporation Methods 0.000 description 3
- 125000001041 indolyl group Chemical group 0.000 description 3
- 239000011261 inert gas Substances 0.000 description 3
- 239000011630 iodine Substances 0.000 description 3
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 3
- WHXTVQNIFGXMSB-UHFFFAOYSA-N n-methyl-n-[tris(dimethylamino)stannyl]methanamine Chemical compound CN(C)[Sn](N(C)C)(N(C)C)N(C)C WHXTVQNIFGXMSB-UHFFFAOYSA-N 0.000 description 3
- 239000002245 particle Substances 0.000 description 3
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 3
- LLHKCFNBLRBOGN-UHFFFAOYSA-N propylene glycol methyl ether acetate Chemical compound COCC(C)OC(C)=O LLHKCFNBLRBOGN-UHFFFAOYSA-N 0.000 description 3
- 239000002356 single layer Substances 0.000 description 3
- 238000001179 sorption measurement Methods 0.000 description 3
- 229940073455 tetraethylammonium hydroxide Drugs 0.000 description 3
- LRGJRHZIDJQFCL-UHFFFAOYSA-M tetraethylazanium;hydroxide Chemical compound [OH-].CC[N+](CC)(CC)CC LRGJRHZIDJQFCL-UHFFFAOYSA-M 0.000 description 3
- LPSKDVINWQNWFE-UHFFFAOYSA-M tetrapropylazanium;hydroxide Chemical compound [OH-].CCC[N+](CCC)(CCC)CCC LPSKDVINWQNWFE-UHFFFAOYSA-M 0.000 description 3
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 description 3
- 238000009834 vaporization Methods 0.000 description 3
- POILWHVDKZOXJZ-ARJAWSKDSA-M (z)-4-oxopent-2-en-2-olate Chemical compound C\C([O-])=C\C(C)=O POILWHVDKZOXJZ-ARJAWSKDSA-M 0.000 description 2
- GVNVAWHJIKLAGL-UHFFFAOYSA-N 2-(cyclohexen-1-yl)cyclohexan-1-one Chemical compound O=C1CCCCC1C1=CCCCC1 GVNVAWHJIKLAGL-UHFFFAOYSA-N 0.000 description 2
- YEJRWHAVMIAJKC-UHFFFAOYSA-N 4-Butyrolactone Chemical compound O=C1CCCO1 YEJRWHAVMIAJKC-UHFFFAOYSA-N 0.000 description 2
- QGZKDVFQNNGYKY-UHFFFAOYSA-O Ammonium Chemical compound [NH4+] QGZKDVFQNNGYKY-UHFFFAOYSA-O 0.000 description 2
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 2
- 229910015844 BCl3 Inorganic materials 0.000 description 2
- 101150065749 Churc1 gene Proteins 0.000 description 2
- BWGNESOTFCXPMA-UHFFFAOYSA-N Dihydrogen disulfide Chemical compound SS BWGNESOTFCXPMA-UHFFFAOYSA-N 0.000 description 2
- RWSOTUBLDIXVET-UHFFFAOYSA-N Dihydrogen sulfide Chemical compound S RWSOTUBLDIXVET-UHFFFAOYSA-N 0.000 description 2
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 2
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 description 2
- 229910013504 M-O-M Inorganic materials 0.000 description 2
- ISMMXCYXOWCVGW-UHFFFAOYSA-N N-[butan-2-yl-bis(dimethylamino)stannyl]-N-methylmethanamine Chemical compound C(C)(CC)[Sn](N(C)C)(N(C)C)N(C)C ISMMXCYXOWCVGW-UHFFFAOYSA-N 0.000 description 2
- 229920003171 Poly (ethylene oxide) Polymers 0.000 description 2
- 239000002202 Polyethylene glycol Substances 0.000 description 2
- 102100038239 Protein Churchill Human genes 0.000 description 2
- BUGBHKTXTAQXES-UHFFFAOYSA-N Selenium Chemical compound [Se] BUGBHKTXTAQXES-UHFFFAOYSA-N 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- 229910021623 Tin(IV) bromide Inorganic materials 0.000 description 2
- 229910021627 Tin(IV) chloride Inorganic materials 0.000 description 2
- DTQVDTLACAAQTR-UHFFFAOYSA-N Trifluoroacetic acid Chemical compound OC(=O)C(F)(F)F DTQVDTLACAAQTR-UHFFFAOYSA-N 0.000 description 2
- 101150110932 US19 gene Proteins 0.000 description 2
- YRKCREAYFQTBPV-UHFFFAOYSA-N acetylacetone Chemical compound CC(=O)CC(C)=O YRKCREAYFQTBPV-UHFFFAOYSA-N 0.000 description 2
- 150000007513 acids Chemical class 0.000 description 2
- 230000004913 activation Effects 0.000 description 2
- 239000012790 adhesive layer Substances 0.000 description 2
- VSCWAEJMTAWNJL-UHFFFAOYSA-K aluminium trichloride Chemical compound Cl[Al](Cl)Cl VSCWAEJMTAWNJL-UHFFFAOYSA-K 0.000 description 2
- 125000003368 amide group Chemical group 0.000 description 2
- 125000003277 amino group Chemical group 0.000 description 2
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 2
- FAPDDOBMIUGHIN-UHFFFAOYSA-K antimony trichloride Chemical compound Cl[Sb](Cl)Cl FAPDDOBMIUGHIN-UHFFFAOYSA-K 0.000 description 2
- 230000009286 beneficial effect Effects 0.000 description 2
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 2
- 239000006227 byproduct Substances 0.000 description 2
- 125000004432 carbon atom Chemical group C* 0.000 description 2
- 125000003178 carboxy group Chemical group [H]OC(*)=O 0.000 description 2
- 238000004891 communication Methods 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 125000004093 cyano group Chemical group *C#N 0.000 description 2
- 125000000392 cycloalkenyl group Chemical group 0.000 description 2
- 125000001995 cyclobutyl group Chemical group [H]C1([H])C([H])([H])C([H])(*)C1([H])[H] 0.000 description 2
- JHIVVAPYMSGYDF-UHFFFAOYSA-N cyclohexanone Chemical compound O=C1CCCCC1 JHIVVAPYMSGYDF-UHFFFAOYSA-N 0.000 description 2
- 125000001511 cyclopentyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C1([H])[H] 0.000 description 2
- 125000001559 cyclopropyl group Chemical group [H]C1([H])C([H])([H])C1([H])* 0.000 description 2
- 230000020335 dealkylation Effects 0.000 description 2
- 238000006900 dealkylation reaction Methods 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 125000004989 dicarbonyl group Chemical group 0.000 description 2
- HPNMFZURTQLUMO-UHFFFAOYSA-N diethylamine Chemical compound CCNCC HPNMFZURTQLUMO-UHFFFAOYSA-N 0.000 description 2
- 125000004852 dihydrofuranyl group Chemical group O1C(CC=C1)* 0.000 description 2
- YMUZFVVKDBZHGP-UHFFFAOYSA-N dimethyl telluride Chemical compound C[Te]C YMUZFVVKDBZHGP-UHFFFAOYSA-N 0.000 description 2
- 230000009977 dual effect Effects 0.000 description 2
- 230000005672 electromagnetic field Effects 0.000 description 2
- 150000002148 esters Chemical class 0.000 description 2
- 150000002170 ethers Chemical class 0.000 description 2
- 238000009472 formulation Methods 0.000 description 2
- 125000002541 furyl group Chemical group 0.000 description 2
- 238000010574 gas phase reaction Methods 0.000 description 2
- 229910052735 hafnium Inorganic materials 0.000 description 2
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 2
- 125000001188 haloalkyl group Chemical group 0.000 description 2
- 125000003187 heptyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 2
- 125000001072 heteroaryl group Chemical group 0.000 description 2
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 2
- 150000002430 hydrocarbons Chemical group 0.000 description 2
- XMBWDFGMSWQBCA-UHFFFAOYSA-N hydrogen iodide Chemical compound I XMBWDFGMSWQBCA-UHFFFAOYSA-N 0.000 description 2
- 229910000043 hydrogen iodide Inorganic materials 0.000 description 2
- 229910000037 hydrogen sulfide Inorganic materials 0.000 description 2
- 230000005660 hydrophilic surface Effects 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 230000003993 interaction Effects 0.000 description 2
- 238000005468 ion implantation Methods 0.000 description 2
- 230000001788 irregular Effects 0.000 description 2
- 125000002183 isoquinolinyl group Chemical group C1(=NC=CC2=CC=CC=C12)* 0.000 description 2
- 150000002576 ketones Chemical class 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 229910052752 metalloid Inorganic materials 0.000 description 2
- 150000002738 metalloids Chemical class 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- IQKRFOJQFHWWJI-UHFFFAOYSA-N n-[butyl-bis(dimethylamino)stannyl]-n-methylmethanamine Chemical compound CCCC[Sn](N(C)C)(N(C)C)N(C)C IQKRFOJQFHWWJI-UHFFFAOYSA-N 0.000 description 2
- 125000004593 naphthyridinyl group Chemical group N1=C(C=CC2=CC=CN=C12)* 0.000 description 2
- 125000001971 neopentyl group Chemical group [H]C([*])([H])C(C([H])([H])[H])(C([H])([H])[H])C([H])([H])[H] 0.000 description 2
- 230000007935 neutral effect Effects 0.000 description 2
- 125000002347 octyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 2
- 125000001181 organosilyl group Chemical group [SiH3]* 0.000 description 2
- 125000001820 oxy group Chemical group [*:1]O[*:2] 0.000 description 2
- 125000004592 phthalazinyl group Chemical group C1(=NN=CC2=CC=CC=C12)* 0.000 description 2
- 238000007747 plating Methods 0.000 description 2
- 229920001223 polyethylene glycol Polymers 0.000 description 2
- 229920000642 polymer Polymers 0.000 description 2
- 229920001343 polytetrafluoroethylene Polymers 0.000 description 2
- 239000004810 polytetrafluoroethylene Substances 0.000 description 2
- 238000007781 pre-processing Methods 0.000 description 2
- 238000003672 processing method Methods 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 2
- 125000004076 pyridyl group Chemical group 0.000 description 2
- 125000000714 pyrimidinyl group Chemical group 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 150000003839 salts Chemical class 0.000 description 2
- 229920006395 saturated elastomer Polymers 0.000 description 2
- VSZWPYCFIRKVQL-UHFFFAOYSA-N selanylidenegallium;selenium Chemical compound [Se].[Se]=[Ga].[Se]=[Ga] VSZWPYCFIRKVQL-UHFFFAOYSA-N 0.000 description 2
- 229910052711 selenium Inorganic materials 0.000 description 2
- 239000011669 selenium Substances 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 239000000243 solution Substances 0.000 description 2
- RATFAPAEQUBKNF-UHFFFAOYSA-N tert-butyl-tris[(2-methylpropan-2-yl)oxy]stannane Chemical compound CC(C)(C)O[Sn](OC(C)(C)C)(OC(C)(C)C)C(C)(C)C RATFAPAEQUBKNF-UHFFFAOYSA-N 0.000 description 2
- 125000001973 tert-pentyl group Chemical group [H]C([H])([H])C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 2
- RWWNQEOPUOCKGR-UHFFFAOYSA-N tetraethyltin Chemical compound CC[Sn](CC)(CC)CC RWWNQEOPUOCKGR-UHFFFAOYSA-N 0.000 description 2
- 125000003718 tetrahydrofuranyl group Chemical group 0.000 description 2
- VXKWYPOMXBVZSJ-UHFFFAOYSA-N tetramethyltin Chemical compound C[Sn](C)(C)C VXKWYPOMXBVZSJ-UHFFFAOYSA-N 0.000 description 2
- 238000002411 thermogravimetry Methods 0.000 description 2
- 125000001544 thienyl group Chemical group 0.000 description 2
- IUTCEZPPWBHGIX-UHFFFAOYSA-N tin(2+) Chemical compound [Sn+2] IUTCEZPPWBHGIX-UHFFFAOYSA-N 0.000 description 2
- LTSUHJWLSNQKIP-UHFFFAOYSA-J tin(iv) bromide Chemical compound Br[Sn](Br)(Br)Br LTSUHJWLSNQKIP-UHFFFAOYSA-J 0.000 description 2
- HPGGPRDJHPYFRM-UHFFFAOYSA-J tin(iv) chloride Chemical compound Cl[Sn](Cl)(Cl)Cl HPGGPRDJHPYFRM-UHFFFAOYSA-J 0.000 description 2
- WXRGABKACDFXMG-UHFFFAOYSA-N trimethylborane Chemical compound CB(C)C WXRGABKACDFXMG-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 238000011144 upstream manufacturing Methods 0.000 description 2
- 125000004400 (C1-C12) alkyl group Chemical group 0.000 description 1
- 125000003161 (C1-C6) alkylene group Chemical group 0.000 description 1
- FFJCNSLCJOQHKM-CLFAGFIQSA-N (z)-1-[(z)-octadec-9-enoxy]octadec-9-ene Chemical compound CCCCCCCC\C=C/CCCCCCCCOCCCCCCCC\C=C/CCCCCCCC FFJCNSLCJOQHKM-CLFAGFIQSA-N 0.000 description 1
- UREKUAIOJZNUGZ-LWTKGLMZSA-K (z)-5-bis[[(z)-2,2,6,6-tetramethyl-5-oxohept-3-en-3-yl]oxy]alumanyloxy-2,2,6,6-tetramethylhept-4-en-3-one Chemical compound CC(C)(C)C(=O)\C=C(C(C)(C)C)/O[Al](O\C(=C/C(=O)C(C)(C)C)C(C)(C)C)O\C(=C/C(=O)C(C)(C)C)C(C)(C)C UREKUAIOJZNUGZ-LWTKGLMZSA-K 0.000 description 1
- YJTKZCDBKVTVBY-UHFFFAOYSA-N 1,3-Diphenylbenzene Chemical group C1=CC=CC=C1C1=CC=CC(C=2C=CC=CC=2)=C1 YJTKZCDBKVTVBY-UHFFFAOYSA-N 0.000 description 1
- SRGYIOWEOTVTRE-UHFFFAOYSA-N 1,3-oxazinane-2,6-dione Chemical compound O=C1CCNC(=O)O1 SRGYIOWEOTVTRE-UHFFFAOYSA-N 0.000 description 1
- XHQBIYCRFVVHFD-UHFFFAOYSA-N 1-benzothiophen-3-ol Chemical group C1=CC=C2C(O)=CSC2=C1 XHQBIYCRFVVHFD-UHFFFAOYSA-N 0.000 description 1
- NFDXQGNDWIPXQL-UHFFFAOYSA-N 1-cyclooctyldiazocane Chemical group C1CCCCCCC1N1NCCCCCC1 NFDXQGNDWIPXQL-UHFFFAOYSA-N 0.000 description 1
- 125000000530 1-propynyl group Chemical group [H]C([H])([H])C#C* 0.000 description 1
- 125000005955 1H-indazolyl group Chemical group 0.000 description 1
- YBYIRNPNPLQARY-UHFFFAOYSA-N 1H-indene Natural products C1=CC=C2CC=CC2=C1 YBYIRNPNPLQARY-UHFFFAOYSA-N 0.000 description 1
- OHZAHWOAMVVGEL-UHFFFAOYSA-N 2,2'-bithiophene Chemical group C1=CSC(C=2SC=CC=2)=C1 OHZAHWOAMVVGEL-UHFFFAOYSA-N 0.000 description 1
- VILCJCGEZXAXTO-UHFFFAOYSA-N 2,2,2-tetramine Chemical compound NCCNCCNCCN VILCJCGEZXAXTO-UHFFFAOYSA-N 0.000 description 1
- YRAJNWYBUCUFBD-UHFFFAOYSA-N 2,2,6,6-tetramethylheptane-3,5-dione Chemical compound CC(C)(C)C(=O)CC(=O)C(C)(C)C YRAJNWYBUCUFBD-UHFFFAOYSA-N 0.000 description 1
- GIAFURWZWWWBQT-UHFFFAOYSA-N 2-(2-aminoethoxy)ethanol Chemical compound NCCOCCO GIAFURWZWWWBQT-UHFFFAOYSA-N 0.000 description 1
- HZAXFHJVJLSVMW-UHFFFAOYSA-N 2-Aminoethan-1-ol Chemical compound NCCO HZAXFHJVJLSVMW-UHFFFAOYSA-N 0.000 description 1
- DYWAPFDKPAHSED-UHFFFAOYSA-N 2-cycloheptyloxepane Chemical group C1CCCCCC1C1OCCCCC1 DYWAPFDKPAHSED-UHFFFAOYSA-N 0.000 description 1
- ZVUNTIMPQCQCAQ-UHFFFAOYSA-N 2-dodecanoyloxyethyl dodecanoate Chemical compound CCCCCCCCCCCC(=O)OCCOC(=O)CCCCCCCCCCC ZVUNTIMPQCQCAQ-UHFFFAOYSA-N 0.000 description 1
- XBIUWALDKXACEA-UHFFFAOYSA-N 3-[bis(2,4-dioxopentan-3-yl)alumanyl]pentane-2,4-dione Chemical compound CC(=O)C(C(C)=O)[Al](C(C(C)=O)C(C)=O)C(C(C)=O)C(C)=O XBIUWALDKXACEA-UHFFFAOYSA-N 0.000 description 1
- KEFHIAWHBQGYQM-UHFFFAOYSA-N 3-dimethylalumanyl-n,n-dimethylpropan-1-amine Chemical compound CN(C)CCC[Al](C)C KEFHIAWHBQGYQM-UHFFFAOYSA-N 0.000 description 1
- MBVFRSJFKMJRHA-UHFFFAOYSA-N 4-fluoro-1-benzofuran-7-carbaldehyde Chemical compound FC1=CC=C(C=O)C2=C1C=CO2 MBVFRSJFKMJRHA-UHFFFAOYSA-N 0.000 description 1
- 125000005986 4-piperidonyl group Chemical group 0.000 description 1
- 125000004937 4H-carbazolyl group Chemical group C=1(C=CCC2=C3C=CC=CC3=NC12)* 0.000 description 1
- QTBSBXVTEAMEQO-UHFFFAOYSA-M Acetate Chemical compound CC([O-])=O QTBSBXVTEAMEQO-UHFFFAOYSA-M 0.000 description 1
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 1
- 229910016300 BiOx Inorganic materials 0.000 description 1
- BTBUEUYNUDRHOZ-UHFFFAOYSA-N Borate Chemical compound [O-]B([O-])[O-] BTBUEUYNUDRHOZ-UHFFFAOYSA-N 0.000 description 1
- YOAGDOPQYQNTON-UHFFFAOYSA-N C(C)(C)[Sn](OC(C)(C)C)(OC(C)(C)C)OC(C)(C)C Chemical compound C(C)(C)[Sn](OC(C)(C)C)(OC(C)(C)C)OC(C)(C)C YOAGDOPQYQNTON-UHFFFAOYSA-N 0.000 description 1
- CKEPNQBBRACRLR-UHFFFAOYSA-N C(C)N(C)[Sn](N(CC)C)(N(CC)C)N(CC)C Chemical compound C(C)N(C)[Sn](N(CC)C)(N(CC)C)N(CC)C CKEPNQBBRACRLR-UHFFFAOYSA-N 0.000 description 1
- 125000000882 C2-C6 alkenyl group Chemical group 0.000 description 1
- 125000003601 C2-C6 alkynyl group Chemical group 0.000 description 1
- HWIXPKXTHFASMB-UHFFFAOYSA-N CC(C)(C)O[Sn](OC(C)(C)C)OC(C)(C)C Chemical compound CC(C)(C)O[Sn](OC(C)(C)C)OC(C)(C)C HWIXPKXTHFASMB-UHFFFAOYSA-N 0.000 description 1
- LIEOGMBLMOOSAY-UHFFFAOYSA-N CC1=C(C)C(C)([Mg]C2(C)C(C)=C(C)C(C)=C2C)C(C)=C1C Chemical compound CC1=C(C)C(C)([Mg]C2(C)C(C)=C(C)C(C)=C2C)C(C)=C1C LIEOGMBLMOOSAY-UHFFFAOYSA-N 0.000 description 1
- YVAJNKTWVAROBF-UHFFFAOYSA-N CN(C)[Sn] Chemical compound CN(C)[Sn] YVAJNKTWVAROBF-UHFFFAOYSA-N 0.000 description 1
- 101100419874 Caenorhabditis elegans snr-2 gene Proteins 0.000 description 1
- 101100149686 Caenorhabditis elegans snr-4 gene Proteins 0.000 description 1
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- SNRUBQQJIBEYMU-UHFFFAOYSA-N Dodecane Natural products CCCCCCCCCCCC SNRUBQQJIBEYMU-UHFFFAOYSA-N 0.000 description 1
- BFPYWIDHMRZLRN-SLHNCBLASA-N Ethinyl estradiol Chemical group OC1=CC=C2[C@H]3CC[C@](C)([C@](CC4)(O)C#C)[C@@H]4[C@@H]3CCC2=C1 BFPYWIDHMRZLRN-SLHNCBLASA-N 0.000 description 1
- FPVVYTCTZKCSOJ-UHFFFAOYSA-N Ethylene glycol distearate Chemical compound CCCCCCCCCCCCCCCCCC(=O)OCCOC(=O)CCCCCCCCCCCCCCCCC FPVVYTCTZKCSOJ-UHFFFAOYSA-N 0.000 description 1
- PIICEJLVQHRZGT-UHFFFAOYSA-N Ethylenediamine Chemical compound NCCN PIICEJLVQHRZGT-UHFFFAOYSA-N 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 1
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 1
- 239000002841 Lewis acid Substances 0.000 description 1
- OKKJLVBELUTLKV-UHFFFAOYSA-N Methanol Chemical compound OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- FFCANLYBTKDQNI-UHFFFAOYSA-N N-[bis(diethylamino)-propylstannyl]-N-ethylethanamine Chemical compound C(CC)[Sn](N(CC)CC)(N(CC)CC)N(CC)CC FFCANLYBTKDQNI-UHFFFAOYSA-N 0.000 description 1
- KQGGJNBLTLQKTN-UHFFFAOYSA-N N-[bis(dimethylamino)-pentylstannyl]-N-methylmethanamine Chemical compound C(CCCC)[Sn](N(C)C)(N(C)C)N(C)C KQGGJNBLTLQKTN-UHFFFAOYSA-N 0.000 description 1
- UHOOJVLVYSLQLQ-UHFFFAOYSA-N N-[bis(dimethylamino)-propan-2-ylstannyl]-N-methylmethanamine Chemical compound C(C)(C)[Sn](N(C)C)(N(C)C)N(C)C UHOOJVLVYSLQLQ-UHFFFAOYSA-N 0.000 description 1
- POYXZKRAMUWFIP-UHFFFAOYSA-N N-[ditert-butyl(dimethylamino)stannyl]-N-methylmethanamine Chemical compound C(C)(C)(C)[Sn](N(C)C)(N(C)C)C(C)(C)C POYXZKRAMUWFIP-UHFFFAOYSA-N 0.000 description 1
- HISWIBBQBKEYQD-UHFFFAOYSA-N N-[tert-butyl-bis(dimethylamino)stannyl]-N-methylmethanamine Chemical compound CN(C)[Sn](N(C)C)(N(C)C)C(C)(C)C HISWIBBQBKEYQD-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- 229910008449 SnF 2 Inorganic materials 0.000 description 1
- DBMJMQXJHONAFJ-UHFFFAOYSA-M Sodium laurylsulphate Chemical compound [Na+].CCCCCCCCCCCCOS([O-])(=O)=O DBMJMQXJHONAFJ-UHFFFAOYSA-M 0.000 description 1
- 229910010413 TiO 2 Inorganic materials 0.000 description 1
- GSEJCLTVZPLZKY-UHFFFAOYSA-N Triethanolamine Chemical compound OCCN(CCO)CCO GSEJCLTVZPLZKY-UHFFFAOYSA-N 0.000 description 1
- 101150049278 US20 gene Proteins 0.000 description 1
- ISKQADXMHQSTHK-UHFFFAOYSA-N [4-(aminomethyl)phenyl]methanamine Chemical compound NCC1=CC=C(CN)C=C1 ISKQADXMHQSTHK-UHFFFAOYSA-N 0.000 description 1
- ARJQZFMBBVUJLW-UHFFFAOYSA-N [[bis[bis(trimethylsilyl)amino]bismuthanyl-trimethylsilylamino]-dimethylsilyl]methane Chemical compound [Bi+3].C[Si](C)(C)[N-][Si](C)(C)C.C[Si](C)(C)[N-][Si](C)(C)C.C[Si](C)(C)[N-][Si](C)(C)C ARJQZFMBBVUJLW-UHFFFAOYSA-N 0.000 description 1
- 125000000641 acridinyl group Chemical group C1(=CC=CC2=NC3=CC=CC=C3C=C12)* 0.000 description 1
- 125000004423 acyloxy group Chemical group 0.000 description 1
- GFFGJBXGBJISGV-UHFFFAOYSA-N adenyl group Chemical group N1=CN=C2N=CNC2=C1N GFFGJBXGBJISGV-UHFFFAOYSA-N 0.000 description 1
- 150000004703 alkoxides Chemical class 0.000 description 1
- 125000003282 alkyl amino group Chemical group 0.000 description 1
- 125000005210 alkyl ammonium group Chemical group 0.000 description 1
- HSFWRNGVRCDJHI-UHFFFAOYSA-N alpha-acetylene Natural products C#C HSFWRNGVRCDJHI-UHFFFAOYSA-N 0.000 description 1
- 239000012080 ambient air Substances 0.000 description 1
- 125000004103 aminoalkyl group Chemical group 0.000 description 1
- 125000005001 aminoaryl group Chemical group 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 239000000908 ammonium hydroxide Substances 0.000 description 1
- ORBBVPFDROYXQS-UHFFFAOYSA-N ammonium perfluorononanoate Chemical class N.OC(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F ORBBVPFDROYXQS-UHFFFAOYSA-N 0.000 description 1
- 229910003481 amorphous carbon Inorganic materials 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 125000002178 anthracenyl group Chemical group C1(=CC=CC2=CC3=CC=CC=C3C=C12)* 0.000 description 1
- 125000005428 anthryl group Chemical group [H]C1=C([H])C([H])=C2C([H])=C3C(*)=C([H])C([H])=C([H])C3=C([H])C2=C1[H] 0.000 description 1
- 239000003125 aqueous solvent Substances 0.000 description 1
- 125000001204 arachidyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 125000002102 aryl alkyloxo group Chemical group 0.000 description 1
- 238000001636 atomic emission spectroscopy Methods 0.000 description 1
- 125000005602 azabenzimidazolyl group Chemical group 0.000 description 1
- 125000005334 azaindolyl group Chemical group N1N=C(C2=CC=CC=C12)* 0.000 description 1
- YOALFLHFSFEMLP-UHFFFAOYSA-N azane;2,2,3,3,4,4,5,5,6,6,7,7,8,8,8-pentadecafluorooctanoic acid Chemical class [NH4+].[O-]C(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F YOALFLHFSFEMLP-UHFFFAOYSA-N 0.000 description 1
- 125000003725 azepanyl group Chemical group 0.000 description 1
- 125000002785 azepinyl group Chemical group 0.000 description 1
- 125000002393 azetidinyl group Chemical group 0.000 description 1
- 125000004069 aziridinyl group Chemical group 0.000 description 1
- 125000004931 azocinyl group Chemical group N1=C(C=CC=CC=C1)* 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 125000003785 benzimidazolyl group Chemical group N1=C(NC2=C1C=CC=C2)* 0.000 description 1
- 125000004604 benzisothiazolyl group Chemical group S1N=C(C2=C1C=CC=C2)* 0.000 description 1
- 125000004603 benzisoxazolyl group Chemical group O1N=C(C2=C1C=CC=C2)* 0.000 description 1
- 125000003310 benzodiazepinyl group Chemical group N1N=C(C=CC2=C1C=CC=C2)* 0.000 description 1
- 125000002047 benzodioxolyl group Chemical group O1OC(C2=C1C=CC=C2)* 0.000 description 1
- 125000000499 benzofuranyl group Chemical group O1C(=CC2=C1C=CC=C2)* 0.000 description 1
- 125000004618 benzofuryl group Chemical group O1C(=CC2=C1C=CC=C2)* 0.000 description 1
- 125000004619 benzopyranyl group Chemical group O1C(C=CC2=C1C=CC=C2)* 0.000 description 1
- 125000005874 benzothiadiazolyl group Chemical group 0.000 description 1
- 125000001164 benzothiazolyl group Chemical group S1C(=NC2=C1C=CC=C2)* 0.000 description 1
- 125000004600 benzothiopyranyl group Chemical group S1C(C=CC2=C1C=CC=C2)* 0.000 description 1
- 125000003354 benzotriazolyl group Chemical group N1N=NC2=C1C=CC=C2* 0.000 description 1
- 125000004622 benzoxazinyl group Chemical group O1NC(=CC2=C1C=CC=C2)* 0.000 description 1
- 125000004935 benzoxazolinyl group Chemical group O1C(=NC2=C1C=CC=C2)* 0.000 description 1
- 125000004541 benzoxazolyl group Chemical group O1C(=NC2=C1C=CC=C2)* 0.000 description 1
- 125000001797 benzyl group Chemical group [H]C1=C([H])C([H])=C(C([H])=C1[H])C([H])([H])* 0.000 description 1
- PBKYCFJFZMEFRS-UHFFFAOYSA-L beryllium bromide Chemical compound [Be+2].[Br-].[Br-] PBKYCFJFZMEFRS-UHFFFAOYSA-L 0.000 description 1
- LWBPNIJBHRISSS-UHFFFAOYSA-L beryllium dichloride Chemical compound Cl[Be]Cl LWBPNIJBHRISSS-UHFFFAOYSA-L 0.000 description 1
- BBKXDHBLPBKCFR-FDGPNNRMSA-L beryllium;(z)-4-oxopent-2-en-2-olate Chemical compound [Be+2].C\C([O-])=C\C(C)=O.C\C([O-])=C\C(C)=O BBKXDHBLPBKCFR-FDGPNNRMSA-L 0.000 description 1
- LTGFPOASROGREL-UHFFFAOYSA-N beryllium;carbanide Chemical compound [Be+2].[CH3-].[CH3-] LTGFPOASROGREL-UHFFFAOYSA-N 0.000 description 1
- 125000002619 bicyclic group Chemical group 0.000 description 1
- XDRPDDZWXGILRT-FDGPNNRMSA-L bis[[(z)-4-oxopent-2-en-2-yl]oxy]tin Chemical compound [Sn+2].C\C([O-])=C\C(C)=O.C\C([O-])=C\C(C)=O XDRPDDZWXGILRT-FDGPNNRMSA-L 0.000 description 1
- ILAHWRKJUDSMFH-UHFFFAOYSA-N boron tribromide Chemical compound BrB(Br)Br ILAHWRKJUDSMFH-UHFFFAOYSA-N 0.000 description 1
- LRJRPHROCLHMHK-UHFFFAOYSA-N boron;n,n-dimethylmethanamine Chemical compound [B].CN(C)C LRJRPHROCLHMHK-UHFFFAOYSA-N 0.000 description 1
- RJTANRZEWTUVMA-UHFFFAOYSA-N boron;n-methylmethanamine Chemical compound [B].CNC RJTANRZEWTUVMA-UHFFFAOYSA-N 0.000 description 1
- 150000001642 boronic acid derivatives Chemical class 0.000 description 1
- 229910052792 caesium Inorganic materials 0.000 description 1
- TVFDJXOCXUVLDH-UHFFFAOYSA-N caesium atom Chemical compound [Cs] TVFDJXOCXUVLDH-UHFFFAOYSA-N 0.000 description 1
- 125000000609 carbazolyl group Chemical group C1(=CC=CC=2C3=CC=CC=C3NC12)* 0.000 description 1
- 125000004623 carbolinyl group Chemical group 0.000 description 1
- 150000001721 carbon Chemical class 0.000 description 1
- 150000007942 carboxylates Chemical class 0.000 description 1
- 150000001732 carboxylic acid derivatives Chemical class 0.000 description 1
- 125000002843 carboxylic acid group Chemical group 0.000 description 1
- 239000007795 chemical reaction product Substances 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- CZRDZAGTSCUWNG-UHFFFAOYSA-M chloro(dimethyl)tin Chemical compound C[Sn](C)Cl CZRDZAGTSCUWNG-UHFFFAOYSA-M 0.000 description 1
- KWTSZCJMWHGPOS-UHFFFAOYSA-M chloro(trimethyl)stannane Chemical compound C[Sn](C)(C)Cl KWTSZCJMWHGPOS-UHFFFAOYSA-M 0.000 description 1
- 125000003016 chromanyl group Chemical group O1C(CCC2=CC=CC=C12)* 0.000 description 1
- 125000004230 chromenyl group Chemical group O1C(C=CC2=CC=CC=C12)* 0.000 description 1
- 125000002676 chrysenyl group Chemical group C1(=CC=CC=2C3=CC=C4C=CC=CC4=C3C=CC12)* 0.000 description 1
- 125000000259 cinnolinyl group Chemical group N1=NC(=CC2=CC=CC=C12)* 0.000 description 1
- 238000003776 cleavage reaction Methods 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 230000003750 conditioning effect Effects 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 238000010924 continuous production Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 230000002596 correlated effect Effects 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 125000000332 coumarinyl group Chemical group O1C(=O)C(=CC2=CC=CC=C12)* 0.000 description 1
- 125000000582 cycloheptyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C1([H])[H] 0.000 description 1
- 125000000596 cyclohexenyl group Chemical group C1(=CCCCC1)* 0.000 description 1
- DLIOSYYYCBMDCP-UHFFFAOYSA-N cyclopenta-1,3-diene;scandium(3+) Chemical compound [Sc+3].C=1C=C[CH-]C=1.C=1C=C[CH-]C=1.C=1C=C[CH-]C=1 DLIOSYYYCBMDCP-UHFFFAOYSA-N 0.000 description 1
- 125000002433 cyclopentenyl group Chemical group C1(=CCCC1)* 0.000 description 1
- 125000004652 decahydroisoquinolinyl group Chemical group C1(NCCC2CCCCC12)* 0.000 description 1
- 125000004856 decahydroquinolinyl group Chemical group N1(CCCC2CCCCC12)* 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 125000002704 decyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 238000007872 degassing Methods 0.000 description 1
- 230000000593 degrading effect Effects 0.000 description 1
- 230000032798 delamination Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- PBGGNZZGJIKBMJ-UHFFFAOYSA-N di(propan-2-yl)azanide Chemical compound CC(C)[N-]C(C)C PBGGNZZGJIKBMJ-UHFFFAOYSA-N 0.000 description 1
- 125000005265 dialkylamine group Chemical group 0.000 description 1
- 125000005509 dibenzothiophenyl group Chemical group 0.000 description 1
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 1
- WUWOPJNIAKTBSJ-UHFFFAOYSA-N diboron tetrafluoride Chemical compound FB(F)B(F)F WUWOPJNIAKTBSJ-UHFFFAOYSA-N 0.000 description 1
- DTYWIPLKZHQUMW-UHFFFAOYSA-N dibutyl(diphenyl)stannane Chemical compound C=1C=CC=CC=1[Sn](CCCC)(CCCC)C1=CC=CC=C1 DTYWIPLKZHQUMW-UHFFFAOYSA-N 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- ZBCBWPMODOFKDW-UHFFFAOYSA-N diethanolamine Chemical compound OCCNCCO ZBCBWPMODOFKDW-UHFFFAOYSA-N 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 125000004639 dihydroindenyl group Chemical group C1(CCC2=CC=CC=C12)* 0.000 description 1
- 125000001070 dihydroindolyl group Chemical group N1(CCC2=CC=CC=C12)* 0.000 description 1
- 125000005045 dihydroisoquinolinyl group Chemical group C1(NC=CC2=CC=CC=C12)* 0.000 description 1
- 125000005043 dihydropyranyl group Chemical group O1C(CCC=C1)* 0.000 description 1
- 125000004655 dihydropyridinyl group Chemical group N1(CC=CC=C1)* 0.000 description 1
- 125000004925 dihydropyridyl group Chemical group N1(CC=CC=C1)* 0.000 description 1
- 125000005057 dihydrothienyl group Chemical group S1C(CC=C1)* 0.000 description 1
- QKIUAMUSENSFQQ-UHFFFAOYSA-N dimethylazanide Chemical compound C[N-]C QKIUAMUSENSFQQ-UHFFFAOYSA-N 0.000 description 1
- 229910001873 dinitrogen Inorganic materials 0.000 description 1
- 125000000532 dioxanyl group Chemical group 0.000 description 1
- USIUVYZYUHIAEV-UHFFFAOYSA-N diphenyl ether Chemical compound C=1C=CC=CC=1OC1=CC=CC=C1 USIUVYZYUHIAEV-UHFFFAOYSA-N 0.000 description 1
- JMGZBMRVDHKMKB-UHFFFAOYSA-L disodium;2-sulfobutanedioate Chemical compound [Na+].[Na+].OS(=O)(=O)C(C([O-])=O)CC([O-])=O JMGZBMRVDHKMKB-UHFFFAOYSA-L 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 125000005883 dithianyl group Chemical group 0.000 description 1
- 125000005303 dithiazolyl group Chemical group S1SNC(=C1)* 0.000 description 1
- 125000003438 dodecyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 239000012636 effector Substances 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 238000000572 ellipsometry Methods 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- JFDAACUVRQBXJO-UHFFFAOYSA-N ethylcyclopentane;magnesium Chemical compound [Mg].CC[C]1[CH][CH][CH][CH]1.CC[C]1[CH][CH][CH][CH]1 JFDAACUVRQBXJO-UHFFFAOYSA-N 0.000 description 1
- LYCAIKOWRPUZTN-UHFFFAOYSA-N ethylene glycol Natural products OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 description 1
- 125000002534 ethynyl group Chemical group [H]C#C* 0.000 description 1
- 230000001747 exhibiting effect Effects 0.000 description 1
- 125000003914 fluoranthenyl group Chemical group C1(=CC=C2C=CC=C3C4=CC=CC=C4C1=C23)* 0.000 description 1
- 125000003983 fluorenyl group Chemical group C1(=CC=CC=2C3=CC=CC=C3CC12)* 0.000 description 1
- 125000000524 functional group Chemical group 0.000 description 1
- 238000007306 functionalization reaction Methods 0.000 description 1
- 125000003838 furazanyl group Chemical group 0.000 description 1
- 229940100608 glycol distearate Drugs 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 125000005844 heterocyclyloxy group Chemical group 0.000 description 1
- 125000004051 hexyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 239000002784 hot electron Substances 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 125000001183 hydrocarbyl group Chemical group 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- 239000012433 hydrogen halide Substances 0.000 description 1
- 229910000039 hydrogen halide Inorganic materials 0.000 description 1
- 230000005661 hydrophobic surface Effects 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-M hydroxide Chemical compound [OH-] XLYOFNOQVPJJNP-UHFFFAOYSA-M 0.000 description 1
- WGCNASOHLSPBMP-UHFFFAOYSA-N hydroxyacetaldehyde Natural products OCC=O WGCNASOHLSPBMP-UHFFFAOYSA-N 0.000 description 1
- 125000002632 imidazolidinyl group Chemical group 0.000 description 1
- 125000002636 imidazolinyl group Chemical group 0.000 description 1
- 125000002883 imidazolyl group Chemical group 0.000 description 1
- 125000001841 imino group Chemical group [H]N=* 0.000 description 1
- 230000008676 import Effects 0.000 description 1
- 238000012625 in-situ measurement Methods 0.000 description 1
- 238000011534 incubation Methods 0.000 description 1
- 125000003427 indacenyl group Chemical group 0.000 description 1
- 125000003392 indanyl group Chemical group C1(CCC2=CC=CC=C12)* 0.000 description 1
- 125000003453 indazolyl group Chemical group N1N=C(C2=C1C=CC=C2)* 0.000 description 1
- 125000003454 indenyl group Chemical group C1(C=CC2=CC=CC=C12)* 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 125000004926 indolenyl group Chemical group 0.000 description 1
- 125000003387 indolinyl group Chemical group N1(CCC2=CC=CC=C12)* 0.000 description 1
- 125000003406 indolizinyl group Chemical group C=1(C=CN2C=CC=CC12)* 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 239000002608 ionic liquid Substances 0.000 description 1
- 125000001977 isobenzofuranyl group Chemical group C=1(OC=C2C=CC=CC12)* 0.000 description 1
- 125000003384 isochromanyl group Chemical group C1(OCCC2=CC=CC=C12)* 0.000 description 1
- 125000004594 isoindolinyl group Chemical group C1(NCC2=CC=CC=C12)* 0.000 description 1
- 125000000904 isoindolyl group Chemical group C=1(NC=C2C=CC=CC12)* 0.000 description 1
- 125000001972 isopentyl group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])C([H])([H])* 0.000 description 1
- 125000005956 isoquinolyl group Chemical group 0.000 description 1
- 125000004628 isothiazolidinyl group Chemical group S1N(CCC1)* 0.000 description 1
- 125000001786 isothiazolyl group Chemical group 0.000 description 1
- 125000003965 isoxazolidinyl group Chemical group 0.000 description 1
- 125000000842 isoxazolyl group Chemical group 0.000 description 1
- 238000012804 iterative process Methods 0.000 description 1
- 150000007517 lewis acids Chemical class 0.000 description 1
- 125000002463 lignoceryl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 239000007791 liquid phase Substances 0.000 description 1
- AKTIAGQCYPCKFX-FDGPNNRMSA-L magnesium;(z)-4-oxopent-2-en-2-olate Chemical compound [Mg+2].C\C([O-])=C\C(C)=O.C\C([O-])=C\C(C)=O AKTIAGQCYPCKFX-FDGPNNRMSA-L 0.000 description 1
- GTLNCANDXCIVJA-UHFFFAOYSA-N magnesium;propylcyclopentane Chemical compound [Mg].CCC[C]1[CH][CH][CH][CH]1.CCC[C]1[CH][CH][CH][CH]1 GTLNCANDXCIVJA-UHFFFAOYSA-N 0.000 description 1
- 238000004949 mass spectrometry Methods 0.000 description 1
- 230000001404 mediated effect Effects 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 125000002911 monocyclic heterocycle group Chemical group 0.000 description 1
- 125000002757 morpholinyl group Chemical group 0.000 description 1
- 125000001421 myristyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- SOLWORTYZPSMAK-UHFFFAOYSA-N n-[bis(dimethylamino)boranyl]-n-methylmethanamine Chemical compound CN(C)B(N(C)C)N(C)C SOLWORTYZPSMAK-UHFFFAOYSA-N 0.000 description 1
- JZOYMKQPPHOUPB-UHFFFAOYSA-N n-[tert-butyl-bis(diethylamino)stannyl]-n-ethylethanamine Chemical compound CCN(CC)[Sn](N(CC)CC)(N(CC)CC)C(C)(C)C JZOYMKQPPHOUPB-UHFFFAOYSA-N 0.000 description 1
- IFVSPCQTOMZHOP-UHFFFAOYSA-N n-ethyl-n-[tris(diethylamino)stannyl]ethanamine Chemical compound CCN(CC)[Sn](N(CC)CC)(N(CC)CC)N(CC)CC IFVSPCQTOMZHOP-UHFFFAOYSA-N 0.000 description 1
- 125000001280 n-hexyl group Chemical group C(CCCCC)* 0.000 description 1
- HQFPMGPCIKGRON-UHFFFAOYSA-N n-methyl-n-trimethylstannylmethanamine Chemical compound CN(C)[Sn](C)(C)C HQFPMGPCIKGRON-UHFFFAOYSA-N 0.000 description 1
- 125000001624 naphthyl group Chemical group 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 125000000449 nitro group Chemical group [O-][N+](*)=O 0.000 description 1
- 229910017464 nitrogen compound Inorganic materials 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 1
- 229910052755 nonmetal Inorganic materials 0.000 description 1
- 125000001400 nonyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 125000004930 octahydroisoquinolinyl group Chemical group C1(NCCC2CCCC=C12)* 0.000 description 1
- 150000002894 organic compounds Chemical class 0.000 description 1
- 125000000962 organic group Chemical group 0.000 description 1
- 229920000620 organic polymer Polymers 0.000 description 1
- 150000002902 organometallic compounds Chemical class 0.000 description 1
- 125000001715 oxadiazolyl group Chemical group 0.000 description 1
- 125000000160 oxazolidinyl group Chemical group 0.000 description 1
- 125000005968 oxazolinyl group Chemical group 0.000 description 1
- 125000002971 oxazolyl group Chemical group 0.000 description 1
- 125000003551 oxepanyl group Chemical group 0.000 description 1
- 125000003566 oxetanyl group Chemical group 0.000 description 1
- 125000004095 oxindolyl group Chemical group N1(C(CC2=CC=CC=C12)=O)* 0.000 description 1
- 125000000466 oxiranyl group Chemical group 0.000 description 1
- 125000000913 palmityl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 125000004934 phenanthridinyl group Chemical group C1(=CC=CC2=NC=C3C=CC=CC3=C12)* 0.000 description 1
- 125000004625 phenanthrolinyl group Chemical group N1=C(C=CC2=CC=C3C=CC=NC3=C12)* 0.000 description 1
- 125000005561 phenanthryl group Chemical group 0.000 description 1
- 125000001791 phenazinyl group Chemical group C1(=CC=CC2=NC3=CC=CC=C3N=C12)* 0.000 description 1
- 125000001484 phenothiazinyl group Chemical group C1(=CC=CC=2SC3=CC=CC=C3NC12)* 0.000 description 1
- 125000005954 phenoxathiinyl group Chemical group 0.000 description 1
- 125000001644 phenoxazinyl group Chemical group C1(=CC=CC=2OC3=CC=CC=C3NC12)* 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 238000006303 photolysis reaction Methods 0.000 description 1
- 230000015843 photosynthesis, light reaction Effects 0.000 description 1
- 125000005633 phthalidyl group Chemical group 0.000 description 1
- 230000000704 physical effect Effects 0.000 description 1
- 125000001388 picenyl group Chemical group C1(=CC=CC2=CC=C3C4=CC=C5C=CC=CC5=C4C=CC3=C21)* 0.000 description 1
- 125000004193 piperazinyl group Chemical group 0.000 description 1
- 125000003386 piperidinyl group Chemical group 0.000 description 1
- 125000004928 piperidonyl group Chemical group 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 238000012805 post-processing Methods 0.000 description 1
- 238000007639 printing Methods 0.000 description 1
- 125000001042 pteridinyl group Chemical group N1=C(N=CC2=NC=CN=C12)* 0.000 description 1
- 125000000561 purinyl group Chemical group N1=C(N=C2N=CNC2=C1)* 0.000 description 1
- 125000004309 pyranyl group Chemical group O1C(C=CC=C1)* 0.000 description 1
- 125000003373 pyrazinyl group Chemical group 0.000 description 1
- 125000003072 pyrazolidinyl group Chemical group 0.000 description 1
- 125000002755 pyrazolinyl group Chemical group 0.000 description 1
- 125000003226 pyrazolyl group Chemical group 0.000 description 1
- 125000001725 pyrenyl group Chemical group 0.000 description 1
- 125000002098 pyridazinyl group Chemical group 0.000 description 1
- 125000000719 pyrrolidinyl group Chemical group 0.000 description 1
- 125000004929 pyrrolidonyl group Chemical group N1(C(CCC1)=O)* 0.000 description 1
- 125000001422 pyrrolinyl group Chemical group 0.000 description 1
- 125000000168 pyrrolyl group Chemical group 0.000 description 1
- WVIICGIFSIBFOG-UHFFFAOYSA-N pyrylium Chemical compound C1=CC=[O+]C=C1 WVIICGIFSIBFOG-UHFFFAOYSA-N 0.000 description 1
- 125000001453 quaternary ammonium group Chemical group 0.000 description 1
- 150000003242 quaternary ammonium salts Chemical class 0.000 description 1
- 125000002294 quinazolinyl group Chemical group N1=C(N=CC2=CC=CC=C12)* 0.000 description 1
- 125000002943 quinolinyl group Chemical group N1=C(C=CC2=CC=CC=C12)* 0.000 description 1
- 125000005493 quinolyl group Chemical group 0.000 description 1
- 125000001567 quinoxalinyl group Chemical group N1=C(C=NC2=CC=CC=C12)* 0.000 description 1
- 125000004621 quinuclidinyl group Chemical group N12C(CC(CC1)CC2)* 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 230000000284 resting effect Effects 0.000 description 1
- 229930195734 saturated hydrocarbon Natural products 0.000 description 1
- 230000007017 scission Effects 0.000 description 1
- 125000003548 sec-pentyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 1
- 230000001568 sexual effect Effects 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 238000002791 soaking Methods 0.000 description 1
- 235000019333 sodium laurylsulphate Nutrition 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 125000005017 substituted alkenyl group Chemical group 0.000 description 1
- 125000005346 substituted cycloalkyl group Chemical group 0.000 description 1
- 230000008093 supporting effect Effects 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- 230000003746 surface roughness Effects 0.000 description 1
- 238000004381 surface treatment Methods 0.000 description 1
- JBQYATWDVHIOAR-UHFFFAOYSA-N tellanylidenegermanium Chemical compound [Te]=[Ge] JBQYATWDVHIOAR-UHFFFAOYSA-N 0.000 description 1
- XSOKHXFFCGXDJZ-UHFFFAOYSA-N telluride(2-) Chemical compound [Te-2] XSOKHXFFCGXDJZ-UHFFFAOYSA-N 0.000 description 1
- PUGUQINMNYINPK-UHFFFAOYSA-N tert-butyl 4-(2-chloroacetyl)piperazine-1-carboxylate Chemical compound CC(C)(C)OC(=O)N1CCN(C(=O)CCl)CC1 PUGUQINMNYINPK-UHFFFAOYSA-N 0.000 description 1
- 125000006169 tetracyclic group Chemical group 0.000 description 1
- 125000003039 tetrahydroisoquinolinyl group Chemical group C1(NCCC2=CC=CC=C12)* 0.000 description 1
- 125000001712 tetrahydronaphthyl group Chemical group C1(CCCC2=CC=CC=C12)* 0.000 description 1
- 125000004853 tetrahydropyridinyl group Chemical group N1(CCCC=C1)* 0.000 description 1
- MZIYQMVHASXABC-UHFFFAOYSA-N tetrakis(ethenyl)stannane Chemical compound C=C[Sn](C=C)(C=C)C=C MZIYQMVHASXABC-UHFFFAOYSA-N 0.000 description 1
- XJPKDRJZNZMJQM-UHFFFAOYSA-N tetrakis(prop-2-enyl)stannane Chemical compound C=CC[Sn](CC=C)(CC=C)CC=C XJPKDRJZNZMJQM-UHFFFAOYSA-N 0.000 description 1
- 238000005979 thermal decomposition reaction Methods 0.000 description 1
- 238000007669 thermal treatment Methods 0.000 description 1
- 125000001113 thiadiazolyl group Chemical group 0.000 description 1
- 125000004627 thianthrenyl group Chemical group C1(=CC=CC=2SC3=CC=CC=C3SC12)* 0.000 description 1
- 125000005458 thianyl group Chemical group 0.000 description 1
- 125000005308 thiazepinyl group Chemical group S1N=C(C=CC=C1)* 0.000 description 1
- 125000004305 thiazinyl group Chemical group S1NC(=CC=C1)* 0.000 description 1
- 125000001984 thiazolidinyl group Chemical group 0.000 description 1
- 125000000335 thiazolyl group Chemical group 0.000 description 1
- 125000001583 thiepanyl group Chemical group 0.000 description 1
- 125000003777 thiepinyl group Chemical group 0.000 description 1
- 125000002053 thietanyl group Chemical group 0.000 description 1
- 125000001730 thiiranyl group Chemical group 0.000 description 1
- 125000004568 thiomorpholinyl group Chemical group 0.000 description 1
- 125000005503 thioxanyl group Chemical group 0.000 description 1
- WYUZTTNXJUJWQQ-UHFFFAOYSA-N tin telluride Chemical compound [Te]=[Sn] WYUZTTNXJUJWQQ-UHFFFAOYSA-N 0.000 description 1
- 125000005270 trialkylamine group Chemical group 0.000 description 1
- 125000004306 triazinyl group Chemical group 0.000 description 1
- 125000001425 triazolyl group Chemical group 0.000 description 1
- DBGVGMSCBYYSLD-UHFFFAOYSA-N tributylstannane Chemical compound CCCC[SnH](CCCC)CCCC DBGVGMSCBYYSLD-UHFFFAOYSA-N 0.000 description 1
- YFRLQYJXUZRYDN-UHFFFAOYSA-K trichloro(methyl)stannane Chemical compound C[Sn](Cl)(Cl)Cl YFRLQYJXUZRYDN-UHFFFAOYSA-K 0.000 description 1
- 125000006168 tricyclic group Chemical group 0.000 description 1
- RNVJQUPAEIQUTC-UHFFFAOYSA-N tricyclohexyltin Chemical compound C1CCCCC1[Sn](C1CCCCC1)C1CCCCC1 RNVJQUPAEIQUTC-UHFFFAOYSA-N 0.000 description 1
- AJSTXXYNEIHPMD-UHFFFAOYSA-N triethyl borate Chemical compound CCOB(OCC)OCC AJSTXXYNEIHPMD-UHFFFAOYSA-N 0.000 description 1
- VOITXYVAKOUIBA-UHFFFAOYSA-N triethylaluminium Chemical compound CC[Al](CC)CC VOITXYVAKOUIBA-UHFFFAOYSA-N 0.000 description 1
- 125000000876 trifluoromethoxy group Chemical group FC(F)(F)O* 0.000 description 1
- 230000001960 triggered effect Effects 0.000 description 1
- 125000004952 trihaloalkoxy group Chemical group 0.000 description 1
- UAEJRRZPRZCUBE-UHFFFAOYSA-N trimethoxyalumane Chemical compound [Al+3].[O-]C.[O-]C.[O-]C UAEJRRZPRZCUBE-UHFFFAOYSA-N 0.000 description 1
- WRECIMRULFAWHA-UHFFFAOYSA-N trimethyl borate Chemical compound COB(OC)OC WRECIMRULFAWHA-UHFFFAOYSA-N 0.000 description 1
- QYYZHXHYNLXWAW-UHFFFAOYSA-N trimethyl(2-phenylethynyl)stannane Chemical compound C[Sn](C)(C)C#CC1=CC=CC=C1 QYYZHXHYNLXWAW-UHFFFAOYSA-N 0.000 description 1
- COHOGNZHAUOXPA-UHFFFAOYSA-N trimethyl(phenyl)stannane Chemical compound C[Sn](C)(C)C1=CC=CC=C1 COHOGNZHAUOXPA-UHFFFAOYSA-N 0.000 description 1
- JLTRXTDYQLMHGR-UHFFFAOYSA-N trimethylaluminium Chemical compound C[Al](C)C JLTRXTDYQLMHGR-UHFFFAOYSA-N 0.000 description 1
- 125000000026 trimethylsilyl group Chemical group [H]C([H])([H])[Si]([*])(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- MXSVLWZRHLXFKH-UHFFFAOYSA-N triphenylborane Chemical compound C1=CC=CC=C1B(C=1C=CC=CC=1)C1=CC=CC=C1 MXSVLWZRHLXFKH-UHFFFAOYSA-N 0.000 description 1
- NHDIQVFFNDKAQU-UHFFFAOYSA-N tripropan-2-yl borate Chemical compound CC(C)OB(OC(C)C)OC(C)C NHDIQVFFNDKAQU-UHFFFAOYSA-N 0.000 description 1
- LENZDBCJOHFCAS-UHFFFAOYSA-N tris Chemical compound OCC(N)(CO)CO LENZDBCJOHFCAS-UHFFFAOYSA-N 0.000 description 1
- ZDVOYLRZWRUNKS-UHFFFAOYSA-N tris[(1-methoxy-2-methylpropan-2-yl)oxy]alumane Chemical compound COCC(C)(C)O[Al](OC(C)(C)COC)OC(C)(C)COC ZDVOYLRZWRUNKS-UHFFFAOYSA-N 0.000 description 1
- 125000005455 trithianyl group Chemical group 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 238000005019 vapor deposition process Methods 0.000 description 1
- 239000012808 vapor phase Substances 0.000 description 1
- 238000013022 venting Methods 0.000 description 1
- 125000001834 xanthenyl group Chemical group C1=CC=CC=2OC3=CC=CC=C3C(C12)* 0.000 description 1
- 125000004933 β-carbolinyl group Chemical group C1(=NC=CC=2C3=CC=CC=C3NC12)* 0.000 description 1
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/0042—Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/16—Coating processes; Apparatus therefor
- G03F7/167—Coating processes; Apparatus therefor from the gas phase, by plasma deposition
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/16—Coating processes; Apparatus therefor
- G03F7/168—Finishing the coated layer, e.g. drying, baking, soaking
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2002—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
- G03F7/2004—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Engineering & Computer Science (AREA)
- Plasma & Fusion (AREA)
- Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Materials For Photolithography (AREA)
- Luminescent Compositions (AREA)
Abstract
【解決手段】本開示は、有機金属前駆体およびドーパント前駆体を用いて形成される組成物、ならびにそのような組成物を形成および用いるための方法に関する。特定の実施形態では、ドーパント前駆体は、例えば放射線吸収を増加させることによって、および/または組成物内の二次電子放出もしくは二次電子収量(SEY)を増加させることによって、量子効率を増加させる元素を組成物に供給する。非限定的な実施形態では、放射線には、極紫外線(EUV)放射線または遠紫外線(DUV)放射線が挙げられ得る。【選択図】図1E
Description
本出願の一部として、本明細書と同時にPCT出願願書が提出される。この同時出願されたPCT出願願書に明記され、本出願が利益または優先権を主張する各出願は、参照によりその全体があらゆる目的で本明細書に組み込まれる。
本開示は、有機金属前駆体およびドーパント前駆体を用いて形成される組成物、ならびにそのような組成物を形成および用いるための方法に関する。特定の実施形態では、ドーパント前駆体は、例えば放射線吸収を増加させることによって、および/または組成物内の二次電子放出もしくは二次電子収量(SEY)を増加させることによって、量子効率を増加させる元素を組成物に供給する。非限定的な実施形態では、放射線には、極紫外線(EUV)放射線または遠紫外線(DUV)放射線が挙げられ得る。
ここで提供される背景の説明は、本技術の内容を概ね提示することを目的とする。この背景技術のセクションで説明される範囲内における、現時点で名前を挙げられている発明者らによる研究、ならびに出願の時点で先行技術として別途みなされ得ない説明の態様は、明示または暗示を問わず、本技術に対抗する先行技術として認められない。
半導体処理における薄膜のパターニングは、多くの場合、半導体の製作において重要なステップである。パターニングは、リソグラフィを伴う。193nmフォトリソグラフィなどの従来のフォトリソグラフィでは、パターンは、光子源からマスク上に光子を放出し、そのパターンを感光性フォトレジスト上に印刷することによって印刷され、それによって現像後、フォトレジストの特定の部分を除去してパターンを形成する化学反応がフォトレジスト内で引き起こされる。
(半導体のための国際技術ロードマップによって定義されるような)先端技術ノードは、22nm、16nm、およびそれ以降のノードを含む。例えば、16nmノードでは、ダマシン構造における典型的なビアまたはラインの幅は、典型的には、約30nm以下である。高度な半導体集積回路(IC)および他のデバイス上のフィーチャのスケーリングが、リソグラフィを推進して解像度を向上させている。
極紫外線(EUV)リソグラフィは、従来のフォトリソグラフィ法で達成することができるよりも短いイメージングソース波長に移行することによって、リソグラフィ技術を拡張することが可能である。約10~20nm、または11~14nmの波長、例えば13.5nmの波長のEUV光源は、スキャナとも呼ばれる最先端のリソグラフィツールに使用することができる。EUV放射線は、石英および水蒸気を含む広範囲の固体および流体材料に強く吸収されるため、真空中で動作する。
本開示は、ドープレジスト膜を提供するための有機金属前駆体およびドーパント前駆体の使用に関する。そのような膜は、1つまたは複数のドーパントを有する有機金属材料によって特徴付けられ得る。特定の実施形態では、ドーパントは、例えば放射線吸収を増加させることによって、および/または膜内の二次電子放出もしくは二次電子収量(SEY)収量を増加させることによって、量子効率を増加させる元素を供給することができる。例えば、キセノン(Xe)は、高いパターニング放射断面積を有し、ドープ膜は、膜内に1つまたは複数のXe原子を含むことができる。膜が有機金属-オキシケージ(organometal-oxy cage)を含む場合、Xe原子をそのケージ内に捕捉された内包ドーパントとして提供することが可能である。
別の例では、ドーパントは、高いSEYによって特徴付けられる元素を含むことができる。そのような収量は、各入射粒子(一次電子)による照射の結果として放出される二次電子の数によって特徴付けられる。SEYは、一次電子のエネルギーに依存する。いくつかの実施形態では、ドーパント前駆体中の元素のSEYは、有機金属前駆体中に存在する金属原子のSEYよりも大きい。他の実施形態では、ドーパントは、ベリリウム(Be)、ホウ素(B)、マグネシウム(Mg)、アルミニウム(Al)、スカンジウム(Sc)、およびそれらの組み合わせから選択される。
したがって、第1の態様では、本開示は、有機金属材料と、Be、B、Mg、Al、Sc、Xe、またはそれらの組み合わせから選択されるドーパントとを含むパターニング放射線感受性組成物(例えば、膜または層として)を包含する。いくつかの実施形態では、組成物は、極紫外線(EUV)感受性組成物またはEUV感受性膜を含む。
いくつかの実施形態では、有機金属材料は、有機スズ-オキシケージ(organotin-oxy cage)を含み、ドーパントは、ケージ内の内包ドーパントとしてのXeである。他の実施形態では、組成物は、ケージ内に約1~8個のXe原子をさらに含む。
さらに他の実施形態では、有機金属材料は、有機スズ酸化物を含み、ドーパントは、Be、B、Mg、Al、Sc、またはそれらの組み合わせである。さらに他の実施形態では、ドーパントは、酸素ブリッジ(-O-)を介して有機金属材料内の金属原子に結合される。特定の実施形態では、ドーパントは、Xeと、Be、B、Mg、Al、またはScのうちの1つまたは複数を含む。
第2の態様では、本開示は、上面を有する半導体基板と、半導体基板の上面に配置されたパターニング放射線感受性膜とを含むスタックを包含する。いくつかの実施形態では、膜は、有機金属材料と、Be、B、Mg、Al、Sc、Xe、およびそれらの組み合わせからなる群から選択されるドーパントとを含む。スタックのいくつかの実施形態では、パターニング放射線感受性膜は、EUV感受性膜を含む。
いくつかの実施形態では、有機金属材料は、有機スズ-オキシケージを含み、ドーパントは、ケージ内の内包ドーパントとしてのXeである。さらなる実施形態では、約1~8個のXe原子がケージ内に配置される。
他の実施形態では、有機金属材料は、有機スズ酸化物を含み、ドーパントは、Be、B、Mg、Al、またはScである。特定の実施形態では、ドーパントは、酸素ブリッジ(-O-)を介して有機金属材料内の金属原子に結合される。
第3の態様では、本開示は、レジストを用いる方法であって、基板の表面上に有機金属前駆体を堆積し、レジスト膜を設けることと、レジスト膜をドーパント前駆体に曝露し、それによってドープ膜を設けることであって、ドーパント前駆体は、高いSEYによって特徴付けられるXeまたは元素を含むこととを含む、方法を包含する。いくつかの実施形態では、レジスト膜は、有機スズオキシ、有機スズ酸化物、または有機スズ酸化水酸化物を含む。
特定の実施形態では、ドーパント前駆体は、Xeを含む。さらなる実施形態では、ドープ膜は、有機スズ-オキシケージと、ケージ内の内包ドーパントとしてのXeとを含む。いくつかの実施形態では、前記曝露することは、約1~200気圧の圧力および/または約30~150℃の温度でのXe含有ガスへの曝露を含む。他の実施形態では、前記曝露は、約30秒~約4時間の期間である。
他の実施形態では、ドーパント前駆体は、Be、B、Mg、Al、Sc、またはそれらの組み合わせから選択される元素を含む。いくつかの実施形態では、ドーパントは、酸素ブリッジ(-O-)を介して有機金属材料内の金属原子に結合される。
いくつかの実施形態では、ドーパント前駆体は、式(II):
XaZb(II)
を有する構造を含み、
各Xは、独立して、高いSEYによって特徴付けられる元素であり、各Zは、独立して、H、ハロ、任意選択で置換されたアルキル、任意選択で置換されたアリール、任意選択で置換されたアミノ、任意選択で置換されたビス(トリアルキルシリル)アミノ、任意選択で置換されたトリアルキルシリル、任意選択で置換されたアルコキシ、または配位子(例えば、逆反応剤と反応する)であり、a≧1(例えば、aは、1、2、または3である)、およびb≧2(例えば、bは、2、3、4、5、6、7、または8である)である。
XaZb(II)
を有する構造を含み、
各Xは、独立して、高いSEYによって特徴付けられる元素であり、各Zは、独立して、H、ハロ、任意選択で置換されたアルキル、任意選択で置換されたアリール、任意選択で置換されたアミノ、任意選択で置換されたビス(トリアルキルシリル)アミノ、任意選択で置換されたトリアルキルシリル、任意選択で置換されたアルコキシ、または配位子(例えば、逆反応剤と反応する)であり、a≧1(例えば、aは、1、2、または3である)、およびb≧2(例えば、bは、2、3、4、5、6、7、または8である)である。
いくつかの実施形態では、ドープ膜は、約1~20原子%の元素を含む。
いくつかの実施形態では、前記堆積すること、および前記曝露することは、同時に行われる。特定の実施形態では、前記堆積すること、および前記曝露することは、有機金属前駆体、任意選択の逆反応剤、およびドーパント前駆体を、基板を設けるように構成されているチャンバに同時送給し、それによってドープ膜を形成することを含む。
他の実施形態では、前記堆積すること、および前記曝露することは、連続して、または交互のサイクルで行われる。いくつかの実施形態では、前記堆積すること、および前記曝露することは、任意選択の逆反応剤と共に有機金属前駆体を、基板を設けるように構成されているチャンバに送給することと、チャンバをパージして有機金属前駆体および/または任意選択の逆反応剤を除去することと、ドーパント前駆体をチャンバに送給し、それによってドープ膜を形成することとを含む。特定の実施形態では、有機金属前駆体を前記送給すること、前記パージすること、およびドーパント前駆体を前記送給することは、1回以上実施することができる。
いくつかの実施形態では、ドープ膜は、勾配膜またはナノラミネートを含む。
いくつかの実施形態では、方法は、パターニングされた放射線に露光することによってドープ膜をパターニングし、それによって放射線露光領域および放射線非露光領域を有する露光膜を設けることと、露光膜を現像し、それによって放射線露光領域を除去してポジ型レジスト膜内にパターンを設けるか、または放射線非露光領域を除去してネガ型レジスト内にパターンを設けることとをさらに含む。
いくつかの実施形態では、前記パターニングすることは、真空雰囲気中で約10nm~約20nmの範囲の波長を有するEUV露光を含む。
他の実施形態では、前記現像することは、湿式現像または乾式現像を含む。非限定的な湿式現像には、水、酸、塩基、ケトン、エステル、アルコール、エーテル、またはそれらの組み合わせが挙げられ得る。さらなる実施形態では、湿式現像は、1つまたは複数の界面活性剤をさらに含む。他の実施形態では、乾式現像は、ガス状の酸を含む。いくつかの実施形態では、前記現像することは、放射線露光領域を除去してポジ型レジスト膜内にパターンを設けることを含む。他の実施形態では、前記現像することは、放射線非露光領域を除去してネガ型レジスト内にパターンを設けることを含む。
第4の態様では、本開示は、レジスト膜を形成するための装置であって、堆積モジュールと、1つまたは複数のメモリデバイス、1つまたは複数のプロセッサ、および機械可読命令を含む命令でコード化されたシステム制御ソフトウェアを含むコントローラとを含む装置を包含する。特定の実施形態では、堆積モジュールは、レジスト膜(例えば、EUV感受性膜などのパターニング放射線感受性膜)を堆積するためのチャンバを含み、チャンバは、半導体基板を収容するように構成することができる。
いくつかの実施形態では、命令は、(例えば、堆積モジュールにおいて)半導体基板の上面に有機金属前駆体を堆積させてレジスト膜を形成させ、レジスト膜をドーパント前駆体に曝露させ、それによってドープ膜を設けさせるための機械可読命令を含む。特定の実施形態では、ドーパント前駆体は、Xe、または高いSEYによって特徴付けられる元素を含む。
いくつかの実施形態では、装置は、パターニングモジュールをさらに含む。他の実施形態では、パターニングモジュールは、300nm未満の波長の放射線源を有するフォトリソグラフィツールを含む(例えば、源は、30nm未満の波長の放射線源とすることができる)。いくつかの実施形態では、命令は、(例えば、パターニングモジュールにおいて)パターニング放射線露光によって(例えば、EUV露光によって)直接300nm未満の解像度で(例えば、または30nm未満の波長の放射線で)ドープ膜をパターニングさせ、それによって放射線露光領域および放射線非露光領域を有する露光膜を形成させるための機械可読命令を含む。他の実施形態では、露光膜は、EUV露光領域およびEUV非露光領域を有する。
いくつかの実施形態では、装置は、現像モジュールをさらに含む。他の実施形態では、現像モジュールは、レジスト膜を現像するためのチャンバを含む。さらなる実施形態では、命令は、(例えば、現像モジュールにおいて)露光膜の現像により放射線露光領域または放射線非露光領域を除去させ、レジスト膜内にパターンを設けさせるための機械可読命令を含む。特定の実施形態では、機械可読命令は、EUV露光領域またはEUV非露光領域を除去させるための命令を含む。
本明細書のいずれかの実施形態では、パターニング放射線感受性膜(例えば、レジスト膜またはドープ膜)は、極紫外線(EUV)感受性膜、遠紫外線(DUV)感受性膜、フォトレジスト膜、またはフォトパターニング可能な膜を含む。
本明細書のいずれかの実施形態では、パターニング放射線感受性膜は、有機金属材料または有機金属酸化物材料を含む。
本明細書のいずれかの実施形態では、有機金属前駆体は、本明細書に記載の式(I)、(Ia)、(III)、(IV)、(V)、(VI)、(VII)、または(VIII)を有する構造を含む。
本明細書のいずれかの実施形態では、有機金属前駆体は、式(I):
MaRbLc(I)、
を有する構造を含み、
Mは、金属または半金属(例えば、本明細書のいずれか)であり、各Rは、独立して、ハロ、任意選択で置換されたアルキル、任意選択で置換されたアリール、任意選択で置換されたアミノ、任意選択で置換されたアルコキシ、またはLであり、各Lは、独立して、逆反応剤と反応する配位子、イオン、または他の部分であり、RおよびLは、Mと一緒になって、任意選択でヘテロシクリル基を形成することができ、またはRおよびLは、一緒になって、任意選択でヘテロシクリル基を形成することができ、a≧1(例えば、aは、1、2、または3である)、b≧1(例えば、bは、1、2、3、4、5、または6である)、およびc≧1(例えば、cは、1、2、3、4、5、6である)である。いくつかの実施形態では、各Rは、Lであり、および/またはMは、スズ(Sn)である。他の実施形態では、各Lは、独立して、H、ハロ、任意選択で置換されたアルキル、任意選択で置換されたアリール、任意選択で置換されたアミノ、任意選択で置換されたビス(トリアルキルシリル)アミノ、任意選択で置換されたトリアルキルシリル、または任意選択で置換されたアルコキシである。
MaRbLc(I)、
を有する構造を含み、
Mは、金属または半金属(例えば、本明細書のいずれか)であり、各Rは、独立して、ハロ、任意選択で置換されたアルキル、任意選択で置換されたアリール、任意選択で置換されたアミノ、任意選択で置換されたアルコキシ、またはLであり、各Lは、独立して、逆反応剤と反応する配位子、イオン、または他の部分であり、RおよびLは、Mと一緒になって、任意選択でヘテロシクリル基を形成することができ、またはRおよびLは、一緒になって、任意選択でヘテロシクリル基を形成することができ、a≧1(例えば、aは、1、2、または3である)、b≧1(例えば、bは、1、2、3、4、5、または6である)、およびc≧1(例えば、cは、1、2、3、4、5、6である)である。いくつかの実施形態では、各Rは、Lであり、および/またはMは、スズ(Sn)である。他の実施形態では、各Lは、独立して、H、ハロ、任意選択で置換されたアルキル、任意選択で置換されたアリール、任意選択で置換されたアミノ、任意選択で置換されたビス(トリアルキルシリル)アミノ、任意選択で置換されたトリアルキルシリル、または任意選択で置換されたアルコキシである。
本明細書のいずれかの実施形態では、ドーパント前駆体は、式(II)または(IIa):
XaZb(II)またはXZb(IIa)
を有する構造を含み、
各Xは、独立して、高いSEYによって特徴付けられる元素であり、各Zは、独立して、H、ハロ、任意選択で置換されたアルキル、任意選択で置換されたアリール、任意選択で置換されたアミノ、任意選択で置換されたビス(トリアルキルシリル)アミノ、任意選択で置換されたトリアルキルシリル、任意選択で置換されたアルコキシ、または配位子(例えば、逆反応剤と反応する)であり、a≧1(例えば、aは、1、2、または3である)、およびb≧2(例えば、bは、2、3、4、5、6、7、または8である)である。
XaZb(II)またはXZb(IIa)
を有する構造を含み、
各Xは、独立して、高いSEYによって特徴付けられる元素であり、各Zは、独立して、H、ハロ、任意選択で置換されたアルキル、任意選択で置換されたアリール、任意選択で置換されたアミノ、任意選択で置換されたビス(トリアルキルシリル)アミノ、任意選択で置換されたトリアルキルシリル、任意選択で置換されたアルコキシ、または配位子(例えば、逆反応剤と反応する)であり、a≧1(例えば、aは、1、2、または3である)、およびb≧2(例えば、bは、2、3、4、5、6、7、または8である)である。
本明細書のいずれかの実施形態では、ドーパント前駆体は、ハロゲン化ベリリウム、有機ベリリウム、ビス(ジアルキル)アミノベリリウム、ベリリウムβ-ケトネート、ボランまたはその錯体、ハロゲン化ホウ素、有機ボラン、アルキルボレート、トリス(ジアルキルアミノ)ボラン、有機マグネシウム、ビス(シクロペンタジエニル)マグネシウムまたはその置換形態、マグネシウムβ-ケトネート、マグネシウムβ-アミジナート、ハロゲン化アルミニウム、有機アルミニウム、アルミニウムアルコキシド、アルミニウムβ-ケトネート、トリス(ジアルキルアミド)アルミニウム、アルコキシ含有アルミニウム前駆体、アミノ含有アルミニウム前駆体、有機スカンジウム、スカンジウムβ-ケトネート、スカンジウムβ-アミジナート、トリアルキルシリルアミド含有スカンジウム化合物、または本明細書に記載のいずれかのものを含む。
本明細書のいずれかの実施形態では、単一の有機金属前駆体が、1つまたは複数のドーパント前駆体と共に用いられる。他の実施形態では、2つ、3つ、4つ、またはそれ以上の異なる有機金属前駆体が、1つまたは複数のドーパント前駆体内で用いられる。
本明細書のいずれかの実施形態では、単一の有機金属前駆体が、単一のドーパント前駆体と共に用いられる。他の実施形態では、単一の有機金属前駆体が、2つ、3つ、4つ、またはそれ以上の異なるドーパント前駆体と共に用いられる。さらに他の実施形態では、2つ以上の異なる有機金属前駆体が、2つ以上の異なるドーパント前駆体と共に用いられる。
本明細書のいずれかの実施形態では、有機金属前駆体とドーパント前駆体のモル比は、約1000:1~約1:4(例えば、約1000:1~1:4、100:1~10:1、50:1~1:4など)である。
本明細書のいずれかの実施形態では、前記堆積することは、蒸気形態で有機金属前駆体および/またはドーパント前駆体を供給することを含む。他の実施形態では、前記堆積することは、蒸気形態で有機金属前駆体、ドーパント前駆体、および/または逆反応剤を供給することを含む。非限定的な堆積プロセスには、化学気相堆積(CVD)、ならびに原子層堆積(ALD)、分子層堆積(MLD)、およびそれらのプラズマ強化形態が挙げられる。
本明細書のいずれかの実施形態では、前記堆積することは、逆反応剤を供給することをさらに含む。非限定的な逆反応剤には、酸素またはカルコゲニド前駆体、ならびに本明細書に記載のいずれかのもの(例えば、酸素(O2)、オゾン(O3)、水、過酸化物、過酸化水素、酸素プラズマ、水プラズマ、アルコール、ジヒドロキシアルコール、ポリヒドロキシアルコール、フッ素化ジヒドロキシアルコール、フッ素化ポリヒドロキシアルコール、フッ素化グリコール、ギ酸、およびヒドロキシル部分の他の源を含む酸素含有逆反応剤、ならびにそれらの組み合わせ)が挙げられる。追加の詳細は、以下の通りである。
定義
「アルケニル」とは、1つまたは複数の二重結合を有する任意選択で置換されたC2-24アルキル基を意味する。アルケニル基は、環状(例えば、C3-24シクロアルケニル)または非環状とすることができる。アルケニル基はまた、置換または非置換であり得る。例えば、アルケニル基は、アルキルについて本明細書で説明される1つまたは複数の置換基で置換することができる。
「アルケニル」とは、1つまたは複数の二重結合を有する任意選択で置換されたC2-24アルキル基を意味する。アルケニル基は、環状(例えば、C3-24シクロアルケニル)または非環状とすることができる。アルケニル基はまた、置換または非置換であり得る。例えば、アルケニル基は、アルキルについて本明細書で説明される1つまたは複数の置換基で置換することができる。
「アルケニレン」とは、アルケニル基の多価(例えば、二価)形態を意味し、これは、1つまたは複数の二重結合を有する任意選択で置換されたC2-24アルキル基である。アルケニレン基は、環状(例えば、C3-24シクロアルケニル)または非環状とすることができる。アルケニレン基は、置換または非置換であり得る。例えば、アルケニレン基は、アルキルについて本明細書で説明される1つまたは複数の置換基で置換することができる。例示的な非限定的なアルケニレン基には、-CH=CH-または-CH=CHCH2-が挙げられる。
「アルコキシ」とは、-ORを意味し、Rは、本明細書で説明される任意選択で置換されたアルキル基である。例示的なアルコキシ基には、メトキシ、エトキシ、ブトキシ、トリハロアルコキシ、例えばトリフルオロメトキシなどが挙げられる。アルコキシ基は、置換または非置換であり得る。例えば、アルコキシ基は、アルキルについて本明細書で説明される1つまたは複数の置換基で置換することができる。例示的な非置換アルコキシ基には、C1-3、C1-6、C1-12、C1-16、C1-18、C1-20、またはC1-24アルコキシ基が挙げられる。
「アルキル」および接頭語「アルク」とは、1~24個の炭素原子の分枝または非分枝の飽和炭化水素基、例えばメチル(Me)、エチル(Et)、n-プロピル(n-PrまたはnPr)、イソプロピル(i-PrまたはiPr)、シクロプロピル、n-ブチル(n-BuまたはnBu)、イソブチル(i-BuまたはiBu)、s-ブチル(s-BuまたはsBu)、t-ブチル(t-BuまたはtBu)、シクロブチル、n-ペンチル、イソペンチル、s-ペンチル、ネオペンチル、ヘキシル、ヘプチル、オクチル、ノニル、デシル、ドデシル、テトラデシル、ヘキサデシル、エイコシル、テトラコシルなどを意味する。アルキル基は、環状(例えば、C3-24シクロアルキル)または非環状とすることができる。アルキル基は、分岐または非分岐であり得る。アルキル基は、置換または非置換であり得る。例えば、アルキル基は、ハロアルキルを含むことができ、アルキル基は、本明細書で説明される1つまたは複数のハロ基によって置換される。別の例では、アルキル基は、以下からなる群から独立して選択される1、2、3個、または2個以上の炭素のアルキル基の場合には4個の置換基で置換することができる:(1)C1-6アルコキシ(例えば、-O-Ak、Akは、任意選択で置換されたC1-6アルキルである)、(2)アミノ(例えば、-NRN1RN2、RN1およびRN2の各々は、独立して、Hまたは任意選択で置換されたアルキルであり、またはRN1およびRN2は、各々が結合している窒素原子と一緒になって、ヘテロシクリル基を形成する)、(3)アリール、(4)アリールアルコキシ(例えば、-O-Lk-Ar、Lkは、任意選択で置換されたアルキルの二価形態であり、Arは、任意選択で置換されたアリールである)、(5)アリーロイル(例えば、-C(O)-Ar、Arは、任意選択で置換されたアリールである)、(6)シアノ(例えば、-CN)、(7)カルボキシアルデヒド(例えば、-C(O)H)、(8)カルボキシル(例えば、-CO2H)、(9)C3-8シクロアルキル(例えば、一価の飽和または不飽和非芳香族環状C3-8炭化水素基)、(10)ハロ(例えば、F、Cl、Br、またはI)、(11)ヘテロシクリル(例えば、別段の指定がない限り、窒素、酸素、リン、硫黄、またはハロなどの1、2、3、または4個の非炭素ヘテロ原子を含む5、6、または7員環)、(12)ヘテロシクリルオキシ(例えば、-O-Het、Hetは、本明細書で説明されるヘテロシクリルである)、(13)ヘテロシクリロイル(例えば、-C(O)-Het、Hetは、本明細書で説明されるヘテロシクリルである)、(14)ヒドロキシル(例えば、-OH)、(15)N-保護アミノ、(16)ニトロ(例えば、-NO2)、(17)オキソ(例えば、=O)、(18)-CO2RA、RAは、(a)C1-6アルキル、(b)C4-18アリール、および(c)(C4-18アリール)C1-6アルキル(例えば、-Lk-Ar、Lkは、任意選択で置換されたアルキル基の二価形態であり、Arは、任意選択で置換されたアリールである)からなる群から選択される、(19)-C(O)NRBRC、RBおよびRCの各々は、独立して、(a)水素、(b)C1-6アルキル、(c)C4-18アリール、および(d)(C4-18アリール)C1-6アルキル(例えば、-Lk-Ar、Lkは、任意選択で置換されたアルキル基の二価形態であり、Arは、任意選択で置換されたアリールである)からなる群から選択される、ならびに(20)-NRGRH、RGおよびRHの各々は、独立して、(a)水素、(b)N-保護基、(c)C1-6アルキル、(d)C2-6アルケニル(例えば、1つまたは複数の二重結合を有する任意選択で置換されたアルキル)、(e)C2-6アルキニル(例えば、1つまたは複数の三重結合を有する任意選択で置換されたアルキル)、(f)C4-18アリール、(g)(C4-18アリール)C1-6アルキル(例えば、Lk-Ar、Lkは、任意選択で置換されたアルキル基の二価形態であり、Arは、任意選択で置換されたアリールである)、(h)C3-8シクロアルキル、および(i)(C3-8シクロアルキル)C1-6アルキル(例えば、-Lk-Cy、Lkは、任意選択で置換されたアルキル基の二価形態であり、Cyは、本明細書で説明される任意選択で置換されたシクロアルキルである)からなる群から選択され、一実施形態では、カルボニル基を介して窒素原子に結合する基は2つも存在しない。アルキル基は、1つまたは複数の置換基(例えば、1つまたは複数のハロまたはアルコキシ)で置換された第一級、第二級、または第三級アルキル基であり得る。いくつかの実施形態では、非置換アルキル基は、C1-3、C1-6、C1-12、C1-16、C1-18、C1-20、またはC1-24アルキル基である。
「アルキレン」とは、本明細書で説明されるアルキル基の多価(例えば、二価)形態を意味する。例示的なアルキレン基には、メチレン、エチレン、プロピレン、ブチレンなどが挙げられる。いくつかの実施形態では、アルキレン基は、C1-3、C1-6、C1-12、C1-16、C1-18、C1-20、C1-24、C2-3、C2-6、C2-12、C2-16、C2-18、C2-20、またはC2-24のアルキレン基である。アルキレン基は、分岐または非分岐であり得る。アルキレン基はまた、置換または非置換であり得る。例えば、アルキレン基は、アルキルについて本明細書で説明される1つまたは複数の置換基で置換することができる。
「アルキニル」とは、1つまたは複数の三重結合を有する任意選択で置換されたC2-24アルキル基を意味する。アルキニル基は、環式または非環式であることができ、エチニル、1-プロピニルなどによって例示される。アルキニル基は、置換または非置換であり得る。例えば、アルキニル基は、アルキルについて本明細書で説明される1つまたは複数の置換基で置換することができる。
「アルキニレン」とは、アルキニル基の多価(例えば、二価)形態を意味し、これは、1つまたは複数の三重結合を有する任意選択で置換されたC2-24アルキル基である。アルキニレン基は、環状または非環状とすることができる。アルキニレン基は、置換または非置換であり得る。例えば、アルキニレン基は、アルキルについて本明細書で説明される1つまたは複数の置換基で置換することができる。例示的な非限定的なアルキニレン基には、-C≡C-または-C≡CCH2-が挙げられる。
「アミノ」とは、-NRN1RN2を意味し、RN1およびRN2の各々は、独立して、H、任意選択で置換されたアルキル、または任意選択で置換されたアリールであり、またはRN1およびRN2は、各々が結合している窒素原子と一緒になって、本明細書で定義されるヘテロシクリル基を形成する。
「アミノアルキル」とは、本明細書で定義されるアミノ基によって置換された、本明細書で定義されるアルキル基を意味する。
「アミノアリール」とは、本明細書で定義されるアミノ基によって置換された、本明細書で定義されるアリール基を意味する。
「アリール」とは、限定はしないが、例えば、インダニル、テトラヒドロナフチル、フルオレニルなどの縮合ベンゾ-C4-8シクロアルキルラジカル(例えば、本明細書で定義される)を含む、フェニル、ベンジル、アントラセニル、アントリル、ベンゾシクロブテニル、ベンゾシクロオクテニル、ビフェニリル、クリセニル、ジヒドロインデニル、フルオランテニル、インダセニル、インデニル、ナフチル、フェナントリル、フェノキシベンジル、ピセニル、ピレニル、テルフェニルなどを含む任意の炭素ベースの芳香族基を含む基を意味する。アリールという用語はまた、芳香族基の環内に組み込まれた少なくとも1つのヘテロ原子を有する芳香族基を含む基として定義されるヘテロアリールを含む。ヘテロ原子の例には、限定はしないが、窒素、酸素、硫黄、およびリンが挙げられる。同様に、アリールという用語にも含まれる非ヘテロアリールという用語は、ヘテロ原子を含まない芳香族基を含む基を定義する。アリール基は、置換または非置換であり得る。アリール基は、1、2、3、4、または5個の置換基、例えばアルキルについて本明細書で説明される置換基で置換することができる。
「カルボニル」とは、-C(O)-基を意味し、>C=Oとして表すこともできる。
「シクロアルキル」とは、別段の指定がない限り、3~8個の炭素の一価の飽和または不飽和非芳香族または芳香族環式炭化水素基を意味し、シクロプロピル、シクロブチル、シクロペンチル、シクロペンタジエニル、シクロヘキシル、シクロヘプチル、ビシクロ[2.2.1.]ヘプチルなどによって例示される。シクロアルキル基は、置換または非置換であり得る。例えば、シクロアルキル基は、アルキルについて本明細書で説明されるものを含む1つまたは複数の基で置換することができる。
「ジカルボニル」とは、本明細書で定義される2つのカルボニル基を含む任意の部分または化合物を意味する。非限定的なジカルボニル部分には、1,2-ジカルボニル(例えば、RC1-C(O)-C(O)RC2、RC1およびRC2の各々は、独立して、任意選択で置換されたアルキル、ハロ、任意選択で置換されたアルコキシ、ヒドロキシル、または脱離基である)、1,3-ジカルボニル(例えば、RC1-C(O)-C(R1aR2a)-C(O)RC2、RC1およびRC2の各々は、独立して、任意選択で置換されたアルキル、ハロ、任意選択で置換されたアルコキシ、ヒドロキシル、または脱離基であり、R1aおよびR2aの各々は、独立して、H、または本明細書で定義されるアルキルについて供給される任意選択の置換基である)、および1,4-ジカルボニル(例えば、RC1-C(O)-C(R1aR2a)-C(R3aR4a)-C(O)RC2、RC1およびRC2の各々は、独立して、任意選択で置換されたアルキル、ハロ、任意選択で置換されたアルコキシ、ヒドロキシル、または脱離基であり、R1a、R2a、R3a、およびR4aの各々は、独立して、H、または本明細書で定義されるアルキルについて供給される任意選択の置換基である)が挙げられる。
「ハロ」とは、F、Cl、Br、またはIを意味する。
「ハロアルキル」とは、1つまたは複数のハロで置換された、本明細書で定義されるアルキル基を意味する。
「ハロアルキレン」とは、1つまたは複数のハロで置換された、本明細書で定義されるアルキレン基を意味する。
「ヘテロシクリル」とは、別段の指定がない限り、3、4、5、6、または7員環(例えば、5、6、または7員環)を意味し、1、2、3、または4個の非炭素ヘテロ原子(例えば、窒素、酸素、リン、硫黄、セレン、またはハロからなる群から独立して選択される)を含む。3員環は、0~1個の二重結合を有し、4および5員環は、0~2個の二重結合を有し、6および7員環は、0~3個の二重結合を有する。「ヘテロシクリル」という用語はまた、二環式、三環式、および四環式基を含み、上記の複素環のいずれかは、アリール環、シクロヘキサン環、シクロヘキセン環、シクロペンタン環、シクロペンテン環、およびインドリル、キノリル、イソキノリル、テトラヒドロキノリル、ベンゾフリル、ベンゾチエニルなどの別の単環式複素環からなる群から独立して選択される1つ、2つ、または3つの環に縮合している。複素環には、アクリジニル、アデニル、アロキサジニル、アザアダマンタニル、アザベンゾイミダゾリル、アザビシクロノニル、アザシクロヘプチル、アザシクロオクチル、アザシクロノニル、アザヒポキサンチニル、アザインダゾリル、アザインドリル、アゼシニル、アゼパニル、アゼピニル、アゼチジニル、アゼチル、アジリジニル、アジリニル、アゾカニル、アゾシニル、アゾナニル、ベンゾイミダゾリル、ベンゾイソチアゾリル、ベンゾイソオキサゾリル、ベンゾジアゼピニル、ベンゾジアゾシニル、ベンゾジヒドロフリル、ベンゾジオキセピニル、ベンゾジオキシニル、ベンゾジオキサニル、ベンゾジオキソシニル、ベンゾジオキソリル、ベンゾジチエピニル、ベンゾジチイニル、ベンゾジオキソシニル、ベンゾフラニル、ベンゾフェナジニル、ベンゾピラノニル、ベンゾピラニル、ベンゾピレニル、ベンゾピロニル、ベンゾキノリニル、ベンゾキノリジニル、ベンゾチアジアゼピニル、ベンゾチアジアゾリル、ベンゾチアゼピニル、ベンゾチアゾシニル、ベンゾチアゾリル、ベンゾチエニル、ベンゾチオフェニル、ベンゾチアジノニル、ベンゾチアジニル、ベンゾチオピラニル、ベンゾチオピロニル、ベンゾトリアゼピニル、ベンゾトリアジノニル、ベンゾトリアジニル、ベンゾトリアゾリル、ベンゾキサチイニル、ベンゾトリオキセピニル、ベンゾオキサジアゼピニル、ベンゾオキサチアゼピニル、ベンゾオキサチアゼピニル、ベンゾオキサチオシニル、ベンゾオキサゼピニル、ベンゾオキサジニル、ベンゾオキサゾシニル、ベンゾオキサゾリノニル、ベンゾオキサゾリニル、ベンゾオキサゾリル、ベンジルスルタミルベンジルスルチミル、ビピラジニル、ビピリジニル、カルバゾリル(例えば、4H-カルバゾリル)、カルボリニル(例えば、β-カルボリニル)、クロマノニル、クロマニル、クロメニル、シンノリニル、クマリニル、シトジニル、シトシニル、デカヒドロイソキノリニル、デカヒドロキノリニル、ジアザビシクロオクチル、ジアゼチル、ジアジリジンチオニル、ジアジリジノニル、ジアジリジニル、ジアジリニル、ジベンゾイソキノリニル、ジベンゾアクリジニル、ジベンゾカルバゾリル、ジベンゾフラニル、ジベンゾフェナジニル、ジベンゾピラノニル、ジベンゾピロニル(キサントニル)、ジベンゾキノキサリニル、ジベンゾチアゼピニル、ジベンゾチエピニル、ジベンゾチオフェニル、ジベンゾオキセピニル、ジヒドロアゼピニル、ジヒドロアゼチル、ジヒドロフラニル、ジヒドロフリル、ジヒドロイソキノリニル、ジヒドロピラニル、ジヒドロピリジニル、ジヒドロピリジル、ジヒドロキノリニル、ジヒドロチエニル、ジヒドロインドリル、ジオキサニル、ジオキサジニル、ジオキシンドリル、ジオキシラニル、ジオキセニル、ジオキシニル、ジオキソベンゾフラニル、ジオキソリル、ジオキソテトラヒドロフラニル、ジオキソチオモルホリニル、ジチアニル、ジチアゾリル、ジチエニル、ジチイニル、フラニル、フラザニル、フロイル、フリル、グアニニル、ホモピペラジニル、ホモピペリジニル、ヒポキサンチニル、ヒダントイニル、イミダゾリジニル、イミダゾリニル、イミダゾリル、インダゾリル(例えば、1H-インダゾリル)、インドレニル、インドリニル、インドリジニル、インドリル(例えば、1H-インドリルまたは3H-インドリル)、イサチニル、イサチル、イソベンゾフラニル、イソクロマニル、イソクロメニル、イソインダゾイル、イソインドリニル、イソインドリル、イソピラゾロニル、イソピラゾリル、イソキサゾリジニル、イソキサゾリル、イソキノリニル、イソキノリニル、イソチアゾリジニル、イソチアゾリル、モルホリニル、ナフチンダゾリル、ナフチンドリル、ナフチリジニル、ナフトピラニル、ナフトチアゾリル、ナフトチオキソリル、ナフトトリアゾリル、ナフトキシンドリル、ナフチリジニル、オクタヒドロイソキノリニル、オキサビシクロヘプチル、オキサウラシル、オキサジアゾリル、オキサジニル、オキサジリジニル、オキサゾリジニル、オキサゾリドニル、オキサゾリニル、オキサゾロニル、オキサゾリル、オキセパニル、オキセタノニル、オキセタニル、オキセチル、オキテナイル、オキシインドリル、オキシラニル、オキソベンゾイソチアゾリル、オキソクロメニル、オキソイソキノリニル、オキソキノリニル、オキソチオラニル、フェナントリジニル、フェナントロリニル、フェナジニル、フェノチアジニル、フェノチエニル(ベンゾチオフラニル)、フェノキサチイニル、フェノキサジニル、フタラジニル、フタラジニル、フタリジル、フタルイミジニル、ピペラジニル、ピペリジニル、ピペリドニル(例えば、4-ピペリドニル)、プテリジニル、プリニル、ピラニル、ピラジニル、ピラゾリジニル、ピラゾリニル、ピラゾロピリミジニル、ピラゾリル、ピリダジニル、ピリジニル、ピリドピラジニル、ピリドピリミジニル、ピリジル、ピリミジニル、ピリミジル、ピロニル、ピロリジニル、ピロリドニル(例えば、2-ピロリドニル)、ピロリニル、ピロリジジニル、ピロリル(例えば、2H-ピロリル)、ピリリウム、キナゾリニル、キノリニル、キノリジニル(例えば、4H-キノリジニル)、キノキサリニル、キヌクリジニル、セレナジニル、セレナゾリル、セレノフェニル、スクシンイミジル、スルホラニル、テトラヒドロフラニル、テトラヒドロフリル、テトラヒドロイソキノリニル、テトラヒドロイソキノリル、テトラヒドロピリジニル、テトラヒドロピリジル(ピペリジル)、テトラヒドロピラニル、テトラヒドロピロニル、テトラヒドロキノリニル、テトラヒドロキノリル、テトラヒドロチエニル、テトラヒドロチオフェニル、テトラジニル、テトラゾリル、チアジアジニル(例えば、6H-1,2,5-チアジアジニルまたは2H,6H-1,5,2-ジチアジニル)、チアジアゾリル、チアントレニル、チアニル、チアナフテニル、チアゼピニル、チアジニル、チアゾリジンジオニル、チアゾリジニル、チアゾリル、チエニル、チエパニル、チエピニル、チエタニル、チエチル、チイラニル、チオカニル、チオクロマノニル、チオクロマニル、チオクロメニル、チオジアジニル、チオジアゾリル、チオインドキシル、チオモルホリニル、チオフェニル、チオピラニル、チオピロニル、チオトリアゾリル、チオウラゾリル、チオキサニル、チオキソリル、チミジニル、チミニル、トリアジニル、トリアゾリル、トリチアニル、ウラジニル、ウラゾリル、ウレチジニル、ウレチニル、ウリシル、ウリジニル、キサンテニル、キサンチニル、キサンチオニルなど、ならびにそれらの修飾形態(例えば、1つまたは複数のオキソおよび/またはアミノを含む)、およびそれらの塩が挙げられる。ヘテロシクリル基は、置換または非置換であり得る。例えば、ヘテロシクリル基は、アリールについて本明細書で説明される1つまたは複数の置換基で置換することができる。
「ヒドロキシル」とは、-OHを意味する。
「イミノ」とは、-NR-を意味し、Rは、Hまたは任意選択で置換されたアルキルとすることができる。
「オキソ」とは、=O基を意味する。
「オキシ」とは、-O-を意味する。
本明細書で使用される場合、「約」という用語は、列挙された値の±10%を意味する。本明細書で使用される場合、この用語は、列挙された値、値の範囲、または1つまたは複数の範囲の終点を修飾する。
本明細書で使用される場合、「上部」、「底部」、「上側」、「下側」、「上方」、および「下方」という用語は、構造間の相対的な関係を提供するために使用される。これらの用語の使用は、特定の構造が装置内の特定の場所に位置されなければならないことを示したり要求したりするものではない。
本発明の他の特徴および利点は、以下の説明および特許請求の範囲から明らかになるであろう。
本開示は、一般に、半導体処理の分野に関する。特に、本開示は、1つまたは複数のドーパント前駆体と組み合わせて1つまたは複数の有機金属前駆体を使用し、それによってドープされた膜を提供することを対象とする。いくつかの実施形態では、ドーパントは、Xe、Be、B、Mg、Al、Sc、またはそれらの組み合わせである。
本明細書では、本開示の特定の実施形態を詳細に参照する。特定の実施形態の例は、添付の図面に示されている。本開示は、これらの特定の実施形態と併せて説明されるが、本開示をそのような特定の実施形態に限定することを意図していないことが理解されるであろう。逆に、本開示の精神および範囲内に含まれ得る代替物、修正物、および均等物をカバーすることを意図している。以下の説明では、本開示の完全な理解を提供するために、多数の具体的な詳細が記載されている。本開示は、これらの具体的な詳細の一部または全部なしで実践することができる。他の例では、本開示を不必要に曖昧にしないように、周知のプロセス動作は詳細に説明されていない。
EUVリソグラフィは、下層のエッチングに使用するマスクを形成するようにパターニングされたEUVレジストを利用する。EUVレジストは、液体ベースのスピンオン技法によって得られるポリマーベースの化学増幅レジスト(CAR)であってもよい。CARに代わるものは、Inpria Corp.(オレゴン州コーバリス)から入手可能であり、例えば、米国特許出願公開第2017/0102612号、米国特許出願公開第2016/0216606号、および米国特許出願公開第2016/0116839号に記載されているものなど、直接フォトパターニング可能な金属酸化物含有膜であり、上記の出願は、少なくとも光パターニング可能な金属酸化物含有膜の開示について、参照により本明細書に組み込まれる。そのような膜は、スピンオン技法または乾式気相堆積によってもたらされ得る。金属酸化物含有膜は、例えば2018年6月12日に発行され、EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKSと題する米国特許第9,996,004号、および/または2019年5月9日に出願された国際公開番号WO2019/217749号として公開された、METHODS FOR MAKING EUV PATTERNABLE HARD MASKSと題する国際出願第PCT/US19/31618号に記載されている、30nm未満のパターニング解像度を提供する真空雰囲気でのEUV露光によって直接(すなわち、別々のフォトレジストを使用せずに)パターニングすることができ、EUVレジストマスクを形成するための直接光パターニング可能な金属酸化物膜の組成物、堆積、およびパターニングに少なくとも関連する上記の開示は、参照により本明細書に組み込まれる。一般に、パターニングは、EUV放射線でEUVレジストを露光してレジストにフォトパターンを形成し、続いて現像してフォトパターンに従ってレジストの一部を除去し、マスクを形成することを伴う。
直接光パターニング可能なEUVまたはDUVレジストは、有機成分内に混合された金属および/または金属酸化物で構成され得るか、またはそれらを含み得る。金属/金属酸化物は、EUVまたはDUV光子吸着を増強し、二次電子を生成し、かつ/または下にある膜スタックおよびデバイス層に対するエッチング選択性の増加を示すことができるという点で非常に有望である。現在まで、これらのレジストは湿式(溶剤)アプローチを使用して現像されてきたが、これはウエハがトラックに移動し、そこで現像溶剤に曝露され、乾燥され、その後ベークされることを必要とする。この湿式現像ステップは、生産性を制限するだけでなく、微細フィーチャ間の溶媒の蒸発中の表面張力の影響によりラインの崩壊につながる可能性もある。
一般に、レジストの化学的性質および/または現像液の溶解度もしくは反応性を制御することによって、レジストはポジ型レジストまたはネガ型レジストとして用いることができる。ネガ型レジストまたはポジ型レジストのいずれかとして機能することができるEUVまたはDUVレジストを有することが、有益であろう。
ドーパント膜およびその方法
本開示は、ドープ膜の使用に関し、そのような膜の様々な構造的側面について説明する。特定の実施形態では、ドープ膜はスタック内で用いられ、そのような膜およびスタックを得る方法が本明細書で説明される。
本開示は、ドープ膜の使用に関し、そのような膜の様々な構造的側面について説明する。特定の実施形態では、ドープ膜はスタック内で用いられ、そのような膜およびスタックを得る方法が本明細書で説明される。
図1Aは、上面を有する基板101(例えば、半導体基板)と、基板101の上面に配置された膜102とを含む例示的なスタックを示す。いくつかの実施形態では、堆積膜は、有機金属材料を含み、有機金属材料は、有機金属-オキシケージ105を含むことができる。この非限定的なケージ105は、M-O-M結合のネットワークを形成する金属原子(M)および酸素原子(O)を含む。さらに、金属原子は、放射線露光に応答する配位子(R)を含む。
そのようなケージは、任意の有用な方式でドープすることができる。図1Bに見られるように、ドーパント(X)は、ケージ内に内包的に捕捉され得る。ドーパントが高いEUV吸収断面積(例えば、1×107cm2/mol以上)を有する場合、内包的に注入された金属-オキシクラスタは、増強したEUV吸収および増強した量子効率を示すことができる。
図1Cに見られるように、ドーパントは、ケージ内の原子に結合することができる。例えば、ドーパント(X)は、酸素ブリッジ(-O-)を介して有機金属材料内の金属原子(M)に結合することができる。このようにして、ドーパントを金属原子に近接させることが可能である。ドーパントが高いEUV SEY(例えば、85eVで0.2超、または約0.2~0.45)を有する場合、膜は、各一次電子に対して追加の二次電子を供給することによって電子的に増幅され得る。特定の実施形態では、ドーパントは、高いEUV SEY(例えば、約0.2~0.45)、および1×102cm2/mol以上(例えば、約102~107cm2/mol、102~104cm2/mol、または102~106cm2/mol)のEUV吸収断面積を含むことができる。
特定の実施形態では、ドーパントは一価ではないため、ドーパントと有機金属材料との間のさらなる架橋、および堆積膜のさらなる伸長が可能になる。図1Dに見られるように、非限定的な有機金属材料は、M-O-M結合のネットワーク、Mに結合した感光性配位子R、およびアクセス可能な酸素原子(例えば、-O-またはヒドロキシル基、-OHとして)を含むことができる。有機金属材料をドーパント前駆体(例えば、XaZb)に曝露すると、ドーパント原子(X)は、二量体-O-ブリッジを介してMに間接的に結合することが可能である。
Xが一価でない場合(例えば、Mgなどの二価、またはBなどの三価)、有機金属前駆体のさらなる堆積により膜を成長させることができる。例えば、図1Dに見られるように、XaZbへの曝露によりアクセス可能な配位子Zが提供され、これは次に酸素含有逆反応剤と反応し、さらなるX-オキシ基またはヒドロキシル基を提供することが可能である。次に、これらの酸素含有基を有機金属前駆体中の金属原子(M)とさらに反応させ、膜を成長させることができる。他の実施形態では、さらなるX-オキシ基またはヒドロキシル基(Zを反応させることによって確立される)が膜内の金属原子と反応することができ、それによって膜内で追加の架橋が可能になる。いくつかの実施形態では、MとXとの間の直接結合が回避される。他の実施形態では、ドーパント前駆体を用いる場合、MとRとの間の結合に対する変化が回避される。さらに他の実施形態では、膜は、Xeと高SEY元素(例えば、Be、B、Mg、Al、またはSc)の両方を含む。
本開示はまた、一般に、本明細書で説明されるような、ドーパント前駆体と共に有機金属前駆体を用いる任意の有用な方法を含む。そのような方法は、本明細書で説明される任意の有用なリソグラフィプロセス、堆積プロセス、放射線露光プロセス、現像プロセス、および塗布後プロセスを含むことができる。以下ではEUVプロセスに関連する技法を説明することがあるが、そのような技法は、他の次世代リソグラフィ技法にも適用可能である場合がある。EUV(一般に約13.5nm)、DUV(遠UV、一般にエキシマレーザ源で248nmまたは193nmの範囲)、X線(X線範囲のより低いエネルギー範囲におけるEUVを含む)、およびeビーム(広いエネルギー範囲を含む)を含む、様々な放射線源を用いることが可能である。
例示的な方法は、基板への有機金属前駆体およびドーパント前駆体の同時または連続的な送給を含むことができる。一例では、堆積は、有機金属前駆体とドーパント前駆体の共流を含み得る。例えば、図1Eは、基板111上に有機金属前駆体10およびドーパント前駆体12を堆積すること101を含む非限定的な方法100を示す。そのような共堆積により、金属原子、有機構成成分、およびドーパントのマトリックスを有するドープ膜112を設けることが可能である。特定の実施形態では、マトリックスは、合金であり得る。任意選択で、膜をアニーリングし102、アニーリング膜113を設けてもよい。
別の例では、図1Fは、基板131上に有機金属前駆体10を堆積して121有機金属材料132を設け、次いで任意選択でチャンバをパージして未反応の有機金属前駆体を除去することを含む例示的な方法120を示す。そのようなパージは、蒸気形態で存在し、したがって基板上に堆積されなかった有機金属前駆体を除去する不活性ガスの使用を含むことができる。有機金属材料内では、1つまたは複数の有機金属前駆体が一緒に反応して層を形成することが可能である。
有機金属前駆体は、任意選択で1つまたは複数の逆反応剤の存在下で堆積させることができる。逆反応剤は、好ましくは、化学結合を介して少なくとも2つの金属原子を連結するように、反応性部分、配位子、またはイオン(例えば、本明細書の式中のL)を置換する能力を有する。例示的な逆反応剤には、酸素含有逆反応剤、例えばO2、O3、水、過酸化物(例えば、過酸化水素)、酸素プラズマ、水プラズマ、アルコール、ジヒドロキシまたはポリヒドロキシアルコール、フッ素化ジヒドロキシまたはポリヒドロキシアルコール、フッ素化グリコール、ギ酸、およびヒドロキシル部分の他の源、ならびにそれらの組み合わせが挙げられる。様々な実施形態において、逆反応剤は、隣接する金属原子間に酸素ブリッジを形成することによって有機金属前駆体と反応する。他の潜在的な逆反応剤には、硫黄ブリッジを介して金属原子を架橋することができる硫化水素および二硫化水素、ならびにテルルブリッジを介して金属原子を架橋することができるビス(トリメチルシリル)テルルが挙げられる。加えて、ヨウ化水素がヨウ素を膜に組み込むために利用されてもよい。さらに他の逆反応剤には、本明細書に記載の任意のカルコゲニド含有前駆体またはカルコゲニド含有化合物が挙げられ得る。
図1Fに見られるように、さらなる動作は、有機金属材料132をドーパント前駆体12に曝露し122、ドープ層133を設けることを含むことができる。このドープ層は、任意選択で、アニーリングされてもよい。
前駆体の連続的な堆積は、任意の有用な方式で繰り返すことができる。例えば、図1Gは、基板151上に有機金属前駆体10を堆積して141有機金属材料を含む第1の層152aを設けることと、続いて、チャンバをパージして142未反応の有機金属前駆体を除去することとを含む例示的な方法140を示す。そのようなパージは、蒸気形態で存在し、したがって基板上に堆積されなかった有機金属前駆体を除去する不活性ガスの使用を含むことができる。有機金属材料内では、1つまたは複数の有機金属前駆体が一緒に反応して層を形成することが可能である。
さらなる動作は、第1の層152aをドーパント前駆体12に堆積または曝露し143、ドープ材料を含む第2の層152bを設けることを含むことができる。任意選択で、この動作は、表面へのドーパント前駆体単独の送給、または表面へのドーパント前駆体と有機金属前駆体の同時送給を含んでもよい。
前駆体は逆の順序で堆積させることができ(すなわち、最初にドーパント前駆体、次に有機金属前駆体、その間には任意選択のパージ期間が存在する)、それによって基板に近接してドープ層を設け、次にドープ層の上面に有機金属含有層を配置することが可能である。そのような層は、ナノ層(例えば、本明細書に開示される該範囲を含む、nm範囲の厚さを有する)または原子単層(例えば、本明細書に開示される該範囲を含む、オングストローム範囲の厚さを有する)であり得る。
本明細書に記載の気相堆積プロセス、例えば、ALDまたはMLDは、一般に、不活性ガスパージ期間によって時間的に分離される、有機金属前駆体およびドーパント前駆体への基板の連続的な曝露を含む。有機金属前駆体は、レジスト膜内でEUV吸収体として作用する金属原子を供給する。ドーパント前駆体は、追加のEUV吸収体原子および/またはSEY生成原子を供給し、量子効率をさらに向上させる。
そのような膜は、本明細書で説明される任意の有用な方式で堆積させることができる。例示的な堆積技法には、原子層堆積(ALD)(例えば、熱ALDおよびプラズマ強化ALD(PE-ALD))、分子層堆積(MLD)、スピンコート堆積、PVD共スパッタリングを含む物理気相堆積(PVD)、化学気相堆積(CVD)、プラズマ強化CVD(PE-CVD)、低圧CVD(LP-CVD)、スパッタ堆積、eビーム共蒸着を含む電子ビーム(eビーム)堆積など、またはそれらの組み合わせが挙げられる。他の堆積プロセスおよび条件が、本明細書で説明される。
非限定的なMLDプロセスは、有機金属前駆体をチャンバ内の基板に供給し、それによって基板の上面に有機金属含有層を堆積することと、チャンバをパージすることと、ドーパント前駆体をチャンバに供給し、それによって有機金属含有層の上面にドープ層を堆積することと、チャンバを再びパージすることとを含む。サイクルでこのような堆積およびパージ動作を繰り返すことによって、有機金属含有層およびドープ層を交互に有する膜が形成される。
堆積のための様々なプロセス条件を変更し、最適化することが可能である。例えば、堆積(例えば、有機金属前駆体および/またはドーパント前駆体の)は、約20~400℃、例えば約50~300℃、約100~200℃、または約150℃の温度で実施することができる。いくつかの実施形態では、有機金属前駆体およびドーパント前駆体は、自己制限的な表面反応を生じさせるように、プロセス温度よりも高い熱分解点を有する。非限定的な圧力条件には、約0.1~50Torrの範囲、例えば約1~15Torrが挙げられる。いくつかの実施形態では、有機金属前駆体およびドーパント前駆体は、100℃以下で0.5Torrを超える蒸気圧を有する。
各動作についてのプロセスステップ時間は、以下の範囲を含むことができる:有機金属前駆体の投与については、約0.1~10秒、ドーパント前駆体の投与については、約0.1~60秒、パージ期間については、約0.1~60秒。
堆積は、有機金属前駆体およびドーパント前駆体を基板に交互に送給することによって任意のサイクル数にわたって繰り返すことができ、それによって膜を形成する。単一のサイクルは、その後のパージ動作を伴う有機金属前駆体の第1の堆積動作と、その後のパージ動作を伴うドーパント前駆体の第2の堆積動作とを含むことができる。第1および第2の堆積動作は、任意の順序で実施することが可能である。さらに、そのようなサイクルを任意の有用なサイクル数、例えば、1~1000サイクルにわたって繰り返し、所望の膜厚を堆積することができる。図1Gに見られるように、方法は、有機金属含有層およびドープ層の1つまたは複数の対152’によって特徴付けられるドープ膜152を設けるために、堆積の繰り返しサイクル144を含むことができる。
所望の膜厚が堆積されると、堆積後処理ステップが実施され得る。一例では、そのような堆積後処理は、浸漬前駆体を用いて膜を長時間にわたって投与(または浸漬)に曝露することを伴う。浸漬を使用して、膜のEUV吸光度を増加させることができる。一実施形態では、浸漬前駆体は、金属含有前駆体であり、この浸漬前駆体の使用により膜中の金属原子の濃度が増加する。他の実施形態では、浸漬前駆体は、ドーパント前駆体である。浸漬前駆体は、堆積プロセスで使用される有機金属前駆体と同じであっても異なっていてもよい。浸漬は、堆積プロセスと同様の条件下で実施され得るが、一般に投与時間は、30秒~30分の範囲など、比較的長くなる場合がある。
他の実施形態では、浸漬前駆体はXeを含むことができ、これにより有機金属-オキシケージへのXeの吸着および取り込みが引き起こされ、EUV吸光度を増加させる。曝露は、約10~400℃(例えば、約10~200℃、10~150℃、または30~150℃)の高温および高圧(例えば、約1~200気圧、3~200気圧、または3~100気圧)で実施され得る。図3に見られるように、非限定的な有機スズ膜は、150℃までの温度において熱脱アルキル化が発生しないことを示す。高温および高圧を用いることによって、膜中へのXe原子の拡散を促進することができる。このような曝露は、任意の有用な期間(例えば、約30秒~約4時間)とすることが可能である。
代替的または追加的に、堆積後処理は、アニーリングを含むことができる。図1Gに見られるように、交互の層をアニーリングし145、有機金属置換基とドーパントの両方を含む均質化された合金を提供し得るアニーリング膜153を形成することができる。
本明細書の方法は、基板上への直接の堆積、または基板の表面に配置された層上への堆積を含むことができる。一例では、基板は、有機下層または中間エッチング層であり得る接着層または下層を含み得る。
ドープ膜は、任意の有用な構造を有することができる。一実施形態では、膜は、約0.5nm~約100nmの厚さ(例えば、約5nm~100nm、ならびに本明細書に記載の他の厚さ)を有する。膜は、有機金属層およびドープ層を交互に有する複数の層を含むことができる。膜は、有機金属前駆体またはドープ前駆体の堆積の前に、任意選択の下層または任意選択の表面の活性化ステップを含み得る。
膜は、EUV吸収度の垂直方向の変化によって特徴付けられる垂直勾配を有することができる。場合によっては、深さに沿った(例えば、膜の上面から基板に向かう)EUV吸光度の増加は、膜層を通る同じ深さに沿った金属含有量またはキセノン含有量の増加に対応する可能性がある。非限定的な勾配には、線形勾配、指数関数的勾配、シグモイド勾配などが挙げられる。特定の実施形態では、EUV反応性部分の勾配密度膜は、膜内のすべての深さにおいてEUV露光領域のより均質な膜性質をもたらすことができ、これにより現像プロセスを改善し、EUV感度を改善し、かつ/またはパターニング品質を改善する可能性がある(例えば、改善された線幅粗さ(LWR)および/またはラインエッジ粗さ(LER)を有する)。
ドープ膜は、有機金属材料、例えば有機金属酸化物(例えば、RM(MO)n、Mは、金属であり、Rは、アルキル、アルキルアミノ、またはアルコキシなど、1つまたは複数の炭素原子を有する有機部分である)を含むことができる。基板は、任意の有用なウエハ、フィーチャ、層、またはデバイスを含み得る。いくつかの実施形態では、基板は、任意の有用なフィーチャ(例えば、不規則な表面トポグラフィ)、層(例えば、フォトレジスト層)、またはデバイスを有するケイ素ウエハである。
放射線感受性膜は、金属構成成分および有機置換基を含むことができ、それらの各々は、UV、DUV、またはEUV感受性部分を含み得る。これらの非限定的な例には、例えば、1×107cm2/mol以上など、高いEUV吸収断面積を有する金属または半金属または原子が挙げられる。他の実施形態では、構成成分は、Mを含むか、またはMである(例えば、Mは、スズ(Sn)、ビスマス(Bi)、テルル(Te)、セシウム(Cs)、アンチモン(Sb)、インジウム(In)、モリブデン(Mo)、ハフニウム(Hf)、ヨウ素(I)、ジルコニウム(Zr)、鉄(Fe)、コバルト(Co)、ニッケル(Ni)、銅(Cu)、亜鉛(Zn)、銀(Ag)、白金(Pt)、鉛(Pb)、Xe、またはそれらの組み合わせであり得る)。さらに他の実施形態では、構成成分は、Xe、Be、B、Mg、Al、および/またはScを含む。いくつかの実施形態では、構成成分は、有機金属前駆体、ドーパント前駆体、またはそれらの反応生成物を含む。
パターニング放射線感受性膜は、有機金属成分、有機成分、およびドーパントで構成される任意の有用な構造を有することができる。有用な構造の例には、任意の有用なシーケンスで配置された複数の有機金属層およびドープ層が挙げられ得る。1つの非限定的な実施形態では、膜は、複数の交互の有機金属層およびドープ層(例えば、A-B-Aシーケンス、B-A-Bシーケンス、A-B-B-Aシーケンスなど、Aは、有機金属層であり、Bは、ドープ層である)を有する。いくつかの実施形態では、交互の層の各々は、ナノ層(例えば、約0.5nm~10nmの厚さを有する)である。そのような交互の層は、任意の有用な方式、例えば、ALDまたはMLDで形成することが可能である。特定の実施形態では、膜は多孔性であり、それによって改善された乾式現像選択性を示す。
膜は、第1の有機金属層および第2のドープ層を有するナノラミネートであってもよく、これらの層は異なっている。これらの層の各々は、ALD、CVD、またはMLDによって堆積させることができる。
ナノラミネートの別の例では、これらの層における有機金属構成成分およびドーパントは、異なっている。第1の層は、第1の有機金属前駆体および第1のドーパント前駆体を堆積することによって形成することができ、第2の層は、第1の有機金属前駆体を第2のドーパント前駆体と堆積することによって形成することができ、第1および第2のドーパント前駆体は、異なっている。あるいは、第2の層は、異なる有機金属前駆体およびドーパント前駆体を使用することによって、第1の層と比較して異なる金属および有機構成成分を含むことが可能である。他の実施形態では、交互の層の各々は、原子単層(例えば、約1Å~約10Åの厚さを有する)である。
膜は、均質とすることができる。したがって、一実施形態では、膜は、交互の有機金属層およびドープ層を有するナノラミネートをアニーリングすることによって形成された均質化されたマトリックスである。さらに別の実施形態では、膜は、有機金属前駆体およびドーパント前駆体の共堆積によって形成された均質化されたマトリックスである。特定の実施形態では、均質化されたマトリックスは、合金膜であってもよい。共堆積は、有機金属前駆体とドーパント前駆体の両方の共流を使用する任意の有用な方法論(例えば、CVD)で行うことが可能である。
いくつかの実施形態では、膜は、Xeと高SEY元素(例えば、Be、B、Mg、Al、またはSc)の両方を含む。例えば、非限定的な方法は、高SEY元素を有するドープ膜を(例えば、本明細書に記載の任意の方法によって)堆積し、続いてドープ膜をXeガスに曝露し、それによって膜内にXe原子を捕捉することを含むことができる。別の例では、非限定的な方法は、膜内にXe原子を捕捉し、続いてその膜を(有機金属前駆体の有無に関わらず)ドーパント前駆体に曝露することを含むことができる。有機金属前駆体およびドーパント前駆体を堆積する方法、ならびに膜を堆積および処理する他の方法を組み合わせて、このような多重ドープ膜を形成することが可能である。
結果として得られたドープ膜は、フォトレジストとして使用することができる。特定の非限定的な実施形態では、ドープ層は、放射線露光(例えば、UV、DUV、および/またはEUV放射線への露光)後の放射線吸収および/または二次電子収量を促進する部分を含むことができる。さらなる実施形態では、有機金属層は、放射線吸収を促進する金属中心を含むことが可能である。堆積後、膜は、放射線露光によってパターニングされてその後現像され、それによってネガ型またはポジ型レジストを設けることができる。そのような方法は、本明細書で説明される任意の有用なリソグラフィプロセス、堆積プロセス、EUV露光プロセス、現像プロセス、および塗布後プロセスを含むことができる。
図2Aは、ドープ膜を使用する例示的な方法を示し、方法は、基板211の上面に膜212としてフォトレジストを堆積すること201であって、膜は、EUV感受性材料を含み、堆積することは、有機金属前駆体を供給することを含むことと、膜をドーパント前駆体に曝露し202、ドープ膜213を設けることとを含む。堆積は、本明細書に記載のいずれかのもの(例えば、水蒸気)などの逆反応剤の使用を含むことができる。
さらなるステップは、EUV露光203によって膜をパターニングし、EUV露光領域212bおよびEUV非露光領域212cを有する露光膜を設けることを含むことができる。パターニングは、EUV透明領域およびEUV不透明領域を有するマスク214の使用を含むことができ、EUVビーム215が、EUV透明領域を通ってドープ膜213に透過される。EUV露光は、例えば、真空雰囲気中で約10nm~約20nm(例えば、真空雰囲気中で約13.5nm)の範囲の波長を有する露光を含み得る。
方法200は、ドープ膜を現像すること204をさらに含むことができ、それによって(i)EUV露光領域を除去してポジ型レジスト膜内にパターンを設けるか、または(ii)EUV非露光領域を除去してネガ型レジスト膜内にパターンを設けるかのいずれかを行うことができる。図2Aの経路(i)により、EUV露光領域212bが選択的に除去される。あるいは、図2Aの経路(ii)により、現像後にEUV露光領域212bが維持される。
現像ステップは、気相でのハロゲン化物化学物質(例えば、HBr化学物質)の使用、または液相での水性もしくは有機溶媒の使用(例えば、本明細書に記載のような)を含むことができる。現像ステップは、低圧条件(例えば、約1~約100mTorr)、プラズマ曝露(例えば、真空の存在下)、および/または任意の有用な化学物質(例えば、ハロゲン化物化学物質または水性化学物質)と組み合わせることができる熱条件(例えば、約-10℃~100℃)などの任意の有用な実験条件を含むことができる。現像は、例えば、HCl、HBr、H2、Cl2、Br2、BCl3、またはそれらの組み合わせなどのハロゲン化物ベースのエッチャント、ならびに本明細書に記載の任意のハロゲン化物ベースの現像プロセス、水性アルカリ現像溶液、または有機現像溶液を含むことができる。追加の現像プロセス条件が、本明細書で説明される。
特定の実施形態では、有機金属前駆体の堆積およびドーパント前駆体を用いた曝露は、同時に行うことができる。1つの非限定的な例では、このプロセスは、有機金属前駆体、任意選択の逆反応剤、およびドーパント前駆体を、基板を設けるように構成されているチャンバに同時送給し、それによってドープ膜を形成することを含むことができる。図2Bに見られるように、非限定的な方法220は、基板231の上面に有機金属前駆体を堆積すること、およびドーパント前駆体を用いて曝露することを同時に行い221、ドープ膜233を設けることと、EUV露光223によって膜をパターニングし、EUV露光領域232bおよびEUV非露光領域232cを有する露光膜を設けることとを含むことができる。パターニングは、EUV透明領域およびEUV不透明領域を有するマスク234の使用を含むことができ、EUVビーム235が、EUV透明領域を通ってドープ膜233に透過される。最後に、ドープ膜を現像すること224により、(i)EUV露光領域を除去してポジ型レジスト膜内にパターンを設けるか、または(ii)EUV非露光領域を除去してネガ型レジスト膜内にパターンを設けるかのいずれかを行うことができる。
本明細書のいずれかの方法においてEUV感受性膜、基板、フォトレジスト層、および/またはをさらに調節、修正、または処理するために、任意選択のステップを行うことができる。図2Cは、任意選択の動作を含む、様々な動作を有する例示的な方法240のフローチャートを示す。分かるように、動作242において、有機金属前駆体が任意選択の逆反応剤の存在下で供給され、(例えば、チャンバ内に)レジスト膜を設ける。動作244において、膜は、ドーパント前駆体に曝露することによってドープされる。
一例では、方法は、基板の裏面もしくはベベルを洗浄するか、または前のステップで堆積されたフォトレジストのエッジビードを除去する任意選択のステップ246を含むことができる。そのような洗浄または除去ステップは、膜層を堆積した後に存在する存在する可能性がある粒子を除去するのに有用であり得る。除去ステップは、湿式金属酸化物(MeOx)エッジビード除去(EBR)ステップでウエハを処理することを含むことができる。
別の例では、方法は、堆積されたフォトレジスト層の塗布後ベーク(PAB)を実施し、それによって層から残留水分を除去して膜を形成するか、または任意の有用な方式でフォトレジスト層を前処理する任意選択のステップ248を含むことができる。任意選択のPABは、膜堆積の後、EUV露光の前に行うことができ、PABは、膜のEUV感度を増加させるために熱処理、化学物質への曝露、および水分の組み合わせを伴うことができ、それによってEUV線量を低減して膜にパターンを現像する。特定の実施形態では、PABステップは、約100℃を超える温度、または約100~200℃、または100~250℃の温度で行われる。場合によっては、PABは、方法内で実施されない。
動作250において、ドープ膜をEUV放射線に露光してパターンを現像する。一般に、EUV露光は、膜の化学組成の変化を引き起こし、膜の一部を除去するために使用することができるエッチング選択性のコントラストを作り出す。そのようなコントラストは、本明細書で説明されるポジ型レジストまたはネガ型レジストを提供することができる。
さらに別の例では、方法は、露光膜の露光後ベーク(PEB)を実施し、それによって残留水分をさらに除去するか、膜内の化学凝縮を促進するか、または任意の有用な方式で膜を後処理する任意選択のステップ252を含むことができる。別の例では、方法は、(例えば、現像後に)パターニングされた膜を硬化させ、それによって基板の上面に配置されたレジストマスクを設けることを含むことができる。硬化ステップは、EUV非露光領域またはEUV露光領域をさらに架橋または反応させる任意の有用なプロセス、例えばプラズマ(例えば、O2、Ar、He、またはCO2プラズマ)への曝露、紫外線放射線への露光、アニーリング(例えば、約180℃~約240℃の温度で)、熱ベーキング、または現像後ベーキング(PDB)ステップに有用であり得るそれらの組み合わせなどのステップを含むことができる。追加の塗布後プロセスは本明細書で説明され、本明細書に記載の任意の方法に対する任意選択のステップとして行うことができる。
次に、動作254において、PRパターンが現像される。現像の様々な実施形態において、露光領域が除去されるか(ポジ型)、または非露光領域が除去される(ネガ型)。様々な実施形態において、これらのステップは、乾式プロセスおよび/または湿式プロセスであってもよい。
図2Dは、任意選択の動作を含む、様々な動作を有する別の非限定的な方法260のフローチャートを示す。分かるように、動作264において、有機金属前駆体がドーパント前駆体(および任意選択の逆反応剤)の存在下で供給され、(例えば、チャンバ内に)ドープ膜を設ける。任意選択のステップは、基板の裏面もしくはベベルを洗浄する266か、または前のステップで堆積されたフォトレジストのエッジビードを除去することと、堆積されたフォトレジスト層のPABを実施し268、それによって層から残留水分を除去して膜を形成することとを含むことができる。方法は、ドープ膜をEUV放射線に露光し、EUV露光領域およびEUV非露光領域を設ける動作270を含むことができる。方法は、PEBを実施する任意選択のステップ272を含むことができる。次に、動作274において、PRパターンが現像される。
堆積、パターニング、および/または現像ステップ中、任意の有用なタイプの化学物質を用いることができる。そのようなステップは、気相で化学物質を用いる乾式プロセスまたは湿相で化学物質を用いる湿式プロセスに基づくことができる。様々な実施形態は、気相堆積、(EUV)リソグラフィフォトパターニング、乾式剥離、および乾式現像による膜形成のすべての乾式動作を組み合わせることを含む。様々な他の実施形態は、湿式処理動作と有利に組み合わされた本明細書に記載の乾式処理動作、例えば、Inpria Corp.から入手可能なものなどのスピンオンEUVフォトレジスト(湿式プロセス)を含み、乾式現像または本明細書に記載の他の湿式もしくは乾式プロセスと組み合わせることができる。様々な実施形態において、ウエハ洗浄は、本明細書に記載の湿式プロセスであってもよく、他のプロセスは、乾式プロセスである。さらに他の実施形態では、湿式現像プロセスを使用することができる。
本技術の機構、機能、または有用性を限定することなく、本技術の乾式プロセスは、当技術分野で知られている湿式現像プロセスと比較して様々な利点を提供することができる。例えば、本明細書に記載の乾式気相堆積技法を使用して、スピンコーティング技法を使用して適用され得るよりも薄くて欠陥のない膜を堆積することができ、堆積膜の正確な厚さは、堆積ステップまたはシーケンスの長さを増減するだけで調節および制御することができる。したがって、乾式プロセスは、より多くの調節可能性を提供し、さらなる臨界寸法(CD)制御およびスカム除去を与えることが可能である。乾式現像は、性能を改善し(例えば、湿式現像における表面張力によるラインの崩壊を防止する)、かつ/またはスループットを向上させる(例えば、湿式現像トラックを回避することによって)ことができる。他の利点には、有機溶媒現像液の使用の排除、接着の問題に対する感度の低減、湿式レジスト配合物を適用および除去する必要性の回避(例えば、スカムおよびパターンの歪みの回避)、ラインエッジの粗さの改善、デバイストポグラフィの上への直接のパターニング、ハードマスク化学物質を特定の基板および半導体デバイス設計に合わせて調節する能力の提供、および他の溶解度に基づく制限の回避が含まれ得る。追加の詳細、材料、プロセス、ステップ、および装置が、本明細書で説明される。
ドーパント前駆体
ドーパント前駆体は、高いパターニング放射線吸収および/または高いSEYを有する元素を有するいずれかのもの(例えば、本明細書に記載のいずれかのもの)であり得る。そのようなドーパント前駆体は、有機金属前駆体と共に堆積の前、堆積の後、または堆積中に用いることができる。一実施形態では、ドーパント前駆体は、ガスとしてXeを含む。別の実施形態では、ドーパント前駆体は、式(II):
XaZb(II)
を有する構造を含み、
各Xは、独立して、高いSEYによって特徴付けられる元素であり、
各Zは、独立して、H、ハロ、任意選択で置換されたアルキル、任意選択で置換されたアリール、任意選択で置換されたアミノ、任意選択で置換されたビス(トリアルキルシリル)アミノ、任意選択で置換されたトリアルキルシリル、任意選択で置換されたアルコキシ、または配位子(例えば、逆反応剤と反応する)であり、
a≧1(例えば、aは、1、2、または3である)、および
b≧2(例えば、bは、2、3、4、5、6、7、または8である)である。
ドーパント前駆体は、高いパターニング放射線吸収および/または高いSEYを有する元素を有するいずれかのもの(例えば、本明細書に記載のいずれかのもの)であり得る。そのようなドーパント前駆体は、有機金属前駆体と共に堆積の前、堆積の後、または堆積中に用いることができる。一実施形態では、ドーパント前駆体は、ガスとしてXeを含む。別の実施形態では、ドーパント前駆体は、式(II):
XaZb(II)
を有する構造を含み、
各Xは、独立して、高いSEYによって特徴付けられる元素であり、
各Zは、独立して、H、ハロ、任意選択で置換されたアルキル、任意選択で置換されたアリール、任意選択で置換されたアミノ、任意選択で置換されたビス(トリアルキルシリル)アミノ、任意選択で置換されたトリアルキルシリル、任意選択で置換されたアルコキシ、または配位子(例えば、逆反応剤と反応する)であり、
a≧1(例えば、aは、1、2、または3である)、および
b≧2(例えば、bは、2、3、4、5、6、7、または8である)である。
Xeおよび高SEY元素を含むドーパントは、一緒にまたは連続して用いることが可能である。例えば、Xe(例えば、Xeガス)を含む第1のドーパント前駆体は、高SEY元素(例えば、Be、B、Mg、Al、Sc、またはそれらの組み合わせ)を含む第2のドーパント前駆体と共に用いることができる。
さらに別の実施形態では、ドーパント前駆体は、式(IIa):
XZb(IIa)
を有する構造を含み、
Xは、高いSEYによって特徴付けられる元素であり、
各Zは、独立して、H、ハロ、任意選択で置換されたアルキル、任意選択で置換されたアリール、任意選択で置換されたアミノ、任意選択で置換されたビス(トリアルキルシリル)アミノ、任意選択で置換されたトリアルキルシリル、任意選択で置換されたアルコキシ、または配位子(例えば、逆反応剤と反応する)であり、
a≧1(例えば、aは、1、2、または3である)、および
b≧2(例えば、bは、2、3、4、5、6、7、または8である)である。
XZb(IIa)
を有する構造を含み、
Xは、高いSEYによって特徴付けられる元素であり、
各Zは、独立して、H、ハロ、任意選択で置換されたアルキル、任意選択で置換されたアリール、任意選択で置換されたアミノ、任意選択で置換されたビス(トリアルキルシリル)アミノ、任意選択で置換されたトリアルキルシリル、任意選択で置換されたアルコキシ、または配位子(例えば、逆反応剤と反応する)であり、
a≧1(例えば、aは、1、2、または3である)、および
b≧2(例えば、bは、2、3、4、5、6、7、または8である)である。
いくつかの実施形態では、Xは、高いEUV SEY(例えば、約0.2~0.45)、および/または1×102cm2/mol以上(例えば、約102~107cm2/mol、102~104cm2/mol、または102~106cm2/mol)のEUV吸収断面積によって特徴付けられる元素である。特定の実施形態では、Xは、Be、B、Mg、Al、Sc、またはそれらの組み合わせである。いくつかの実施形態では、Zは、式(I)、(Ia)、(III)、(IV)、(V)、(VI)、(VII)、または(VIII)に関して本明細書に記載の任意の配位子(例えば、RまたはLとして)である。
Zの非限定的な例には、H、ハロ、任意選択で置換されたアルキル、任意選択で置換されたアリール、任意選択で置換されたアミノ、任意選択で置換されたビス(トリアルキルシリル)アミノ、任意選択で置換されたトリアルキルシリル、または任意選択で置換されたアルコキシ(例えば、-OR1、R1は、アルキルであり得る)が挙げられる。いくつかの実施形態では、任意選択で置換されたアミノは、-NR1R2であり、各R1およびR2は、独立して、Hもしくはアルキルであるか、またはR1およびR2は、各々が結合している窒素原子と一緒になって、本明細書で定義されるヘテロシクリル基を形成する。他の実施形態では、任意選択で置換されたビス(トリアルキルシリル)アミノは、-N(SiR1R2R3)2であり、各R1、R2、およびR3は、独立して、アルキルである。さらに他の実施形態では、任意選択で置換されたトリアルキルシリルは、-SiR1R2R3であり、各R1、R2、およびR3は、独立して、アルキルである。
いくつかの実施形態では、各Zまたは少なくとも1つのZは、酸素原子を含むことができる。特定の実施形態では、1つまたは複数のZは、任意選択で置換されたアルコキシ(例えば、式(II)または(IIa)中)であり得る。非限定的なZ置換基には、例えば、メトキシ、エトキシ、イソプロポキシ(i-PrO)、t-ブトキシ(t-BuO)、1-メトキシ-2-メチル-2-プロパノラト(mmp)、2,2,6,6-テトラメチル-3,5-ヘプタンジオナト(THD)、アセチルアセトネート(acac)、および-O-C(Za)-CZa-C(Za)-O-(β-ジケトナートが挙げられ、各Zaは、独立して、H、アルキル、アルケニル、アルキニル、アリール、フェニル、またはハロであり得る)。
いくつかの実施形態では、各Zまたは少なくとも1つのZは、窒素原子を含むことができる。特定の実施形態では、1つまたは複数のZは、任意選択で置換されたアミノ(例えば、式(II)または(IIa)中)であり得る。非限定的なZ置換基には、例えば、ジアルキルアミノ;ジメチルアミノ;ジエチルアミノ;3-(ジメチルアミノ)プロピル(dmp);-NR1R2-C(Za)2-C(Za)2-C(Za)2-(ジアルキルアミノプロピル)、各Za、R1、およびR2は、独立して、H、アルキル、アルケニル、アルキニル、アリール、フェニル、またはハロであり得る;-N(Za)-C(Za)-N(Za)-(アミジナト)、各Zaは、独立して、H、アルキル、アルケニル、アルキニル、アリール、フェニル、またはハロであり得、N,N’-ジ-sec-ブチルアセトアミジナト[(iPrN)2CMe]を含む;-N(Za)-C(NR1R2)-N(Za)-(グアニジナト)、各Za、R1、およびR2は、独立して、H、アルキル、アルケニル、アルキニル、アリール、フェニル、またはハロであり得る;または-N(Za)-C(Za)-C(Za)-C(Za)-N(Za)-(β-ジケチミナト)、各Zaは、独立して、H、アルキル、アルケニル、アルキニル、アリール、フェニル、またはハロであり得る、が挙げられる。
いくつかの実施形態では、各Zまたは少なくとも1つのZは、任意選択で置換されたシクロペンタジエニル(Cp)または任意選択で置換されたアリールを含むことができる。Cpおよびアリールについての非限定的な置換基には、アルキル、アルケニル、アルキニル、アリール、フェニル、またはハロ、例えばアルキル化誘導体(例えば、η5-C5H4Et)が挙げられ得る。
ドーパント前駆体のさらに他の例には、塩化ベリリウム[BeCl2]および臭化ベリリウム[BeBr2]などのハロゲン化ベリリウム、ジメチルベリリウム[Be(Me)2]などのジアルキルベリリウムを含む有機ベリリウム、ビス(ジメチルアミノ)ベリリウム[Be(NMe2)2]などのビス(ジアルキル)アミノベリリウム、およびベリリウムアセチルアセトネート[Be(acac)2]などのベリリウムβ-ケトネートが挙げられる。
他のドーパント前駆体には、ボランおよびその錯体、例えばトリヒドリドホウ素[BH3]、ジボラン[B2H6]、およびアミンまたは有機アミンを含む錯体、例えばジアルキルアミン(例えば、NHRN1RN2、RN1およびRN2の各々は、任意選択で置換されたアルキルであり得る)、トリアルキルアミン(例えば、NRN1RN2RN3、RN1、RN2、およびRN3の各々は、任意選択で置換されたアルキルであり得る)、または他のアミン(例えば、NRN1RN2RN3、RN1、RN2、およびRN3の各々は、H、任意選択で置換されたアルキル、任意選択で置換されたアリールであり得るか、またはRN1、RN2、およびRN3のうちの2つ以上は、各々が結合している窒素原子と一緒になって、本明細書で定義されるヘテロシクリル基を形成する)が挙げられ、錯体には、ボランジメチルアミン錯体[NMe2H・BH3]、ボラン-アンモニア錯体[NH3・BH3]、またはボラントリメチルアミン錯体[NMe3・BH3];ハロゲン化ホウ素、例えば塩化ホウ素[BCl3]、臭化ホウ素[BBr3]、および四フッ化二ホウ素[B2F4];有機ボラン、例えばトリアルキルボランおよびトリアリールボラン、トリメチルボラン[BMe3]、トリメチルボラン[BEt3]、およびトリフェニルボラン[BPh3]を含む;有機ホウ酸塩、例えばホウ酸トリアルキル、ホウ酸トリメチル[B(OMe)3]、ホウ酸トリエチル[B(OEt)3]、およびホウ酸トリイソプロピル[B(O-iPr)3]を含む;ならびにトリス(ジアルキルアミノ)ボラン、例えばトリス(ジメチルアミノ)ボラン[B(NMe2)3]が挙げられ得る。
さらに他のドーパント前駆体には、ビスアルキルマグネシウムビスアリールマグネシウム、ビス(シクロペンタジエニル)マグネシウム[Mg(Cp)2]、およびその置換形態、例えばビス(エチルシクロペンタジエニル)マグネシウム[Mg(η5-C5H4Et)2]、ビス(n-プロピルシクロペンタジエニル)マグネシウム[Mg(η5-C5H4nPr)2]、およびビス(ペンタメチルシクロペンタジエニル)マグネシウム[Mg(η5-C5Me5)2];β-ケトン酸マグネシウム、例えばビス(2,2,6,6-テトラメチル-3,5-ヘプタンジオナト)マグネシウム[Mg(THD)2]、マグネシウムアセチルアセトナート[Mg(acac)2]、およびマグネシウムヘキサフルオロアセチルアセトナート[Mg(hfa)2];ならびにマグネシウムβ-アミジネート、例えばビス(N,N’-ジ-sec-ブチルアセトアミジナト)マグネシウム[Mg[(iPrN)2CMe]2]、およびビス(N-t-ブチル-N’’-エチルプロパンイミダミダト)マグネシウム[Mg[(tBuN)CEt(NEt)]2]を含む、有機マグネシウムが挙げられ得る。
他のドーパント前駆体には、ハロゲン化アルミニウム、例えば臭化アルミニウム[AlBr3]および塩化アルミニウム[AlCl3];トリアルキルアルミニウムおよびトリアリールアルミニウム、例えばトリメチルアルミニウム[AlMe3]およびトリエチルアルミニウム[AlEt3] を含む、有機アルミニウム;アルミニウムアルコキシド、例えばアルミニウムメトキシド[Al(OMe)3]およびアルミニウムi-プロポキシド[Al(OiPr)3];アルミニウムβ-ケトネート、例えばアルミニウムアセチルアセトナート[Al(acac)3]、トリス(2,2,6,6-テトラメチル-3,5-ヘプタンジオナト)アルミニウム[Al(THD)3]、およびアルミニウムヘキサフルオロアセチルアセトナート[Al(hfa)3];トリス(ジアルキルアミド)アルミニウム、例えばトリス(ジメチルアミド)アルミニウム[Al(NMe2)3またはAl2(NMe2)6];アルコキシ含有アルミニウム前駆体、例えばトリス(1-メトキシ-2-メチル-2-プロポキシ)アルミニウム[Al(mmp)3];ならびにアミノ含有アルミニウム前駆体、例えば[3-(ジメチルアミノ)プロピル]ジメチルアルミニウム[AlMe2(dmp)]、[3-(ジメチルアミノ)プロピル]ビス(ジメチルアミド)アルミニウム[Al(NMe2)2(dmp)]、および[3-(ジメチルアミノ)プロピル]ビス(ジイソプロピルアミド)アルミニウム[Al(iPrN)2(dmp)] が挙げられ得る。
さらに他のドーパント前駆体には、有機スカンジウム、例えばビス(シクロペンタジエニル)アリルスカンジウム[ScCp2(η3-C3H5)]またはトリス(シクロペンタジエニル)スカンジウム[ScCp3];スカンジウムβ-ケトネート、例えばスカンジウムアセチルアセトナート[Sc(acac)2]およびトリス(2,2,6,6-テトラメチル-3,5-ヘプタンジオナト)スカンジウム[Sc(TMHD)3];スカンジウムβ-アミジネート、例えばトリス(N,N’-ジ-sec-ブチルアセトアミジナト)スカンジウム[Sc[(iPrN)2CMe]3]、トリス(N-t-ブチル-N’’-エチルプロパンイミダミダト)スカンジウム[Sc[(tBuN)CEt(NEt)]3]、およびトリス(N,N’-ジイソプロピルアセトアミジナト)スカンジウム[Sc[(iPrN)2CH)]3];ならびにトリアルキルシリルアミド含有スカンジウム化合物、例えばトリス[N,N-ビス(トリメチルシリル)アミド]スカンジウム[Sc[(N(SiMe3)2]3] が挙げられ得る。
有機金属前駆体
有機金属前駆体は、任意の有用な数およびタイプの配位子を有することができる。配位子は、逆反応剤の存在下で、またはパターニング放射線の存在下で反応するその能力によって特徴付けることが可能である。例えば、有機金属前駆体は、金属中心間の連結(例えば、-O-連結)を導入することができる、逆反応剤と反応する配位子(例えば、ジアルキルアミノ基またはアルコキシ基)を含み得る。別の例では、有機金属前駆体は、パターニング放射線の存在下で脱離する配位子を含むことができる。そのような配位子は、ベータ水素を有する分枝または直鎖アルキル基を含み得る。
有機金属前駆体は、任意の有用な数およびタイプの配位子を有することができる。配位子は、逆反応剤の存在下で、またはパターニング放射線の存在下で反応するその能力によって特徴付けることが可能である。例えば、有機金属前駆体は、金属中心間の連結(例えば、-O-連結)を導入することができる、逆反応剤と反応する配位子(例えば、ジアルキルアミノ基またはアルコキシ基)を含み得る。別の例では、有機金属前駆体は、パターニング放射線の存在下で脱離する配位子を含むことができる。そのような配位子は、ベータ水素を有する分枝または直鎖アルキル基を含み得る。
有機金属前駆体は、有機金属剤、金属ハロゲン化物、またはキャッピング剤(例えば、本明細書に記載のような)などの任意の有用な金属含有前駆体であり得る。非限定的な例では、有機金属前駆体は、式(I):
MaRbLc(I)
を有する構造を含み、
Mは、金属であり、
各Rは、独立して、ハロ、任意選択で置換されたアルキル、任意選択で置換されたアリール、任意選択で置換されたアミノ、任意選択で置換されたアルコキシ、またはLであり、
各Lは、独立して、逆反応剤と反応する配位子、イオン、または他の部分であり、RおよびLは、Mと一緒になって、任意選択でヘテロシクリル基を形成することができ、またはRおよびLは、一緒になって、任意選択でヘテロシクリル基を形成することができ、
a≧1、b≧1、およびc≧1である。
MaRbLc(I)
を有する構造を含み、
Mは、金属であり、
各Rは、独立して、ハロ、任意選択で置換されたアルキル、任意選択で置換されたアリール、任意選択で置換されたアミノ、任意選択で置換されたアルコキシ、またはLであり、
各Lは、独立して、逆反応剤と反応する配位子、イオン、または他の部分であり、RおよびLは、Mと一緒になって、任意選択でヘテロシクリル基を形成することができ、またはRおよびLは、一緒になって、任意選択でヘテロシクリル基を形成することができ、
a≧1、b≧1、およびc≧1である。
いくつかの実施形態では、有機金属前駆体内の各配位子は、逆反応剤と反応する配位子であり得る。一例では、有機金属前駆体は、式(I)を有する構造を含み、各Rは、独立して、Lである。別の例では、有機金属前駆体は、式(Ia):
MaLc(Ia)
を有する構造を含み、
Mは、金属であり、
各Lは、独立して、逆反応剤と反応する配位子、イオン、または他の部分であり、2つのLは、一緒になって、任意選択でヘテロシクリル基を形成することができ、
a≧1、およびc≧1である。
式(Ia)の特定の実施形態では、aは、1である。さらなる実施形態では、cは、2、3、または4である。
MaLc(Ia)
を有する構造を含み、
Mは、金属であり、
各Lは、独立して、逆反応剤と反応する配位子、イオン、または他の部分であり、2つのLは、一緒になって、任意選択でヘテロシクリル基を形成することができ、
a≧1、およびc≧1である。
式(Ia)の特定の実施形態では、aは、1である。さらなる実施形態では、cは、2、3、または4である。
本明細書のいずれかの式について、Mは、高いパターニング放射線吸収断面積(例えば、1×107cm2/mol以上のEUV吸収断面積)を有する金属であり得る。いくつかの実施形態では、Mは、スズ(Sn)、テルル(Te)、ビスマス(Bi)、アンチモン(Sb)、ハフニウム(Hf)、またはジルコニウム(Zr)である。さらなる実施形態では、式(I)または(Ia)において、Mは、Snであり、aは、1であり、cは、4である。他の実施形態では、式(I)または(Ia)において、Mは、Snであり、aは、1であり、cは、2である。特定の実施形態では、Mは、Sn(II)(例えば、式(I)または(Ia)中)であり、それによってSn(II)ベースの化合物である有機金属前駆体を提供する。他の実施形態では、Mは、Sn(IV)(例えば、式(I)または(Ia)中)であり、それによってSn(IV)ベースの化合物である有機金属前駆体を提供する。
本明細書のいずれかの式について、各Lは、独立して、H、ハロ、任意選択で置換されたアルキル、任意選択で置換されたアリール、任意選択で置換されたアミノ、任意選択で置換されたビス(トリアルキルシリル)アミノ、任意選択で置換されたトリアルキルシリル、または任意選択で置換されたアルコキシ(例えば、-OR1、R1は、任意選択で置換されたアルキルであり得る)である。いくつかの実施形態では、任意選択で置換されたアミノは、-NR1R2であり、各R1およびR2は、独立して、Hもしくはアルキルであるか、またはR1およびR2は、各々が結合している窒素原子と一緒になって、本明細書で定義されるヘテロシクリル基を形成する。他の実施形態では、任意選択で置換されたビス(トリアルキルシリル)アミノは、-N(SiR1R2R3)2であり、各R1、R2、およびR3は、独立して、アルキルである。さらに他の実施形態では、任意選択で置換されたトリアルキルシリルは、-SiR1R2R3であり、各R1、R2、およびR3は、独立して、アルキルである。
他の実施形態では、式は、-NR1R2である第1のLおよび-NR1R2である第2のLを含み、各R1およびR2は、独立して、Hまたはアルキルであり、または第1のLからのR1および第2のLからのR1は、各々が結合している窒素原子および金属原子と一緒になって、本明細書で定義されるヘテロシクリル基を形成する。さらに他の実施形態では、式は、-OR1である第1のLおよび-OR1である第2のLを含み、各R1は、独立して、Hまたはアルキルであり、または第1のLからのR1および第2のLからのR1は、各々が結合している酸素原子および金属原子と一緒になって、本明細書で定義されるヘテロシクリル基を形成する。
いくつかの実施形態では、LまたはRの少なくとも1つは、任意選択で置換されたアルキル(例えば、式(I)または(Ia)中)である。非限定的なアルキル基には、例えば、CnH2n+1が挙げられ、nは、1、2、3、またはそれ以上であり、例えばメチル、エチル、n-プロピル、イソプロピル、n-ブチル、イソブチル、s-ブチル、またはt-ブチルが挙げられる。様々な実施形態において、LまたはRは、少なくとも1つのベータ水素またはベータフッ素を有する。特に、有機金属前駆体は、テトラメチルスズ(SnMe4)、テトラエチルスズ(SnEt4)、t-ブチルテルル水素化物(Te(t-Bu)(H))、ジメチルテルル(TeMe2)、ジ(t-ブチル)テルル(Te(t-Bu)2)、またはジ(イソプロピル)テルル(Te(i-Pr)2)であり得る。
いくつかの実施形態では、各Lまたは少なくとも1つのLは、ハロ(例えば、式(I)または(Ia)中)である。特に、有機金属前駆体は、金属ハロゲン化物であり得る。非限定的な金属ハロゲン化物には、SnBr4、SnCl4、SnI4、およびSbCl3が挙げられる。
いくつかの実施形態では、各Lまたは少なくとも1つのLは、窒素原子を含むことができる。特定の実施形態では、1つまたは複数のLは、任意選択で置換されたアミノ、または任意選択で置換されたビス(トリアルキルシリル)アミノ(例えば、式(I)または(Ia)中)であり得る。非限定的なL置換基には、例えば、-NMe2、-NEt2、-NMeEt、-N(t-Bu)-[CHCH3]2-N(t-Bu)-(tbba)、-N(SiMe3)2、および-N(SiEt3)2が挙げられ得る。非限定的な有機金属前駆体には、例えば、Sn(NMe2)4、Sn(NEt2)4、Sn(i-Pr)(NMe2)3、Sn(n-Bu)(NMe2)3、Sn(s-Bu)(NMe2)3、Sn(i-Bu)(NMe2)3、Sn(t-Bu)(NMe2)3、Sn(t-Bu)2(NMe2)2、Sn(t-Bu)(NEt2)3、Sb(NMe2)3、Sn(tbba)、Sn[N(SiMe3)2]2、またはBi[N(SiMe3)2]3が挙げられ得る。
いくつかの実施形態では、各Lまたは少なくとも1つのLは、ケイ素原子を含むことができる。特定の実施形態では、1つまたは複数のLは、任意選択で置換されたトリアルキルシリル、または任意選択で置換された置換されたビス(トリアルキルシリル)アミノ(例えば、式(I)または(Ia)中)であり得る。非限定的なL置換基には、例えば、-SiMe3、-SiEt3、-N(SiMe3)2、および-N(SiEt3)2が挙げられ得る。非限定的な有機金属前駆体には、例えば、Sn[N(SiMe3)2]2、ビス(トリメチルシリル)テルル(Te(SiMe3)2)、ビス(トリエチルシリル)テルル(Te(SiEt3)2)、またはBi[N(SiMe3)2]3が挙げられ得る。
いくつかの実施形態では、各Lまたは少なくとも1つのLは、酸素原子を含むことができる。特定の実施形態では、1つまたは複数のLは、任意選択で置換されたアルコキシ(例えば、式(I)または(Ia)中)であり得る。非限定的なL置換基には、例えば、メトキシ、エトキシ、イソプロポキシ(i-PrO)、t-ブトキシ(t-BuO)、および-O=C(CH3)-CH=C(CH3)-O-(acac)が挙げられる。非限定的な有機金属前駆体には、例えば、Sn(t-BuO)4、Sn(n-Bu)(t-BuO)3、またはSn(acac)2が挙げられる。
さらに他の有機金属前駆体および非限定的な置換基が、本明細書で説明される。例えば、有機金属前駆体は、上述の式(I)および(Ia)、または以下で説明される式(III)、(IV)、(V)、(VI)、(VII)、もしくは(VIII)の構造を有する任意のものであり得る。本明細書に記載の置換基M、R、X、またはLのいずれも、式(I)、(Ia)、(III)、(IV)、(V)、(VI)、(VII)、または(VIII)のいずれかにおいて用いることができる。
1つの非限定的な例においてドープ膜を提供するために、ドーパント前駆体を用いて、有機金属前駆体の配位子と反応するか、または有機金属前駆体の配位子を置換することができる。非限定的なドーパント前駆体が、本明細書で説明される。
そのような前駆体は、1つまたは複数の逆反応剤と組み合わせてさらに使用することが可能である。逆反応剤は、好ましくは、化学結合を介して少なくとも2つの金属原子を連結するように、反応性部分、配位子、またはイオン(例えば、本明細書の式中のL)を置換する能力を有する。例示的な逆反応剤には、酸素含有逆反応剤、例えばO2、O3、水、過酸化物(例えば、過酸化水素)、酸素プラズマ、水プラズマ、アルコール、ジヒドロキシまたはポリヒドロキシアルコール、フッ素化ジヒドロキシまたはポリヒドロキシアルコール、フッ素化グリコール、ギ酸、およびヒドロキシル部分の他の源、ならびにそれらの組み合わせが挙げられる。様々な実施形態において、逆反応剤は、隣接する金属原子間に酸素ブリッジを形成することによって有機金属前駆体と反応する。他の潜在的な逆反応剤には、硫黄ブリッジを介して金属原子を架橋することができる硫化水素および二硫化水素、ならびにテルルブリッジを介して金属原子を架橋することができるビス(トリメチルシリル)テルルが挙げられる。加えて、ヨウ化水素がヨウ素を膜に組み込むために利用されてもよい。
特定の実施形態では、逆反応剤は、例えば、以下の式:
X3-Z-X4
を有する構造を含むカルコゲニド前駆体であり、
Zは、硫黄、セレン、またはテルルであり、
X3およびX4の各々は、独立して、H、任意選択で置換されたアルキル(例えば、メチル、エチル、n-プロピル、イソプロピル、n-ブチル、t-ブチルなど)、任意選択で置換されたアルケニル、任意選択で置換されたアリール、任意選択で置換されたアミノ、任意選択で置換されたアルコキシ、または任意選択で置換されたトリアルキルシリルである。
X3-Z-X4
を有する構造を含むカルコゲニド前駆体であり、
Zは、硫黄、セレン、またはテルルであり、
X3およびX4の各々は、独立して、H、任意選択で置換されたアルキル(例えば、メチル、エチル、n-プロピル、イソプロピル、n-ブチル、t-ブチルなど)、任意選択で置換されたアルケニル、任意選択で置換されたアリール、任意選択で置換されたアミノ、任意選択で置換されたアルコキシ、または任意選択で置換されたトリアルキルシリルである。
本明細書の有機金属前駆体を使用して、勾配膜を形成することができる。例えば、ドーパント前駆体および/または逆反応剤中に存在する様々な原子は、勾配膜内に供給することが可能である。本明細書で説明される技法のいくつかの実施形態では、フォトレジスト(PR)膜におけるEUV感度をさらに改善することができる非限定的な戦略は、膜組成が垂直方向に傾斜し、深さに依存するEUV感度をもたらす膜を形成することである。吸収係数が高い均質なPRでは、膜の深さ全体にわたって光強度が減少するため、底部が十分に露出されることを確実にするためにより高いEUV線量が必要となる。膜の上部に対して膜の底部で高いEUV吸収率を有する原子の密度を増加させることによって(すなわち、EUV吸収の増加に伴う勾配を形成することによって)、利用可能なEUV光子をより効率的に使用することが可能になる一方で、吸収(および二次電子の効果)をより高度に吸収する膜の底部に向かってより均一に分布させる。1つの非限定的な例では、勾配膜は、膜の底部に向かって(例えば、基板により近い)Te、I、または他の原子を含む。
PR膜における垂直組成勾配を操作する戦略は、CVD、およびALDなどの乾式堆積法に特に適用可能であり、堆積中の異なる反応剤間の流量比を調節することによって実現することができる。操作可能な組成勾配のタイプには、異なる高吸収性金属間の比率、EUV切断可能な有機基を有する金属原子の割合、高吸収元素を含むドーパントおよび/または逆反応剤の割合、ならびに上記の組み合わせが挙げられる。
EUV PR膜における組成勾配は、追加の利点ももたらすことができる。例えば、膜の底部における高密度の高EUV吸収元素は、膜の上部をより良好に露出させることができるより多くの二次電子を効果的に生成することができる。加えて、そのような組成勾配はまた、嵩高い末端置換基に結合していないEUV吸収種のより高い割合と直接相関することができる。例えば、Snベースのレジストの場合、4つの脱離基を有するスズ前駆体の組み込みが可能であり、それによって界面でのSn-O-基板結合の形成が促進されて接着が改善される。
このような勾配膜は、本明細書に記載の任意の有機金属前駆体(例えば、スズまたは非スズ前駆体)、ドーパント前駆体、および/または逆反応剤を使用することによって形成することができる。さらに他の膜、方法、前駆体、および他の化合物は、2019年10月2日に出願され、SUBSTRATE SURFACE MODIFICATION WITH HIGH EUV ABSORBERS FOR HIGH PERFORMANCE EUV PHOTORESISTSと題する米国仮特許出願第62/909,430号、および2020年6月24日に出願され、PHOTORESIST WITH MULTIPLE PATTERNING RADIATION-ABSORBING ELEMENTS AND/OR VERTICAL COMPOSITION GRADIENTと題する国際出願第PCT/US20/70172号に記載されており、EUVレジストマスクを形成するための直接光パターニング可能な金属酸化物膜の組成物、堆積、およびパターニングに少なくとも関連する上記の開示は、参照により本明細書に組み込まれる。
さらに、2つ以上の異なる前駆体を各層(例えば、膜またはキャッピング層)内で用いることができる。例えば、本明細書の任意の金属含有前駆体の2つ以上を用いて、合金を形成することができる。1つの非限定的な例では、テルル化スズは、RTeH、RTeD、またはTeR2前駆体と共に-NR2配位子を含むスズ前駆体を用いることによって形成することができ、Rは、アルキル、特にt-ブチルまたはi-プロピルである。別の例では、トリアルキルシリル配位子(例えば、ビス(トリメチルシリル)テルル)を含むテルル含有前駆体と共にアルコキシまたはハロ配位子(例えば、SbCl3)を含む第1の金属前駆体を使用することによって、金属テルル化物を形成することができる。
さらに他の例示的なEUV感受性材料、ならびに処理方法および装置は、米国特許第9,996,004号および国際特許公開番号WO2019/217749号に記載されており、上記の各々は、その全体が参照により本明細書に組み込まれる。
さらなる前駆体
本明細書で説明されるように、本明細書の膜、層、および方法は、任意の有用な前駆体と共に用いることができる。場合によっては、有機金属前駆体は、以下の式(III):
MXn(III)
を有する金属ハロゲン化物を含み、
Mの選択に応じて、Mは、金属であり、Xは、ハロであり、nは、2~4である。Mについての例示的な金属には、Sn、Te、Bi、またはSbが挙げられる。例示的な金属ハロゲン化物には、SnBr4、SnCl4、SnI4、およびSbCl3が挙げられる。
本明細書で説明されるように、本明細書の膜、層、および方法は、任意の有用な前駆体と共に用いることができる。場合によっては、有機金属前駆体は、以下の式(III):
MXn(III)
を有する金属ハロゲン化物を含み、
Mの選択に応じて、Mは、金属であり、Xは、ハロであり、nは、2~4である。Mについての例示的な金属には、Sn、Te、Bi、またはSbが挙げられる。例示的な金属ハロゲン化物には、SnBr4、SnCl4、SnI4、およびSbCl3が挙げられる。
別の非限定的な金属含有前駆体は、式(IV):
MRn(IV)
を有する構造を含み、
Mは、金属であり、各Rは、独立して、H、任意選択で置換されたアルキル、アミノ(例えば、-NR2、各Rは、独立して、アルキルである)、任意選択で置換されたビス(トリアルキルシリル)アミノ(例えば、-N(SiR3)2、各Rは、独立して、アルキルである)、または任意選択で置換されたトリアルキルシリル(例えば、-SiR3、各Rは、独立して、アルキルである)であり、Mの選択に応じて、nは、2~4である。Mについての例示的な金属には、Sn、Te、Bi、またはSbが挙げられる。アルキル基は、CnH2n+1であってもよく、nは、1、2、3、またはそれ以上である。例示的な有機金属剤には、SnMe4、SnEt4、TeRn、RTeR、水素化t-ブチルテルル(Te(t-Bu)(H))、ジメチルテルル(TeMe2)、ジ(t-ブチル)テルル(Te(t-Bu)2)、ジ(イソプロピル)テルル(Te(i-Pr)2)、ビス(トリメチルシリル)テルル(Te(SiMe3)2)、ビス(トリエチルシリル)テルル(Te(SiEt3)2)、トリス(ビス(トリメチルシリル)アミド)ビスマス(Bi[N(SiMe3)2]3)、Sb(NMe2)3などが挙げられる。
MRn(IV)
を有する構造を含み、
Mは、金属であり、各Rは、独立して、H、任意選択で置換されたアルキル、アミノ(例えば、-NR2、各Rは、独立して、アルキルである)、任意選択で置換されたビス(トリアルキルシリル)アミノ(例えば、-N(SiR3)2、各Rは、独立して、アルキルである)、または任意選択で置換されたトリアルキルシリル(例えば、-SiR3、各Rは、独立して、アルキルである)であり、Mの選択に応じて、nは、2~4である。Mについての例示的な金属には、Sn、Te、Bi、またはSbが挙げられる。アルキル基は、CnH2n+1であってもよく、nは、1、2、3、またはそれ以上である。例示的な有機金属剤には、SnMe4、SnEt4、TeRn、RTeR、水素化t-ブチルテルル(Te(t-Bu)(H))、ジメチルテルル(TeMe2)、ジ(t-ブチル)テルル(Te(t-Bu)2)、ジ(イソプロピル)テルル(Te(i-Pr)2)、ビス(トリメチルシリル)テルル(Te(SiMe3)2)、ビス(トリエチルシリル)テルル(Te(SiEt3)2)、トリス(ビス(トリメチルシリル)アミド)ビスマス(Bi[N(SiMe3)2]3)、Sb(NMe2)3などが挙げられる。
別の非限定的な金属含有前駆体は、以下の式(V):
MLn(V)
を有するキャッピング剤を含むことができ、
Mは、金属であり、各Lは、独立して、任意選択で置換されたアルキル、アミノ(例えば、-NR1R2、R1およびR2の各々は、本明細書に記載のいずれかのようなHまたはアルキルであり得る)、アルコキシ(例えば、-OR、Rは、本明細書に記載のいずれかのようなアルキルである)、ハロ、または他の有機置換基であり、Mの選択に応じて、nは、2~4である。Mについての例示的な金属には、Sn、Te、Bi、またはSbが挙げられる。例示的な配位子には、ジアルキルアミノ(例えば、ジメチルアミノ、メチルエチルアミノ、およびジエチルアミノ)、アルコキシ(例えば、t-ブトキシ、およびイソプロポキシ)、ハロ(例えば、F、Cl、Br、およびI)、または他の有機置換基(例えば、アセチルアセトンまたはN2,N3-ジ-tertブチル-ブタン-2,3-ジアミノ)が挙げられる。非限定的なキャッピング剤には、SnCl4、SnI4、Sn(NR2)4が挙げられ、Rの各々は、独立して、メチルまたはエチル、またはSn(t-BuO)4である。いくつかの実施形態では、複数のタイプの配位子が存在する。
MLn(V)
を有するキャッピング剤を含むことができ、
Mは、金属であり、各Lは、独立して、任意選択で置換されたアルキル、アミノ(例えば、-NR1R2、R1およびR2の各々は、本明細書に記載のいずれかのようなHまたはアルキルであり得る)、アルコキシ(例えば、-OR、Rは、本明細書に記載のいずれかのようなアルキルである)、ハロ、または他の有機置換基であり、Mの選択に応じて、nは、2~4である。Mについての例示的な金属には、Sn、Te、Bi、またはSbが挙げられる。例示的な配位子には、ジアルキルアミノ(例えば、ジメチルアミノ、メチルエチルアミノ、およびジエチルアミノ)、アルコキシ(例えば、t-ブトキシ、およびイソプロポキシ)、ハロ(例えば、F、Cl、Br、およびI)、または他の有機置換基(例えば、アセチルアセトンまたはN2,N3-ジ-tertブチル-ブタン-2,3-ジアミノ)が挙げられる。非限定的なキャッピング剤には、SnCl4、SnI4、Sn(NR2)4が挙げられ、Rの各々は、独立して、メチルまたはエチル、またはSn(t-BuO)4である。いくつかの実施形態では、複数のタイプの配位子が存在する。
金属含有前駆体は、以下の式(VI):
RnMXm(VI)
を有するヒドロカルビル置換キャッピング剤を含むことができ、
Mは、金属であり、Rは、ベータ水素を有するC2-10アルキルまたは置換アルキルであり、Xは、露出したヒドロキシル基のヒドロキシル基との反応による適切な脱離基である。様々な実施形態において、m>0(またはm≧1)である限り、n=1~3、およびm=4-n、3-n、または2-nである。例えば、Rは、t-ブチル、t-ペンチル、t-ヘキシル、シクロヘキシル、イソプロピル、イソブチル、sec-ブチル、n-ブチル、n-ペンチル、n-ヘキシル、またはベータ位置にヘテロ原子置換基を有するそれらの誘導体であり得る。適切なヘテロ原子には、ハロゲン(F、Cl、Br、またはI)、または酸素(-OHまたは-OR)が挙げられる。Xは、ジアルキルアミノ(例えば、ジメチルアミノ、メチルエチルアミノ、またはジエチルアミノ)、アルコキシ(例えば、t-ブトキシ、イソプロポキシ)、ハロ(例えば、F、Cl、Br、またはI)、または別の有機配位子であってもよい。ヒドロカルビル置換キャッピング剤の例には、t-ブチルトリス(ジメチルアミノ)スズ(Sn(t-Bu)(NMe2)3)、n-ブチルトリス(ジメチルアミノ)スズ(Sn(n-Bu)(NMe2)3)、t-ブチルトリス(ジエチルアミノ)スズ(Sn(t-Bu)(NEt2)3)、ジ(t-ブチル)ジ(ジメチルアミノ)スズ(Sn(t-Bu)2(NMe2)2)、sec-ブチルトリス(ジメチルアミノ)スズ(Sn(s-Bu)(NMe2)3)、n-ペンチルトリス(ジメチルアミノ)スズ(Sn(n-ペンチル)(NMe2)3)、i-ブチルトリス(ジメチルアミノ)スズ(Sn(i-Bu)(NMe2)3)、i-プロピルトリス(ジメチルアミノ)スズ(Sn(i-Pr)(NMe2)3)、t-ブチルトリス(t-ブトキシ)スズ(Sn(t-Bu)(t-BuO)3)、n-ブチル(トリス(t-ブトキシ)スズ(Sn(n-Bu)(t-BuO)3)、またはイソプロピルトリス(t-ブトキシ)スズ(Sn(i-Pr)(t-BuO)3)が挙げられる。
RnMXm(VI)
を有するヒドロカルビル置換キャッピング剤を含むことができ、
Mは、金属であり、Rは、ベータ水素を有するC2-10アルキルまたは置換アルキルであり、Xは、露出したヒドロキシル基のヒドロキシル基との反応による適切な脱離基である。様々な実施形態において、m>0(またはm≧1)である限り、n=1~3、およびm=4-n、3-n、または2-nである。例えば、Rは、t-ブチル、t-ペンチル、t-ヘキシル、シクロヘキシル、イソプロピル、イソブチル、sec-ブチル、n-ブチル、n-ペンチル、n-ヘキシル、またはベータ位置にヘテロ原子置換基を有するそれらの誘導体であり得る。適切なヘテロ原子には、ハロゲン(F、Cl、Br、またはI)、または酸素(-OHまたは-OR)が挙げられる。Xは、ジアルキルアミノ(例えば、ジメチルアミノ、メチルエチルアミノ、またはジエチルアミノ)、アルコキシ(例えば、t-ブトキシ、イソプロポキシ)、ハロ(例えば、F、Cl、Br、またはI)、または別の有機配位子であってもよい。ヒドロカルビル置換キャッピング剤の例には、t-ブチルトリス(ジメチルアミノ)スズ(Sn(t-Bu)(NMe2)3)、n-ブチルトリス(ジメチルアミノ)スズ(Sn(n-Bu)(NMe2)3)、t-ブチルトリス(ジエチルアミノ)スズ(Sn(t-Bu)(NEt2)3)、ジ(t-ブチル)ジ(ジメチルアミノ)スズ(Sn(t-Bu)2(NMe2)2)、sec-ブチルトリス(ジメチルアミノ)スズ(Sn(s-Bu)(NMe2)3)、n-ペンチルトリス(ジメチルアミノ)スズ(Sn(n-ペンチル)(NMe2)3)、i-ブチルトリス(ジメチルアミノ)スズ(Sn(i-Bu)(NMe2)3)、i-プロピルトリス(ジメチルアミノ)スズ(Sn(i-Pr)(NMe2)3)、t-ブチルトリス(t-ブトキシ)スズ(Sn(t-Bu)(t-BuO)3)、n-ブチル(トリス(t-ブトキシ)スズ(Sn(n-Bu)(t-BuO)3)、またはイソプロピルトリス(t-ブトキシ)スズ(Sn(i-Pr)(t-BuO)3)が挙げられる。
様々な実施形態において、金属含有前駆体は、気相反応に耐えることができる各金属原子上に少なくとも1つのアルキル基を含み、金属原子に配位した他の配位子またはイオンは、逆反応剤によって置換することができる。したがって、別の非限定的な金属含有前駆体は、式(VII):
MaRbLc(VII)
を有する有機金属剤を含み、
Mは、金属であり、Rは、任意選択で置換されたアルキルであり、Lは、逆反応剤と反応する配位子、イオン、または他の部分であり、a≧1、b≧1、およびc≧1である。特定の実施形態では、a=1、およびb+c=4である。いくつかの実施形態では、Mは、Sn、Te、Bi、またはSbである。特定の実施形態では、各Lは、独立して、アミノ(例えば、-NR1R2、R1およびR2の各々は、本明細書に記載のいずれかのようなHまたはアルキルであり得る)、アルコキシ(例えば、-OR、Rは、本明細書に記載のいずれかのようなアルキルである)、またはハロ(例えば、F、Cl、Br、またはI)である。例示的な薬剤には、SnMe3Cl、SnMe2Cl2、SnMeCl3、SnMe(NMe2)3、SnMe2(NMe2)2などが挙げられる。
MaRbLc(VII)
を有する有機金属剤を含み、
Mは、金属であり、Rは、任意選択で置換されたアルキルであり、Lは、逆反応剤と反応する配位子、イオン、または他の部分であり、a≧1、b≧1、およびc≧1である。特定の実施形態では、a=1、およびb+c=4である。いくつかの実施形態では、Mは、Sn、Te、Bi、またはSbである。特定の実施形態では、各Lは、独立して、アミノ(例えば、-NR1R2、R1およびR2の各々は、本明細書に記載のいずれかのようなHまたはアルキルであり得る)、アルコキシ(例えば、-OR、Rは、本明細書に記載のいずれかのようなアルキルである)、またはハロ(例えば、F、Cl、Br、またはI)である。例示的な薬剤には、SnMe3Cl、SnMe2Cl2、SnMeCl3、SnMe(NMe2)3、SnMe2(NMe2)2などが挙げられる。
他の実施形態では、非限定的な金属含有前駆体は、式(VIII):
MaLc(VIII)
を有する有機金属剤を含み、
Mは、金属であり、Lは、逆反応剤と反応する配位子、イオン、または他の部分であり、a≧1、およびc≧1である。特定の実施形態では、c=n-1であり、nは、2、3、または4である。いくつかの実施形態では、Mは、Sn、Te、Bi、またはSbである。逆反応剤は、好ましくは、化学結合を介して少なくとも2つの金属原子を連結するように、反応性部分、配位子、またはイオン(例えば、本明細書の式中のL)を置換する能力を有する。
MaLc(VIII)
を有する有機金属剤を含み、
Mは、金属であり、Lは、逆反応剤と反応する配位子、イオン、または他の部分であり、a≧1、およびc≧1である。特定の実施形態では、c=n-1であり、nは、2、3、または4である。いくつかの実施形態では、Mは、Sn、Te、Bi、またはSbである。逆反応剤は、好ましくは、化学結合を介して少なくとも2つの金属原子を連結するように、反応性部分、配位子、またはイオン(例えば、本明細書の式中のL)を置換する能力を有する。
本明細書のいずれかの実施形態では、Rは、任意選択で置換されたアルキル(例えば、C1-10アルキル)であり得る。一実施形態では、アルキルは、1つまたは複数のハロで置換される(例えば、F、Cl、Br、またはIなどの1つ、2つ、3つ、4つ、またはそれ以上のハロを含む、ハロ置換C1-10アルキル)。例示的なR置換基には、好ましくはn≧3であるCnH2n+1、および2n+1≦x≦1であるCnFxH(2n+1-x)が挙げられる。様々な実施形態において、Rは、少なくとも1つのベータ水素またはベータフッ素を有する。例えば、Rは、i-プロピル、n-プロピル、t-ブチル、i-ブチル、n-ブチル、sec-ブチル、n-ペンチル、i-ペンチル、t-ペンチル、sec-ペンチル、およびそれらの混合物からなる群から選択されてもよい。
本明細書のいずれかの実施形態では、Lは、M-OH部分、例えばアミノ(例えば、-NR1R2、R1およびR2の各々は、本明細書に記載のいずれかのようなHまたはアルキルであり得る)、アルコキシ(例えば、-OR、Rは、本明細書に記載のいずれかのようなアルキル)、カルボキシレート、ハロ(例えば、F、Cl、Br、またはI)、およびそれらの混合物からなる群から選択される部分を生成するために逆反応剤によって容易に置換される任意の部分であってもよい。
特定の実施形態では、金属前駆体は、スズを含む。いくつかの実施形態では、スズ前駆体は、SnRまたはSnR2またはSnR4またはR3SnSnR3を含み、各Rは、独立して、H、ハロ、任意選択で置換されたC1-12アルキル、任意選択で置換されたC1-12アルコキシ、任意選択で置換されたアミノ(例えば、-NR1R2)、任意選択で置換されたC2-12アルケニル、任意選択で置換されたC2-12アルキニル、任意選択で置換されたC3-8シクロアルキル、任意選択で置換されたアリール、シクロペンタジエニル、任意選択で置換されたビス(トリアルキルシリル)アミノ(例えば、-N(SiR1R2R3)2)、任意選択で置換されたアルカノイルオキシ(例えば、アセテート)、ジケトナート(例えば、-OC(R1)-Ak-(R2)CO-)、または二座キレート二窒素(例えば、-N(R1)-Ak-N(R1)-)である。特定の実施形態では、各R1、R2、およびR3は、独立して、HまたはC1-12アルキル(例えば、メチル、エチル、イソプロピル、t-ブチル、またはネオペンチル)であり、Akは、任意選択で置換されたC1-6アルキレンである。非限定的なスズ前駆体には、SnF2、SnH4、SnBr4、SnCl4、SnI4、テトラメチルスズ(SnMe4)、テトラエチルスズ(SnEt4)、塩化トリメチルスズ(SnMe3Cl)、二塩化ジメチルスズ(SnMe2Cl2)、三塩化メチルスズ(SnMeCl3)、テトラアリルスズ、テトラビニルスズ、ヘキサフェニルジスズ(IV)(Ph3Sn-SnPh3、Phは、フェニルである)、ジブチルジフェニルスズ(SnBu2Ph2)、トリメチル(フェニル)スズ(SnMe3Ph)、トリメチル(フェニルエチニル)スズ、水素化トリシクロヘキシルスズ、水素化トリブチルスズ(SnBu3H)、ジアセテートジブチルスズ(SnBu2(CH3COO)2)、スズ(II)アセチルアセトネート(Sn(acac)2)、SnBu3(OEt)、SnBu2(OMe)2、SnBu3(OMe)、Sn(t-BuO)4、Sn(n-Bu)(t-BuO)3、テトラキス(ジメチルアミノ)スズ(Sn(NMe2)4)、テトラキス(エチルメチルアミノ)スズ(Sn(NMeEt)4)、テトラキス(ジエチルアミノ)スズ(IV)(Sn(NEt2)4)、(ジメチルアミノ)トリメチルスズ(IV)(Sn(Me)3(NMe2)、Sn(i-Pr)(NMe2)3、Sn(n-Bu)(NMe2)3、Sn(s-Bu)(NMe2)3、Sn(i-Bu)(NMe2)3、Sn(t-Bu)(NMe2)3、Sn(t-Bu)2(NMe2)2、Sn(t-Bu)(NEt2)3、Sn(tbba)、Sn(II)(1,3-ビス(1,1-ジメチルエチル)-4,5-ジメチル-(4R,5R)-1,3,2-ジアザスタノリジン-2-イリデン)、またはビス[ビス(トリメチルシリル)アミノ]スズ(Sn[N(SiMe3)2]2)が挙げられる。
例示的な有機金属剤には、SnMeCl3、(N2,N3-ジ-t-ブチル-ブタン-2,3-ジアミド)スズ(II)(Sn(tbba))、ビス(ビス(トリメチルシリル)アミド)スズ(II)、テトラキス(ジメチルアミノ)スズ(IV)(Sn(NMe2)4)、t-ブチルトリス(ジメチルアミノ)スズ(Sn(t-ブチル)(NMe2)3)、i-ブチルトリス(ジメチルアミノ)スズ(Sn(i-Bu)(NMe2)3)、n-ブチルトリス(ジメチルアミノ)スズ(Sn(n-Bu)(NMe2)3)、sec-ブチルトリス(ジメチルアミノ)スズ(Sn(s-Bu)(NMe2)3)、i-プロピル(トリス)ジメチルアミノスズ(Sn(i-Pr)(NMe2)3)、n-プロピルトリス(ジエチルアミノ)スズ(Sn(n-Pr)(NEt2)3)、および類似のアルキル(トリス)(t-ブトキシ)スズ化合物、例えばt-ブチルトリス(t-ブトキシ)スズ(Sn(t-Bu)(t-BuO)3)が挙げられる。いくつかの実施形態では、有機金属剤は、部分的にフッ素化される。
リソグラフィプロセス
EUVリソグラフィは、液体ベースのスピンオン技法によってもたらされるポリマーベースの化学増幅レジスト、または乾式気相堆積技法によってもたらされる金属酸化物ベースのレジストであり得るEUVレジストを利用する。そのようなEUVレジストは、本明細書に記載の任意のEUV感受性膜または材料を含むことができる。リソグラフィ法は、例えば、EUV放射線でEUVレジストを露光することによってレジストをパターニングしてフォトパターンを形成すること、続いて、フォトパターンに従ってレジストの一部を除去することによってパターンを現像してマスクを形成することを含むことができる。
EUVリソグラフィは、液体ベースのスピンオン技法によってもたらされるポリマーベースの化学増幅レジスト、または乾式気相堆積技法によってもたらされる金属酸化物ベースのレジストであり得るEUVレジストを利用する。そのようなEUVレジストは、本明細書に記載の任意のEUV感受性膜または材料を含むことができる。リソグラフィ法は、例えば、EUV放射線でEUVレジストを露光することによってレジストをパターニングしてフォトパターンを形成すること、続いて、フォトパターンに従ってレジストの一部を除去することによってパターンを現像してマスクを形成することを含むことができる。
本開示は、EUVリソグラフィによって例示されるリソグラフィパターニング技法および材料に関するが、他の次世代リソグラフィ技法にも適用可能であることも理解されたい。現在使用および開発中の標準的な13.5nm EUV波長を含むEUVに加えて、そのようなリソグラフィに最も関連する放射線源は、一般に248nmまたは193nmのエキシマレーザ源の使用を指すDUV(深UV)、X線範囲のより低いエネルギー範囲でEUVを正式に含むX線、ならびに広いエネルギー範囲をカバーすることができるeビームである。そのような方法には、基板(例えば、任意選択で露出したヒドロキシル基を有する)を金属含有前駆体(例えば、本明細書に記載のいずれか)と接触させ、基板の表面上におけるイメージング/フォトレジスト(PR)層として金属酸化物(例えば、他の非金属基および非酸素基を含み得る、金属酸化物結合のネットワークを含む層)膜を形成する方法が挙げられる。具体的な方法は、半導体基板および最終的な半導体デバイスで使用される特定の材料および用途に依存し得る。したがって、本出願に記載の方法は、現在の技術で使用することができる方法および材料の単なる例示である。
直接光パターニング可能なEUVレジストは、有機成分内に混合された金属および/または金属酸化物で構成され得るか、またはそれらを含み得る。金属/金属酸化物は、EUV光子吸着を増強し、二次電子を生成し、かつ/または下にある膜スタックおよびデバイス層に対するエッチング選択性の増加を示すことができるという点で非常に有望である。現在まで、これらのレジストは湿式(溶剤)アプローチを使用して現像されてきたが、これはウエハがトラックに移動し、そこで現像溶剤に曝露され、乾燥され、ベークされることを必要とする。湿式現像は、生産性を制限するだけでなく、微細フィーチャ間の溶媒の蒸発中の表面張力の影響によりラインの崩壊につながる可能性もある。
乾式現像技法が、基板の層間剥離および界面障害を排除することによってこれらの問題を克服するために提案されている。乾式現像は、非露光レジスト材料とEUV露光レジスト材料との間のエッチング選択性を含め、湿式現像と比較した場合に効果的なレジスト露光のためのより高い線量対サイズの要件につながり得るそれ自体の課題を有する。最適でない選択性はまた、エッチングガス下でのより長い露出のためにPRコーナーの丸みを引き起こす可能性があり、これは、次の転写エッチングステップにおいてラインCD変動を増加させる可能性がある。リソグラフィ中に用いられる追加のプロセスについて、以下で詳細に説明する。
乾式堆積を含む、堆積プロセス
上述のように、本開示は、半導体基板上にイメージング層を作製するための方法を提供し、これは、EUVまたは他の次世代リソグラフィ技法を使用してパターニングすることができる。方法には、重合有機金属材料が蒸気中で発生され、基板上に堆積される方法が挙げられる。いくつかの実施形態では、乾式堆積は、任意の有用な金属含有前駆体(例えば、本明細書に記載の金属ハロゲン化物、キャッピング剤、または有機金属剤)を用いることができる。他の実施形態では、スピンオン配合物を使用することができる。堆積プロセスは、レジスト膜として、および/またはレジスト膜上のキャッピング層としてEUV感受性材料を適用することを含むことができる。例示的なEUV感受性材料が、本明細書で説明される。
上述のように、本開示は、半導体基板上にイメージング層を作製するための方法を提供し、これは、EUVまたは他の次世代リソグラフィ技法を使用してパターニングすることができる。方法には、重合有機金属材料が蒸気中で発生され、基板上に堆積される方法が挙げられる。いくつかの実施形態では、乾式堆積は、任意の有用な金属含有前駆体(例えば、本明細書に記載の金属ハロゲン化物、キャッピング剤、または有機金属剤)を用いることができる。他の実施形態では、スピンオン配合物を使用することができる。堆積プロセスは、レジスト膜として、および/またはレジスト膜上のキャッピング層としてEUV感受性材料を適用することを含むことができる。例示的なEUV感受性材料が、本明細書で説明される。
本技術は、EUV感受性膜を基板上に堆積させる方法を含み、そのような膜は、その後のEUVリソグラフィおよび処理のためのレジストとして動作可能である。さらに、二次EUV感受性膜は、下にある一次EUV感受性膜の上に堆積させることができる。一例では、二次膜はキャッピング層を構成し、一次膜はイメージング層を構成する。
そのようなEUV感受性膜は、EUVへの露光時、低密度M-OHリッチ材料中の金属原子に結合した嵩高いペンダント配位子の損失などの変化を受け、より高密度のM-O-M結合金属酸化物材料への架橋を可能にする材料を含む。他の実施形態では、EUV露光は、金属原子に結合した配位子間のさらなる架橋をもたらし、それによってより高密度のM-L-M結合有機金属材料を提供し、Lは、配位子である。さらに他の実施形態では、EUV露光は配位子の損失をもたらし、ポジ型現像液によって除去することができるM-OH材料を提供する。
EUVパターニングにより、非露光領域と比較して物理的または化学的性質が変化した膜の領域が形成される。これらの性質は、非露光領域もしくは露光領域のいずれかを溶解するか、または露光領域もしくは非露光領域のいずれかに材料を選択的に堆積するなど、その後の処理で利用することができる。いくつかの実施形態では、非露光膜は、疎水性表面を有し、露光膜は、そのようなその後の処理が実施される条件下で親水性表面を有する(露光領域および非露光領域の親水性性質は相互に関連することが認識されている)。例えば、材料の除去は、膜の化学組成、密度、および架橋における違いを活用することによって実施することができる。除去は、本明細書でさらに説明するように、湿式処理または乾式処理によるものであり得る。
基板の表面上に形成されたEUVパターニング可能な膜の厚さは、表面特性、使用される材料、および処理条件に従って変化し得る。様々な実施形態において、膜厚は、約0.5~約100nmの範囲であり得る。好ましくは、膜は、EUVパターニングの条件下でEUV光の大部分を吸収するのに十分な厚さを有する。例えば、レジスト膜の全体的な吸収は、レジスト膜の底部におけるレジスト材料が十分に露光されるように、30%以下(例えば、10%以下、または5%以下)であり得る。いくつかの実施形態では、膜厚は、10~20nmである。本開示の機構、機能、または有用性を限定することなく、当技術分野の湿式スピンコーティングプロセスとは異なり、本開示のプロセスは、基板の表面接着性質に対する制限が少なく、したがって多種多様な基板に適用することができると考えられている。さらに、上述のように、堆積膜は、表面フィーチャに密接に適合し、そのようなフィーチャを「埋める」あるいは平坦化することなく、下にあるフィーチャを有する基板などの基板の上にマスクを形成する際に利点を提供することができる。
膜(例えば、イメージング層)またはキャッピング層は、任意の有用な方式で堆積された金属酸化物層で構成され得る。そのような金属酸化物層は、ドーパント前駆体と組み合わせた金属含有前駆体(例えば、金属ハロゲン化物、キャッピング剤、または有機金属剤)など、本明細書に記載の任意のEUV感受性材料を使用することによって堆積または適用することができる。例示的なプロセスでは、金属酸化物層を設けるために、重合有機金属材料が気相で、または基板の表面上にin situで形成される。金属酸化物層は、膜、接着層、またはキャッピング層として用いることができる。
任意選択で、金属酸化物層は、ヒドロキシル末端金属酸化物層を含むことができ、これは、酸素含有逆反応剤と共にキャッピング剤(例えば、本明細書に記載のいずれか)を用いることによって堆積させることができる。そのようなヒドロキシル末端金属酸化物層は、例えば、基板と膜との間、および/またはフォトレジスト層とキャッピング層との間など、他の2つの層間の接着層として用いることが可能である。
例示的な堆積技法(例えば、膜またはキャッピング層のための)には、本明細書に記載のいずれか、例えばALD(例えば、熱ALDおよびプラズマ強化ALD)、スピンコート堆積、PVD共スパッタリングを含むPVD、CVD(例えば、PE-CVDまたはLP-CVD)、スパッタ堆積、eビーム共蒸着を含むeビーム堆積など、またはそれらの組み合わせ、例えばCVD成分を用いたALD、例えば金属含有前駆体、ドーパント前駆体、および逆反応剤が時間または空間のいずれかで分離される不連続なALD様プロセスが挙げられる。
本開示に適用可能なEUVフォトレジスト膜としての前駆体およびそれらの堆積のための方法のさらなる説明は、2019年5月9日に出願された国際公開番号WO2019/217749号として公開された、METHODS FOR MAKING EUV PATTERNABLE HARD MASKSと題する国際出願第PCT/US19/31618号に見出すことができる。薄膜は、有機金属前駆体、ドーパント前駆体、および逆反応剤に加えて任意選択の材料を含み、EUVに対する膜の感度を修正する、またはエッチング耐性を増強するなど、膜の化学的または物理的性質を修正することができる。そのような任意選択の材料は、基板上への堆積前、膜の堆積後、またはその両方の気相形成中のドーピングなどによって導入されてもよい。いくつかの実施形態では、穏やかなリモートH2プラズマを導入することで、例えば、いくつかのSn-L結合をSn-Hで置換することができ、これによりEUV下でのレジストの反応性を増加させることができる。
一般に、方法は、金属含有前駆体(例えば、有機金属剤などの金属含有前駆体)の蒸気流をドーパント前駆体の蒸気流および逆反応剤の任意選択の蒸気流と混合して重合有機金属材料を形成することと、有機金属材料を半導体基板の表面上に堆積することとを含むことができる。いくつかの実施形態では、金属含有前駆体をドーパント前駆体および任意選択の逆反応剤と混合することで、重合有機金属材料を形成することができる。当業者には理解されるように、プロセスの混合および堆積の態様は、実質的に連続的なプロセスにおいて同時であってもよい。
例示的な連続CVDプロセスでは、別々の入口経路において、有機金属前駆体、ドーパント前駆体、および任意選択の逆反応剤の源の2つ以上のガス流がCVD装置の堆積チャンバに導入され、そこでそれらが混合して気相で反応し、基板上に(例えば、金属-酸素-金属結合形成を介して)凝集ポリマー材料または膜を形成する。ガス流は、例えば、別々の注入入口またはデュアルプレナムシャワーヘッドを使用して導入することができる。装置は、有機金属前駆体、ドーパント前駆体、および任意選択の逆反応剤の流れがチャンバ内で混合されるように構成され、それにより有機金属前駆体、ドーパント前駆体、および任意選択の逆反応剤を反応させ、重合有機金属材料または膜(例えば、金属-酸素-金属結合形成などを介した金属酸化物コーティングまたは凝集ポリマー材料)を形成することを可能にする。
金属酸化物を堆積するために、CVDプロセスは、一般に、0.1~10Torrなどの減圧で行われる。いくつかの実施形態では、プロセスは、1~2Torrの圧力で行われる。基板の温度は、好ましくは、反応剤の流れの温度よりも低い。例えば、基板温度は、0~250℃、または周囲温度(例えば、23℃)~150℃であり得る。
凝集ポリマー材料を堆積するために、CVDプロセスは、一般に、10mTorr~10Torrなどの減圧で行われる。いくつかの実施形態では、プロセスは、0.5~2Torrで行われる。基板の温度は、好ましくは、反応剤の流れの温度以下である。例えば、基板温度は、0~250℃、または周囲温度(例えば、23℃)~150℃であり得る。様々なプロセスにおいて、基板上への重合有機金属材料の堆積は、表面温度に反比例する速度で発生する。本技術の機構、機能、または有用性を限定することなく、このような気相反応からの生成物は、金属原子がドーパント前駆体および/または逆反応剤によって架橋されるにつれて分子量が重くなり、次いで凝縮されるか、場合によっては基板上に堆積されると考えられている。
乾式堆積法を使用する潜在的な利点は、膜が成長するにつれて膜の組成物を容易に調節することができることである。CVDプロセスでは、これは、堆積中の有機金属前駆体およびドーパント前駆体の相対的な流れを変更することによって達成することができる。堆積は、30~200℃で、0.01~100Torr、より一般的には約0.1~10Torrの圧力で行われ得る。
膜(例えば、金属-酸素-金属結合形成などを介した金属酸化物コーティングまたは凝集ポリマー材料)はまた、ALDプロセスによって堆積させることができる。例えば、有機金属前駆体、ドーパント前駆体、および任意選択の逆反応剤は、ALDサイクルを表す別々の時間に導入される。有機金属前駆体およびドーパント前駆体は表面上で反応し、各サイクルで一度に材料の単層まで形成する。これにより、表面全体にわたる膜厚の均一性に対する優れた制御が可能になり得る。ALDプロセスは、一般に、0.1~10Torrなどの減圧で行われる。いくつかの実施形態では、プロセスは、1~2Torrで行われる。基板温度は、0~250℃、または周囲温度(例えば、23℃)~150℃であり得る。プロセスは、熱プロセス、または好ましくは、プラズマ支援堆積であってもよい。
本明細書の堆積法のいずれかを修正し、2つ以上の異なる有機金属前駆体の使用を可能にすることができる。一実施形態では、前駆体は、同じ金属であるが異なる配位子を含むことができる。別の実施形態では、前駆体は、異なる金属基を含むことができる。1つの非限定的な例では、様々な揮発性金属含有前駆体の交互の流れにより、第1の金属(例えば、Sn)を有する金属アルコキシド前駆体と、異なる第2の金属(例えば、Te)を有するシリルベースの前駆体との使用など、混合金属層を設けることができる。
また、本明細書の堆積法のいずれかを修正し、2つ以上の異なるドーパント前駆体の使用を可能にすることができる。一実施形態では、ドーパント前駆体は、異なる結合配位子を金属中心に供給することができる。1つの非限定的な例では、様々なドーパント前駆体の交互の流れにより、勾配膜などにおいて異なるドーパント含有量を有する層を設けることができる。
さらに、本明細書の堆積法のいずれかを修正し、膜またはキャッピング層内に1つまたは複数の層を設けることができる。一例では、異なる有機金属前駆体および/またはドーパント前駆体を各層で用いることができる。別の例では、同じ前駆体を各層に対して用いることができるが、最上層は、異なる化学組成(例えば、ドーパント前駆体を調節または変更することによって提供されるような、異なる密度の金属-配位子結合、異なる金属対炭素比、または異なる結合配位子)を有することができる。
本明細書のプロセスは、表面修飾を達成するために使用することができる。いくつかの反復では、有機金属前駆体の蒸気をウエハの上に通過させることができる。ウエハは、反応が進行するための熱エネルギーを提供するために加熱され得る。いくつかの反復では、加熱は、約50~250℃であり得る。場合によっては、ドーパント前駆体のパルスを使用して、ポンプおよび/またはパージステップによって分離させることができる。例えば、ドーパント前駆体を前駆体のパルス間でパルスすることで、ALDまたはALD様の成長をもたらすことができる。他の場合では、有機金属前駆体とドーパント前駆体の両方を同時に流してもよい。表面修飾に有用な元素の例には、I、F、Sn、Bi、Sb、Te、およびこれらの化合物の酸化物または合金が挙げられる。
本明細書のプロセスは、ALDまたはCVDによって薄い金属酸化物または金属を堆積するために使用することができる。例には、SnOx、BiOx、およびTeが挙げられる。堆積に続いて、膜は、本明細書の他の場所で説明されるように、MaRbLcの形態のアルキル置換前駆体でキャップされ得る。逆反応剤を使用して配位子をより良好に除去することができ、複数のサイクルを繰り返して基板表面の完全な飽和を確実にすることができる。次に、表面は、EUV感受性膜を堆積させるための準備ができている。1つの可能な方法は、SnOxの薄膜を発生させることである。可能な化学的性質には、テトラキス(ジメチルアミノ)スズおよび水またはO2プラズマなどの逆反応剤を循環させることによるSnO2の成長が挙げられる。成長後、キャッピング剤を使用することができる。例えば、イソプロピルトリス(ジメチルアミノ)スズの蒸気を表面の上に流してもよい。
堆積プロセスは、任意の有用な表面上で使用することができる。本明細書で言及される場合、「表面」は、本技術の膜が堆積される表面、または処理中にEUVに露光される表面である。そのような表面は、基板上(例えば、その上に膜が堆積される)、膜上(例えば、その上にキャッピング層が堆積される)、またはキャッピング層上に存在することができる。
リソグラフィ処理、特に集積回路および他の半導体デバイスの製造に適した任意の材料構造を含む、任意の有用な基板を用いることができる。いくつかの実施形態では、基板は、ケイ素ウエハである。基板は、不規則な表面トポグラフィを有するフィーチャ(「下にあるトポグラフィ的フィーチャ」)がその上に形成されたケイ素ウエハであってもよい。
そのような下にあるトポグラフィ的フィーチャは、この技術の方法を行う前の処理中、材料が除去された領域(例えば、エッチングによって)または材料が追加された領域(例えば、堆積によって)を含み得る。そのような事前処理は、この技術の方法または反復プロセスにおける他の処理方法を含むことができ、それによってフィーチャの2つ以上の層が基板上に形成される。本技術の機構、機能、または有用性を限定することなく、いくつかの実施形態では、本技術の方法は、スピンキャスティング法を使用してフォトリソグラフィ膜を基板の表面上に堆積させる当技術分野で知られている方法の中でも利点を提供すると考えられている。そのような利点は、そのようなフィーチャを「埋める」あるいは平坦化することなく下にあるフィーチャに本技術の膜が適合すること、および多種多様な材料表面上に膜を堆積する能力に由来し得る。
いくつかの実施形態では、所望の材料の基板表面を有する次のウエハを準備することができ、最上部の材料は、レジストパターンが転写される層である。材料の選択は統合に応じて変化し得るが、一般に、EUVレジストまたはイメージング層に対して高い選択性で(すなわち、それらよりもはるかに速く)エッチングすることができる材料を選択することが望ましい。適切な基板材料は、様々な炭素ベースの膜(例えば、アッシング可能なハードマスク(AHM))、ケイ素ベースの膜(例えば、ケイ素、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、または酸炭窒化ケイ素、ならびにSiOx、SiOxNy、SiOxCyNz、a-Si:H、ポリSi、またはSiNを含む、それらのドープされた形態)、またはパターニングプロセスを容易にするために適用される任意の他の膜(一般的には犠牲膜)を含むことができる。
いくつかの実施形態では、基板は、下にある半導体材料のリソグラフィエッチングで使用されるハードマスクである。ハードマスクは、アモルファスカーボン(a-C)、SnOx、SiO2、SiOxNy、SiOxC、Si3N4、TiO2、TiN、W、WドープC、WOx、HfO2、ZrO2、およびAl2O3を含む、様々な材料のいずれかを含むことができる。例えば、基板は、好ましくは、SnO2などのSnOxを含むことができる。様々な実施形態において、層は、1~100nmの厚さ、または2~10nmの厚さであり得る。
いくつかの非限定的な実施形態では、基板は、下層を含む。下層はハードマスクまたは他の層の上に堆積させることができ、一般に、本明細書で説明されるイメージング層(または膜)の下にある。下層は、PRの感度を改善し、EUV吸収率を増加させ、かつ/またはPRのパターニング性能を増加させるために使用され得る。有意なトポグラフィを形成する、パターニングされる基板上に存在するデバイスフィーチャがある場合、下層の別の重要な機能は、既存のトポグラフィをオーバーコートして平坦化することができることであり、それによりその後のパターニングステップは、パターンのすべての領域に焦点を合わせて平坦な表面上で実施することが可能である。そのような用途では、下層(または複数の下層の少なくとも1つ)は、スピンコーティング技法を使用して適用することができる。用いられるPR材料がかなりの無機成分を有する場合、例えば、主に金属酸化物の骨格を示す場合、下層は、有利には、スピンコーティングまたは乾式真空ベースの堆積プロセスのいずれかによって適用される炭素ベースの膜であり得る。層は、炭素ベースおよび水素ベースの組成物を有する様々なアッシング可能なハードマスク(AHM)膜を含むことができ、タングステン、ホウ素、窒素、またはフッ素などの追加の元素でドープすることができる。
いくつかの実施形態では、表面活性化動作を使用して、将来の動作のために(例えば、基板および/または膜の)表面を活性化することができる。例えば、SiOx表面の場合、水または酸素/水素プラズマを使用して、表面上にヒドロキシル基を形成することができる。炭素ベースまたは炭化水素ベースの表面の場合、様々な処理(例えば、水、水素/酸素、CO2プラズマ、またはオゾン処理)を使用して、カルボン酸/またはヒドロキシル基を形成することができる。そのようなアプローチは、場合によってはハンドリング中または現像中の溶媒内で剥離またはリフトオフする可能性がある、基板へのレジストフィーチャの接着を改善するのに重要であることが判明している。
接着はまた、相互作用に利用可能な表面積を増加させ、かつ機械的接着を直接改善するために表面に粗さを誘導することによっても強化することができる。例えば、最初にArまたは他の非反応性イオン衝撃を使用するスパッタリングプロセスを使用して、粗い表面をもたらすことが可能である。次に、表面は、上述のような所望の表面官能基(例えば、ヒドロキシルおよび/またはカルボン酸基)で終端することができる。炭素上では、CO2、O2、またはH2O(またはH2とO2の混合物)などの化学的に反応性の酸素含有プラズマを使用して局所的な不均一性を有する膜の薄層をエッチング除去し、同時に-OH、-OOH、または-COOH基で終端させることができる組み合わせアプローチを用いることができる。このアプローチは、バイアスがあってもなくても行うことが可能である。上述の表面修飾戦略と併せて、このアプローチは、無機金属酸化物ベースのレジストへの直接接着のため、またはさらなる官能化のための中間表面修飾として、基板表面の粗面化および化学的活性化の二重の目的を果たすことができる。
様々な実施形態において、表面(例えば、基材および/または膜の)は、その表面上に露出したヒドロキシル基を含む。一般に、表面は、露出したヒドロキシル表面を含む、またはもたらすように処理された任意の表面であり得る。そのようなヒドロキシル基は、酸素プラズマ、水プラズマ、またはオゾンを使用した基板の表面処理によって表面上に形成され得る。他の実施形態では、膜の表面を処理して露出したヒドロキシル基を提供し、その上にキャッピング層を適用することができる。様々な実施形態において、ヒドロキシル末端金属酸化物層は、0.1~20nm、または0.2~10nm、または0.5~5nmの厚さを有する。
EUV露光プロセス
膜のEUV露光は、EUV媒介性開裂事象によってもたらされる、金属原子(M)を含む活性化された反応中心を有するEUV露光領域を提供することができる。そのような反応中心は、ダングリング金属結合、M-H基、切断されたM-配位子基、二量体化M-M結合、またはM-O-Mブリッジを含み得る。他の実施形態では、EUV露光は、膜内の配位子を光重合させることによって架橋有機部分を提供し、またはEUV露光は、配位子内の結合の光分解から生じるガス状副生成物を放出する。
膜のEUV露光は、EUV媒介性開裂事象によってもたらされる、金属原子(M)を含む活性化された反応中心を有するEUV露光領域を提供することができる。そのような反応中心は、ダングリング金属結合、M-H基、切断されたM-配位子基、二量体化M-M結合、またはM-O-Mブリッジを含み得る。他の実施形態では、EUV露光は、膜内の配位子を光重合させることによって架橋有機部分を提供し、またはEUV露光は、配位子内の結合の光分解から生じるガス状副生成物を放出する。
EUV露光は、真空雰囲気中で約10~20nmの範囲の波長、例えば10~15nm、例えば13.5nmの波長を有することができる。特に、パターニングは、EUV露光領域およびEUV非露光領域を提供し、パターンを形成することができる。
本技術は、EUV、ならびにDUVまたはeビームを使用するパターニングを含むことができる。そのようなパターニングでは、放射線は、イメージング層の1つまたは複数の領域に集束される。露光は、典型的には、イメージング層膜が放射線に露光されない1つまたは複数の領域を含むように実施される。結果として得られるイメージング層は、複数の露光領域および非露光領域を含むことができ、その後の基板の処理において基板に材料を追加するかまたは基板から材料を除去することによって形成される、半導体デバイスのトランジスタまたは他のフィーチャの形成と一致するパターンを形成する。本明細書で有用であるEUV、DUV、ならびにeビーム放射方法および機器は、当技術分野で知られている方法および機器を含む。
いくつかのEUVリソグラフィ技法では、有機ハードマスク(例えば、PECVD非晶質水素化炭素のアッシング可能なハードマスク)が、従来のフォトレジストプロセスを使用してパターニングされる。フォトレジスト露光中、EUV放射線はレジストおよびその下の基板に吸収され、高エネルギーの光電子(例えば、約100eV)を発生させ、次に数ナノメートルだけ横方向に拡散する低エネルギーの二次電子(例えば、約10eV)のカスケードを発生させる。これらの電子は、レジスト内の化学反応の程度を増加させ、そしてそのEUV線量感度を増加させる。しかし、本質的にランダムな二次電子パターンが光学像に重畳される。この望ましくない二次電子露光は、解像度の損失、観察可能なラインエッジ粗さ(LER)、およびパターニングされたレジストにおける線幅変動をもたらす。これらの欠陥は、その後のパターン転写エッチング中にパターニングされる材料で複製される。
膜形成(堆積/凝縮)と光リソグラフィを組み合わせて、結果としてEUVリソグラフィ(EUVL)性能を大幅に改善する、例えばラインエッジ粗さを低減する、真空統合金属ハードマスクプロセスおよび関連する真空統合ハードウェアが本明細書に開示される。
本明細書に記載の様々な実施形態において、堆積(例えば、凝縮)プロセス(例えば、Lam Vector(登録商標)などのPECVDツールで実行されるALDまたはMOCVD)を使用して、EUVにおいて(例えば、10~20nm程度の波長で)、例えばEUVL光源の波長(例えば、13.5nm=91.8eV)で強い吸収を有する、感光性金属塩または金属含有有機化合物(有機金属化合物)などの金属含有膜の薄膜を形成することができる。この膜は、EUV露光時に光分解し、(例えば、Lam 2300(登録商標)Kiyo(登録商標)などの導体エッチングツールにおける)その後のエッチング中でパターン転写層である金属マスクを形成する。
堆積に続いて、EUVパターニング可能な薄膜は、典型的には比較的高真空下で、EUV光のビームへの露光によってパターニングされる。EUV露光の場合、金属含有膜は、露光前に反応しないように、リソグラフィプラットフォーム(例えば、オランダ国ベルドホーフェンのASMLによって供給されるTWINSCAN NXE:3300B(登録商標)プラットフォームなどのウエハステッパ)と統合されたチャンバ内に堆積され、真空下で移送され得る。リソグラフィツールとの統合は、H2O、O2などの周囲ガスによる入射光子の強い光吸収を考慮して、EUVLも大幅に低減された圧力を必要とするという事実によって促進される。他の実施形態では、感光性金属膜堆積およびEUV露光は、同じチャンバ内で行うことができる。
乾式現像を含む、現像プロセス
EUV露光領域または非露光領域、ならびにキャッピング層は、任意の有用な現像プロセスによって除去することが可能である。一実施形態では、EUV露光領域は、ダングリング金属結合、M-H基、または二量体化M-M結合などの活性化された反応中心を有することができる。特定の実施形態では、M-H基は、1つまたは複数の乾式現像プロセス(例えば、ハロゲン化物化学物質)を用いることによって選択的に除去することができる。他の実施形態では、M-M結合は、湿式現像プロセスを用いることによって、例えば、熱エタノールおよび水を使用して可溶性M(OH)n基を提供することによって選択的に除去することが可能である。さらに他の実施形態では、EUV露光領域は、湿式現像を使用することによって(例えば、ポジ型現像液を使用することによって)除去される。いくつかの実施形態では、EUV非露光領域は、乾式現像を使用することによって除去される。
EUV露光領域または非露光領域、ならびにキャッピング層は、任意の有用な現像プロセスによって除去することが可能である。一実施形態では、EUV露光領域は、ダングリング金属結合、M-H基、または二量体化M-M結合などの活性化された反応中心を有することができる。特定の実施形態では、M-H基は、1つまたは複数の乾式現像プロセス(例えば、ハロゲン化物化学物質)を用いることによって選択的に除去することができる。他の実施形態では、M-M結合は、湿式現像プロセスを用いることによって、例えば、熱エタノールおよび水を使用して可溶性M(OH)n基を提供することによって選択的に除去することが可能である。さらに他の実施形態では、EUV露光領域は、湿式現像を使用することによって(例えば、ポジ型現像液を使用することによって)除去される。いくつかの実施形態では、EUV非露光領域は、乾式現像を使用することによって除去される。
乾式現像プロセスはまた、HClベースまたはHBrベースのプロセスなどのハロゲン化物の使用を含むことができる。本開示は特定の動作理論または機構に限定されるものではないが、アプローチは、乾式堆積されたEUVフォトレジスト膜とクリーンな化学物質(例えば、HCl、HBr、およびBCl3)の化学反応性を活用し、蒸気またはプラズマを使用して揮発性生成物を形成すると理解される。乾式堆積されたEUVフォトレジスト膜は、最大1nm/秒のエッチング速度で除去することができる。これらの化学物質による乾式堆積されたEUVフォトレジスト膜の迅速な除去は、チャンバ洗浄、裏面洗浄、ベベル洗浄、およびPR現像に適用可能である。膜は様々な温度における蒸気(例えば、-10℃を超える温度におけるHClもしくはHBr、または80℃を超える温度におけるBCl3)を使用して除去することができるが、反応性をさらに加速または増強するためにプラズマを使用することも可能である。
プラズマプロセスは、当技術分野で知られている機器および技法を用いる、トランス結合プラズマ(TCP)、誘導結合プラズマ(ICP)、または容量結合プラズマ(CCP)を含む。例えば、プロセスは、>0.5mTorr(例えば、1~100mTorrなど)の圧力で、<1000W(例えば、<500W)の電力レベルにおいて行うことができる。温度は、1~3000秒(例えば、10秒~600秒)の間、30~300℃(例えば、30~120℃)、毎分100~1000標準立方センチメートル(sccm)、例えば、約500sccmの流量であってもよい。
ハロゲン化物反応剤の流れが水素ガスおよびハロゲン化物ガスである場合、リモートプラズマ/UV放射線を使用してH2およびCl2および/またはBr2からラジカルを生成し、水素およびハロゲン化ラジカルを反応チャンバに流し、そしてウエハの基板層上のパターニングされたEUVフォトレジストに接触させる。適切なプラズマ電力は、バイアスなしで、100~500Wの範囲であり得る。これらの条件は、いくつかの処理リアクタ、例えば、カリフォルニア州フリーモントのLam Research社から入手可能なKiyoエッチングツールに適しているが、処理リアクタの能力に応じて、より広い範囲のプロセス条件を使用することができることを理解されたい。
熱現像プロセスでは、基板は、真空チャンバ(例えば、オーブン)内で乾式現像化学物質(例えば、ルイス酸)に曝露される。適切なチャンバは、真空ライン、乾式現像ハロゲン化水素化学物質ガス(例えば、HBr、HCl)ライン、および温度制御のためのヒータを含むことができる。いくつかの実施形態では、チャンバ内部は、有機ポリマーまたは無機コーティングなどの耐腐食性膜でコーティングすることが可能である。そのようなコーティングの1つは、ポリテトラフルオロエチレン((PTFE)、例えば、Teflon(商標))である。そのような材料は、プラズマ露光による除去のリスクなしに本開示の熱プロセスで使用することができる。
乾式現像についてのプロセス条件は、フォトレジスト膜およびキャッピング層ならびにそれらの組成物および性質に応じて、プラズマなしで約10秒~1分間、100~500sccmの反応剤流量(例えば、500sccmのHBrまたはHCl)、-10~120℃(例えば、-10℃)の温度、1~500mTorr(例えば、300mTorr)の圧力であり得る。
様々な実施形態において、本開示の方法は、膜堆積、気相堆積による形成、(EUV)リソグラフィフォトパターニング、および乾式現像のすべての乾式ステップを組み合わせる。そのようなプロセスでは、基板は、EUVスキャナにおけるフォトパターニングに続いて乾式現像/エッチングチャンバに直接向かうことができる。そのようなプロセスは、湿式現像に関連する材料および生産コストを回避することができる。乾式プロセスはまた、より多くの調節可能性を提供し、さらなるCD制御および/またはスカム除去を与えることができる。
様々な実施形態において、いくらかの量の金属、金属酸化物、および有機成分を含むEUVフォトレジストは、式RxZyの化合物を含む乾式現像ガスを流しながら、熱、プラズマ(例えば、ランプ加熱またはUVランプ加熱などの可能性のある光活性化プラズマを含む)、または熱とプラズマ方法の混合によって乾式現像することができ、R=B、Al、Si、C、S、SOであり、x>0であり、Z=Cl、H、Br、F、CH4であり、y>0である。乾式現像は、RxZy種が露光材料を選択的に除去し、マスクとして非露光対応物を残すポジ型をもたらすことができる。いくつかの実施形態では、有機スズ酸化物ベースのフォトレジスト膜の露光部分は、本開示に従って乾式現像によって除去される。ポジ型乾式現像は、プラズマを当てることなくハロゲン化水素または水素とハロゲン化物(HClおよび/またはHBrを含む)を含む流れ、またはリモートプラズマもしくはプラズマから生成されたUV放射線を用いてH2およびCl2および/またはBr2の流れに曝露されてラジカルを生成するEUV露光領域の選択的乾式現像(除去)によって達成することができる。
湿式現像法もまた、用いることが可能である。特定の実施形態では、そのような湿式現像法を使用してEUV露光領域を除去し、ポジ型フォトレジストまたはネガ型レジストを提供する。いくつかの実施形態では、湿式現像は、中性現像液(例えば、水などのpH中性現像液)または過酸化物含有現像液(例えば、過酸化水素、H2O2を含む)を含む。例示的な非限定的な湿式現像には、アンモニウム、例えば、水酸化アンモニウム(NH4OH)、アンモニウムベースのイオン液体、例えば、水酸化テトラメチルアンモニウム(TMAH)、水酸化テトラエチルアンモニウム(TEAH)、水酸化テトラプロピルアンモニウム(TPAH)、水酸化テトラブチルアンモニウム(TBAH)、または他の第四級アルキルアンモニウム水酸化物、有機アミン、例えばモノ、ジ、およびトリ有機アミン(例えば、ジエチルアミン、ジエチルアミン、エチレンジアミン、トリエチレンテトラミン)、またはアルカノールアミン、例えばモノエタノールアミン、ジエタノールアミン、トリエタノールアミン、またはジエチレングリコールアミンを含むものなど、アルカリ現像液(例えば、水性アルカリ現像液)中での塩基の使用を含むことができる。他の実施形態では、アルカリ現像液は、窒素含有塩基、例えば、式RN1NH2、RN1RN2NH、RN1RN2RN3N、またはRN1RN2RN3RN4N+XN1-を有する化合物を含むことができ、RN1、RN2、RN3、およびRN4の各々は、独立して、有機置換基(例えば、任意選択で置換されたアルキルまたは本明細書に記載のいずれかのもの)、または一緒に結合することができる2つ以上の有機置換基であり、XN1-は、OH-、F-、Cl-、Br-、I-、または他の当技術分野で知られている第四級アンモニウムカチオン種を含んでもよい。これらの塩基はまた、当技術分野で知られているヘテロシクリル窒素化合物を含んでもよく、そのいくつかは本明細書で説明される。非限定的な組み合わせには、水と塩基性現像液が挙げられる。
他の現像方法論は、ハロゲン化物(例えば、HClまたはHBr)、有機酸(例えば、ギ酸、酢酸、またはクエン酸)、もしくは有機フッ素化合物(例えば、トリフルオロ酢酸)を含む酸性現像液(例えば、水性酸性現像液または有機溶媒中の酸現像液)中の酸の使用、または有機現像液、例えばケトン(例えば、2-ヘプタノン、シクロヘキサノン、またはアセトン)、エステル(例えば、γ-ブチロラクトンまたは3-エトキシプロピオン酸エチル(EEP))、アルコール(例えば、イソプロピルアルコール(IPA))、またはエーテル、例えばグリコールエーテル(例えば、プロピレングリコールメチルエーテル(PGME)またはプロピレングリコールメチルエーテルアセテート(PGMEA))、ならびにそれらの組み合わせの使用を含むことができる。非限定的な組み合わせには、水と酸性現像液が挙げられる。
特定の実施形態では、ポジ型現像液は、水性アルカリ現像液(例えば、NH4OH、TMAH、TEAH、TPAH、またはTBAHを含む)である。他の実施形態では、ネガ型現像液は、水性酸性現像液、有機溶媒中の酸性現像液、または有機現像液(例えば、HCl、HBr、ギ酸、トリフルオロ酢酸、2-ヘプタノン、IPA、PGME、PGMEA、またはそれらの組み合わせ)である。
本明細書の現像液のいずれも、1つまたは複数の界面活性剤を含むことができる。界面活性剤は、正、負、または中性の電荷を含むことができ、フッ素化または非フッ素化界面活性剤からなる群から選択され得る。非限定的な界面活性剤には、第四級アンモニウム塩、ペルフルオロオクタン酸アンモニウム塩、ペルフルオロノナン酸アンモニウム塩、フッ素系界面活性剤、ポリオキシエチレンステアリルエーテル、ポリオキシエチレンオレイルエーテル、ポリオキシエチレンオクチルフェニルエーテル、ポリオキシエチレンノニルフェニルエーテル、ポリエチレングリコールジラウレート、ポリエチレングリコールジステアレート、アルキルベンゼンスルホン酸塩、スルホコハク酸ナトリウム、およびラウリル硫酸ナトリウムが挙げられる。
湿式現像には、浸漬現像、パドル現像、およびスプレー現像を含む任意の有用なプロセスが挙げられ得る。これらのプロセスのいずれかの後またはプロセス中、基板を回転させ、同時に膜を乾燥させながら膜の溶解部分を除去することができる。
現像プロセスは、湿式現像プロセスと乾式現像プロセスの両方を含むことができる。そのようなプロセスは、最初の湿式現像とそれに続く後の乾式現像、またはその逆を含むことが可能である。現像はまた、複数の湿式現像プロセスが使用されるか、複数の乾式現像プロセスが使用されるか、または複数の湿式および乾式現像プロセスが用いられるサイクルで行うこともできる。
塗布後プロセス
本明細書の方法は、以下で説明されるように、任意の有用な塗布後プロセスを含むことができる。
本明細書の方法は、以下で説明されるように、任意の有用な塗布後プロセスを含むことができる。
裏面およびベベル洗浄プロセスでは、蒸気および/またはプラズマをウエハの特定の領域に限定して、ウエハの表面の膜を劣化させることなく裏面およびベベルのみを確実に除去することが可能である。除去される乾式堆積されたEUVフォトレジスト膜は、一般に、Sn、O、およびCで構成されるが、同じ洗浄アプローチを他の金属酸化物レジストおよび材料の膜に拡張することができる。加えて、このアプローチはまた、膜ストリップおよびPRリワークにも使用することができる。
乾式ベベルエッジおよび裏面洗浄のための適切なプロセス条件は、フォトレジスト膜ならびに組成物および性質に応じて、約10~20秒の時間にわたって、100~500sccmの反応剤流量(例えば、500sccmのHCl、HBr、またはH2およびCl2またはBr2、BCl3またはH2)、-10~120℃(例えば、20℃)の温度、20~500mTorr(例えば、300mTorr)の圧力、高周波(例えば、13.56MHz)における0~500Wのプラズマ電力であり得る。これらの条件は、いくつかの処理リアクタ、例えば、カリフォルニア州フリーモントのLam Research社から入手可能なKiyoエッチングツールに適しているが、処理リアクタの能力に応じて、より広い範囲のプロセス条件を使用することができることを理解されたい。
フォトリソグラフィプロセスは、典型的には、フォトレジストの露光領域と非露光領域との間の化学的コントラストをもたらすために必要な化学反応を促進するために、1つまたは複数のベークステップを伴う。大量製造(HVM)の場合、そのようなベークステップは、典型的には、周囲空気または場合によってはN2流の下、ウエハが予め設定された温度においてホットプレート上でベークされるトラック上で実施される。これらのベークステップ中におけるベーク雰囲気のより慎重な制御、ならびに雰囲気中への追加の反応性ガス成分の導入は、線量要件をさらに低減し、かつ/またはパターン忠実度を改善するのに役立ち得る。
本開示の様々な態様によれば、堆積(例えば、塗布後ベーク(PAB))および/または露光(例えば、露光後ベーク(PEB))および/または現像(例えば、現像後ベーク(PDB))後の金属および/または金属酸化物ベースのフォトレジストに対する1つまたは複数の後処理は、露光フォトレジストと非露光フォトレジストとの間の材料性質の差を増加させることが可能であり、したがって線量対サイズ(DtS)を減少させ、PRプロファイルを改善し、その後の乾式現像後のラインエッジおよび幅粗さ(LER/LWR)を改善する。そのような処理は、温度、ガス雰囲気、および水分を制御する熱プロセスを伴うことができ、その後の処理における改善された乾式現像性能をもたらす。場合によっては、リモートプラズマが使用される場合がある。
塗布後処理(例えば、PAB)の場合、温度、ガス雰囲気(例えば、空気、H2O、CO2、CO、O2、O3、CH4、CH3OH、N2、H2、NH3、N2O、NO、Ar、He、またはそれらの混合物)または真空下、および水分を制御する熱プロセスを堆積後および露光前に使用して、非露光金属および/または金属酸化物フォトレジストの組成物を変化させることができる。この変化により材料のEUV感度を増加させることができ、したがって露光および乾式現像後、線量対サイズおよびエッジ粗さの低下を達成することができる。
露光後処理(例えば、インキュベートまたはPEB)の場合、温度、ガス雰囲気(例えば、空気、H2O、CO2、CO、O2、O3、CH4、CH3OH、N2、H2、NH3、N2O、NO、Ar、He、またはそれらの混合物)または真空下、および水分を制御する熱プロセスを使用して、非露光フォトレジストと露光フォトレジストの両方の組成物を変化させることができる。この変化により、非露光フォトレジストと露光フォトレジストとの間の組成物/材料性質の差、および非露光フォトレジストと露光フォトレジストとの間の乾式現像エッチングガスのエッチング速度の差を増加させることができる。それによって、より高いエッチング選択性を達成することができる。改善された選択性により、改善された表面粗さを有し、かつ/またはフォトレジスト残留物/スカムが少なくなった、より正方形のPRプロファイルを得ることができる。特定の実施形態では、PEBは、空気中で、水分およびCO2の任意選択の存在下で実施することができる。
現像後処理(例えば、現像後ベークまたはPDB)の場合、温度、ガス雰囲気(例えば、空気、H2O、CO2、CO、O2、O3、CH4、CH3OH、N2、H2、NH3、N2O、NO、Ar、He、またはそれらの混合物)または真空下(例えば、UVを使用)、および水分を制御する熱プロセスを使用して、非露光フォトレジストの組成物を変化させることができる。特定の実施形態では、条件はまた、プラズマ(例えば、O2、O3、Ar、He、またはそれらの混合物を含む)の使用を含む。この変化により材料の硬度を増加させることができ、これは、下にある基板をエッチングする際に膜がレジストマスクとして使用される場合に有益であり得る。
これらの場合、代替の実施態様では、熱プロセスをリモートプラズマプロセスに置き換え、反応種を増加させて反応に対するエネルギー障壁を低下させ、生産性を増加させることができる。リモートプラズマはより反応性の高いラジカルを生成することができ、したがって処理についての反応温度/時間を低下させ、生産性の増加につながる。
したがって、1つまたは複数のプロセスを適用してフォトレジスト自体を修飾し、乾式現像の選択性を増加させることができる。この熱またはラジカル修飾は、非露光材料と露光材料との間のコントラストを増加させ、したがってその後の乾式現像ステップの選択性を増加させることができる。結果として得られる非露光材料と露光材料の材料性質間の差は、温度、ガス流、水分、圧力、および/またはRF電力を含むプロセス条件を調整することによって調節することができる。湿式現像液溶媒中の材料の溶解度によって限定されない、乾式現像によって可能になる大きなプロセス自由度は、より積極的な条件の適用を可能にし、達成可能な材料のコントラストをさらに高める。結果として得られる高い材料コントラストは、乾式現像のためのより広いプロセスウィンドウにフィードバックし、したがって生産性の増加、コストの低下、およびより良好な欠陥率性能を可能にする。
湿式現像されたレジスト膜の実質的な制限は、温度ベークの制限である。湿式現像は材料の溶解度に依存するため、例えば、220℃以上に加熱すると、金属含有PR膜の露光領域と非露光領域の両方で架橋度を大幅に増加させることができ、それにより両方とも湿式現像溶媒に不溶となり、したがって膜はもはや信頼できる湿式現像が不可能になる。PRの露光領域と非露光領域との間のエッチング速度の差(すなわち、選択性)が、レジストの露光部分または非露光部分のみの除去に依存する乾式現像レジスト膜の場合、PAB、PEB、またはPDBにおける処理温度は、処理プロセスを調節および最適化するためにはるかに広いウィンドウにわたって、例えば、PABの場合は約90~250℃、例えば90~190℃、ならびにPEBおよび/またはPDBの場合は約170~250℃以上、例えば190~240℃にわたって変化させることができる。エッチング速度の減少およびより大きいエッチング選択性は、上記の範囲内のより高い処理温度で生じることが見出された。
特定の実施形態では、PAB、PEB、および/またはPDB処理は、100~10000sccmの範囲のガス雰囲気流、数パーセント~最大100%(例えば、20~50%)の量の含水量で、大気圧と真空との間の圧力において、約1~15分、例えば約2分の期間にわたって行うことができる。
これらの発見を使用して処理条件を調節し、特定の材料および状況に合わせて処理を調整または最適化することができる。例えば、約2分間、約20%の湿度における空気中で220~250℃のPEB熱処理を用いて所与のEUV線量に対して達成される選択性は、そのような熱処理なしで約30%高いEUV線量の場合と同様にすることができる。したがって、半導体処理動作の選択性要件/制約に応じて、本明細書で説明するような熱処理を使用して必要なEUV線量を低下させることができる。または、より高い選択性が必要とされ、かつより高い線量が許容される場合、湿式現像の場面で可能となるよりもはるかに高い選択性(露光対非露光の最大100倍)を得ることが可能である。
さらに他のステップは、フォトリソグラフィプロセス中に物理的および構造的特性(例えば、限界寸法、膜厚など)を評価することが可能なin situ計測を含むことができる。in situ計測を実施するモジュールには、例えば、散乱測定モジュール、偏光解析モジュール、下流質量分析モジュール、および/またはプラズマ強化下流発光分光分析モジュールが挙げられる。
装置
本開示はまた、本明細書に記載のいずれかの方法を実施するように構成されている任意の装置を含む。一実施形態では、膜を堆積するための装置は、ドーパント前駆体の存在下で有機金属前駆体を供給することによって膜としてEUV感受性材料を堆積するためのチャンバを備える堆積モジュールと、30nm未満の波長の放射線源を有するEUVフォトリソグラフィツールを備えるパターニングモジュールと、膜を現像するためのチャンバを備える現像モジュールとを含む。
本開示はまた、本明細書に記載のいずれかの方法を実施するように構成されている任意の装置を含む。一実施形態では、膜を堆積するための装置は、ドーパント前駆体の存在下で有機金属前駆体を供給することによって膜としてEUV感受性材料を堆積するためのチャンバを備える堆積モジュールと、30nm未満の波長の放射線源を有するEUVフォトリソグラフィツールを備えるパターニングモジュールと、膜を現像するためのチャンバを備える現像モジュールとを含む。
装置は、そのようなモジュールのための命令を有するコントローラをさらに含むことができる。一実施形態では、コントローラは、1つまたは複数のメモリデバイス、1つまたは複数のプロセッサ、および膜またはキャッピング層の堆積を行うための命令でコード化されたシステム制御ソフトウェアを含む。そのような命令は、堆積モジュールにおいて、基板またはフォトレジスト層の上面にドープ膜を堆積することと、パターニングモジュールにおいて、EUV露光によって直接30nm未満の解像度で膜をパターニングし、それによって膜内にパターンを形成することと、現像モジュールにおいて、膜を現像することとを含むことができる。特定の実施形態では、現像モジュールは、EUV露光領域またはEUV非露光領域の除去を提供し、それによって膜内にパターンを設ける。
図4は、記載の乾式剥離および現像の実施形態の実施に適した低圧環境を維持するためのプロセスチャンバ本体402を有するプロセスステーション400の一実施形態の概略図を図示する。複数のプロセスステーション400が、共通の低圧プロセスツール環境に含まれてもよい。例えば、図5は、カリフォルニア州フリーモントのLam Research社から入手可能なVECTOR(登録商標)処理ツールなどのマルチステーション処理ツール500の一実施形態を図示する。いくつかの実施形態では、プロセスステーション400の1つまたは複数のハードウェアパラメータ(以下で詳細に説明されるものを含む)は、1つまたは複数のコンピュータコントローラ450によってプログラム的に調整することができる。
プロセスステーションは、クラスタツール内のモジュールとして構成することができる。図7は、本明細書に記載の実施形態の実施に適した真空統合堆積およびパターニングモジュールを有する半導体プロセスクラスタツールアーキテクチャを図示する。そのようなクラスタプロセスツールアーキテクチャは、図6および図7を参照して本明細書で説明するように、レジスト堆積、レジスト露光(EUVスキャナ)、レジスト乾式現像、およびエッチングモジュールを含むことができる。
いくつかの実施形態では、例えば乾式現像およびエッチングなど、特定の処理機能を同じモジュール内で連続して実施することができる。また、本開示の実施形態は、本明細書で説明するように、エッチングされる層または層スタック上に配置されたフォトパターニングされたEUVレジスト薄膜層を含むウエハを、EUVスキャナにおけるフォトパターニングに続いて乾式現像/エッチングチャンバに受け取り、フォトパターニングされたEUVレジスト薄膜層を乾式現像し、次にマスクとしてパターニングされたEUVレジストを使用して下層をエッチングするための方法および装置を対象とする。
図4に戻ると、プロセスステーション400は、接続405によってプロセスガスを分配シャワーヘッド406に送給するための反応剤送給システム401と流体連通する。反応剤送給システム401は、シャワーヘッド406に送給するプロセスガスをブレンドおよび/または調整するための混合容器404を含む。1つまたは複数の混合容器入口弁420は、混合容器404へのプロセスガスの導入を制御することができる。プラズマ露光が使用される場合、プラズマは、シャワーヘッド406にも送給され得るか、またはプロセスステーション400で生成され得る。プロセスガスは、例えば、有機金属前駆体、ドーパント前駆体、または逆反応剤など、本明細書に記載のいずれかを含むことができる。
図4は、混合容器404に供給される液体反応剤を気化するための任意選択の気化ポイント403を含む。液体反応剤は、有機金属前駆体、ドーパント前駆体、または逆反応剤を含むことができる。いくつかの実施形態では、気化されてプロセスステーション400に送給される液体の質量流量を制御するために、液体流コントローラ(LFC)を気化ポイント403の上流に設けることができる。例えば、LFCは、LFCの下流に位置する熱質量流量計(MFM)を含み得る。次に、LFCのプランジャ弁は、MFMと電気的に通信する比例積分微分(PID)コントローラによって提供されるフィードバック制御信号に応答して調整され得る。
シャワーヘッド406は、プロセスガスを基板412に向かって分配する。図4に示す実施形態では、基板412は、シャワーヘッド406の下に位置し、台座408上に静止した状態で示されている。シャワーヘッド406は、任意の適切な形状を有してもよく、プロセスガスを基板412に分配するための任意の適切な数および配置のポートを有してもよい。
いくつかの実施形態では、台座408を上昇または下降させ、基板412を基板412とシャワーヘッド406との間の容積に露出させることができる。いくつかの実施形態では、台座の高さは、適切なコンピュータコントローラ450によってプログラム的に調整することができることが理解されよう。
いくつかの実施形態では、台座408は、ヒータ410を介して温度制御され得る。いくつかの実施形態では、台座408は、開示された実施形態に記載のようにHBr、HCl、またはBCl3などの乾式現像化学物質へのフォトパターニングされたレジストの非プラズマ熱露光中、0℃超~300℃以上、例えば50~120℃、例えば約65~80℃の温度に加熱されてもよい。
さらに、いくつかの実施形態では、プロセスステーション400についての圧力制御は、バタフライ弁418によって提供され得る。図4の実施形態に示すように、バタフライ弁418は、下流の真空ポンプ(図示せず)によって提供される真空を絞る。しかし、いくつかの実施形態では、プロセスステーション400の圧力制御はまた、プロセスステーション400に導入される1つまたは複数のガスの流量を変化させることによって調整することができる。
いくつかの実施形態では、シャワーヘッド406の位置を台座408に対して調整し、基板412とシャワーヘッド406との間の容積を変化させることができる。さらに、台座408および/またはシャワーヘッド406の垂直位置は、本開示の範囲内の任意の適切な機構によって変化させてもよいことが理解されよう。いくつかの実施形態では、台座408は、基板412の配向を回転させるための回転軸を含んでもよい。いくつかの実施形態では、これらの例示的な調整の1つまたは複数は、1つまたは複数の適切なコンピュータコントローラによってプログラム的に実施することができることが理解されよう。
例えば穏やかなプラズマベースの乾式現像の実施形態および/または同じチャンバ内で行われるエッチング動作において、プラズマが使用され得る場合、シャワーヘッド406および台座408は、プラズマ407に電力を供給するために、高周波(RF)電源414および整合ネットワーク416と電気的に通信する。いくつかの実施形態では、プラズマエネルギーは、プロセスステーション圧力、ガス濃度、RF源電力、RF源周波数、およびプラズマ電力パルスタイミングの1つまたは複数を制御することによって制御することができる。例えば、RF電源414および整合ネットワーク416は、任意の適切な電力で動作してラジカル種の所望の組成物を有するプラズマを形成することができる。適切な電力の例は、最大約500Wである。
いくつかの実施形態では、コントローラ450に対する命令は、入出力制御(IOC)シーケンス命令を介して提供され得る。一例では、プロセス段階に対する条件を設定するための命令は、プロセスレシピの対応するレシピ段階に含まれてもよい。場合によっては、プロセスレシピ段階は、プロセス段階に対するすべての命令がそのプロセスフェーズと同時に実行されるように、順に配置されてもよい。いくつかの実施形態では、1つまたは複数のリアクタパラメータを設定するための命令がレシピ段階に含まれてもよい。例えば、レシピ段階は、HBrまたはHClなどの乾式現像化学物質反応ガスの流量を設定するための命令、およびレシピ段階のための時間遅延命令を含んでもよい。いくつかの実施形態では、コントローラ450は、図5のシステムコントローラ550に関して以下で説明される特徴のいずれかを含むことができる。
上述のように、1つまたは複数のプロセスステーションをマルチステーション処理ツールに含めることができる。図5は、インバウンドロードロック502およびアウトバウンドロードロック504を備え、これらのいずれかまたは両方がリモートプラズマ源を含み得るマルチステーション処理ツール500の一実施形態の概略図を示す。ロボット506は、大気圧において、ポッド508を介してロードされたカセットから、大気圧ポート510を介してインバウンドロードロック502にウエハを移動させるように構成される。ウエハは、ロボット506によって、インバウンドロードロック502の台座512上に載置され、大気圧ポート510が閉じられ、ロードロックがポンプダウンされる。インバウンドロードロック502がリモートプラズマ源を含む場合、ウエハは、処理チャンバ514に導入される前にロードロック内で窒化ケイ素表面を処理するためにリモートプラズマ処理を受けてもよい。さらに、ウエハはまた、例えば、水分および吸収したガスを除去するためにインバウンドロードロック502においても加熱されてもよい。次に、処理チャンバ514へのチャンバ搬送ポート516が開かれ、別のロボット(図示せず)が、処理のためにリアクタ内に示す第1のステーションの台座上のリアクタ内にウエハを配置する。図5に図示される実施形態はロードロックを含んでいるが、いくつかの実施形態では、プロセスステーションにウエハを直接進入させてもよいことが理解されよう。
図示の処理チャンバ514は、図5に示す実施形態において1から4まで番号が付けられた4つのプロセスステーションを含む。各ステーションは、加熱台座(ステーション1に対して518で示す)と、ガスライン入口とを有する。いくつかの実施形態では、各プロセスステーションは、異なる目的または複数の目的を有し得ることが理解されよう。例えば、いくつかの実施形態では、プロセスステーションは乾式現像モードとエッチングプロセスモードとの間で切り替え可能であってもよい。追加または代替として、いくつかの実施形態では、処理チャンバ514は、乾式現像およびエッチングプロセスステーションの1つまたは複数の対応する対を含んでもよい。図示の処理チャンバ514は4つのステーションを含むが、本開示による処理チャンバは、任意の適切な数のステーションを有してもよいことが理解される。例えば、いくつかの実施形態では、処理チャンバは、5つ以上のステーションを有してもよく、他の実施形態では、処理チャンバは、3つ以下のステーションを有してもよい。
図5は、処理チャンバ514内でウエハを移送するためのウエハハンドリングシステム590の一実施形態を図示する。いくつかの実施形態では、ウエハハンドリングシステム590は、様々なプロセスステーション間および/またはプロセスステーションとロードロックとの間でウエハを移送することができる。任意の適切なウエハハンドリングシステムが用いられてもよいことが理解されよう。非限定的な例として、ウエハカルーセルおよびウエハハンドリングロボットが挙げられる。図5はまた、プロセスツール500のプロセス条件およびハードウェア状態を制御するために用いられるシステムコントローラ550の一実施形態を図示する。システムコントローラ550は、1つまたは複数のメモリデバイス556と、1つまたは複数の大容量記憶デバイス554と、1つまたは複数のプロセッサ552とを含むことができる。プロセッサ552は、CPUまたはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッピングモータコントローラボードなどを含み得る。
いくつかの実施形態では、システムコントローラ550は、プロセスツール500の活動のすべてを制御する。システムコントローラ550は、大容量記憶デバイス554に記憶され、メモリデバイス556にロードされ、プロセッサ552で実行されるシステム制御ソフトウェア558を実行する。あるいは、制御論理は、コントローラ550にハードコード化されてもよい。特定用途向け集積回路、プログラマブル論理デバイス(例えば、フィールドプログラマブルゲートアレイ、またはFPGA)などをこれらの目的のために使用することができる。以下の説明では、「ソフトウェア」または「コード」が使用される場合は常に、機能的に同等のハードコード化された論理をその代わりに使用することができる。システム制御ソフトウェア558は、タイミング、ガスの混合、ガス流量、チャンバ圧力および/またはステーション圧力、チャンバ温度および/またはステーション温度、ウエハ温度、目標電力レベル、RF電力レベル、基板台座、チャック位置および/またはサセプタ位置、ならびにプロセスツール500によって実施される特定のプロセスの他のパラメータを制御するための命令を含み得る。システム制御ソフトウェア558は、任意の適切な方法で構成され得る。例えば、様々なプロセスツール構成要素サブルーチンまたは制御オブジェクトは、様々なプロセスツールプロセスを実行するために使用されるプロセスツール構成要素の動作を制御するために書かれてもよい。システム制御ソフトウェア558は、任意の適切なコンピュータ可読プログラミング言語でコード化され得る。
いくつかの実施形態では、システム制御ソフトウェア558は、上述の様々なパラメータを制御するための入出力制御(IOC)シーケンス命令を含み得る。いくつかの実施形態では、システムコントローラ550に関連する大容量記憶デバイス554および/またはメモリデバイス556に記憶された他のコンピュータソフトウェアおよび/またはプログラムが用いられてもよい。この目的のためのプログラムまたはプログラムのセクションの例には、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、およびプラズマ制御プログラムが挙げられる。
基板位置決めプログラムは、基板を台座518上にロードし、基板とプロセスツール500の他の部分との間隔を制御するために使用されるプロセスツール構成要素のプログラムコードを含むことができる。
プロセスガス制御プログラムは、プロセスステーションの圧力を安定化するために、様々なガス組成(例えば、本明細書に記載のHBrまたはHClガス)および流量を制御するためのコード、および任意選択で、堆積前にガスを1つまたは複数のプロセスステーションに流すためのコードを含むことができる。圧力制御プログラムは、例えば、プロセスステーションの排気システムのスロットル弁、プロセスステーションへのガス流などを調節することによってプロセスステーションの圧力を制御するためのコードを含み得る。
ヒータ制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するためのコードを含むことができる。あるいは、ヒータ制御プログラムは、基板への熱伝達ガス(ヘリウムなど)の送給を制御することができる。
プラズマ制御プログラムは、本明細書の実施形態に従って1つまたは複数のプロセスステーション内のプロセス電極に適用されるRF電力レベルを設定するためのコードを含むことができる。
圧力制御プログラムは、本明細書の実施形態に従って反応チャンバ内の圧力を維持するためのコードを含むことができる。
いくつかの実施形態では、システムコントローラ550に関連するユーザインターフェースが存在してもよい。ユーザインターフェースは、ディスプレイ画面、装置および/またはプロセス条件のグラフィカルソフトウェアディスプレイ、ならびにポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力デバイスを含むことができる。
いくつかの実施形態では、システムコントローラ550によって調整されたパラメータは、プロセス条件に関係するものであってもよい。非限定的な例として、プロセスガス組成および流量、温度、圧力、プラズマ条件(RFバイアス電力レベルなど)などが挙げられる。これらのパラメータは、レシピの形態でユーザに提供されてもよく、ユーザインターフェースを利用して入力することができる。
プロセスを監視するための信号は、様々なプロセスツールセンサからシステムコントローラ550のアナログおよび/またはデジタル入力接続によって提供されてもよい。プロセスを制御するための信号は、プロセスツール500のアナログおよびデジタル出力接続で出力することができる。監視することができるプロセスツールセンサの非限定的な例は、マスフローコントローラ、圧力センサ(圧力計など)、熱電対などを含む。適切にプログラムされたフィードバックおよび制御アルゴリズムをこれらのセンサからのデータと共に使用して、プロセス条件を維持することができる。
システムコントローラ550は、上述の堆積プロセスを実施するためのプログラム命令を提供することができる。プログラム命令は、DC電力レベル、RFバイアス電力レベル、圧力、温度などの様々なプロセスパラメータを制御することが可能である。命令は、本明細書に記載の様々な実施形態に従って乾式現像および/またはエッチングプロセスを動作させるパラメータを制御することができる。
システムコントローラ550は、典型的には、装置が開示された実施形態に従って方法を実施するように命令を実行するように構成されている1つまたは複数のメモリデバイスおよび1つまたは複数のプロセッサを含む。開示された実施形態に従ってプロセス動作を制御するための命令を含む機械可読媒体は、システムコントローラ550に結合され得る。
いくつかの実施態様では、システムコントローラ550はシステムの一部であり、そのようなシステムは上述した例の一部であってもよい。そのようなシステムは、1つまたは複数の処理ツール、1つまたは複数のチャンバ、1つまたは複数の処理用プラットフォーム、および/または特定の処理構成要素(ウエハ台座、ガス流システムなど)を含む半導体処理機器を含むことができる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後のシステム動作を制御するための電子機器と一体化されてもよい。そのような電子機器は「コントローラ」と呼ばれることがあり、1つまたは複数のシステムの様々な構成要素または副部品を制御してもよい。システムコントローラ550は、処理条件および/またはシステムのタイプに応じて、本明細書に開示されるプロセスのいずれかを制御するようにプログラムされてもよい。そのようなプロセスとしては、処理ガスの送給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体送給設定、位置および動作設定、特定のシステムに接続または連動するツールおよび他の移送ツールに対するウエハの搬入と搬出、および/またはロードロックに対するウエハの搬入と搬出が含まれる。
広義には、システムコントローラ550は、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、論理、メモリ、および/またはソフトウェアを有する電子機器として定義されてもよい。集積回路は、プログラム命令を記憶するファームウェアの形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されたチップ、および/または1つまたは複数のマイクロプロセッサ、すなわちプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでもよい。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形式でシステムコントローラ550に通信される命令であって、特定のプロセスを半導体ウエハ上で、または半導体ウエハ用に、またはシステムに対して実行するための動作パラメータを定義してもよい。動作パラメータは、いくつかの実施形態では、1つまたは複数の層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、および/またはウエハダイの製作における1つまたは複数の処理ステップを実現するためプロセスエンジニアによって定義されるレシピの一部であってもよい。
システムコントローラ550は、いくつかの実施態様では、システムと統合または結合されるか、他の方法でシステムにネットワーク接続されるコンピュータの一部であってもよく、またはそのようなコンピュータに結合されてもよく、またはそれらの組み合わせであってもよい。例えば、システムコントローラ550は、「クラウド」内にあってもよいし、ファブホストコンピュータシステムのすべてもしくは一部であってもよい。これにより、ウエハ処理のリモートアクセスが可能となる。コンピュータは、システムへのリモートアクセスを可能にして、製作動作の現在の進捗状況を監視し、過去の製作動作の履歴を検討し、複数の製作動作から傾向または性能基準を検討し、現在の処理のパラメータを変更し、現在の処理に続く処理ステップを設定するか、または新しいプロセスを開始してもよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ネットワークを通じてプロセスレシピをシステムに提供することができる。そのようなネットワークは、ローカルネットワークまたはインターネットを含んでいてもよい。リモートコンピュータは、パラメータおよび/または設定のエントリまたはプログラミングを可能にするユーザインターフェースを含んでもよく、そのようなパラメータおよび/または設定は、その後リモートコンピュータからシステムに通信される。いくつかの例では、システムコントローラ550は命令をデータの形式で受信する。そのようなデータは、1つまたは複数の動作中に実施される各処理ステップのためのパラメータを特定するものである。パラメータは、実施されるプロセスのタイプ、およびシステムコントローラ550が連動または制御するように構成されるツールのタイプに特有のものであってもよいことを理解されたい。したがって、上述したように、システムコントローラ550は、例えば、互いにネットワーク接続され共通の目的(本明細書で説明されるプロセスおよび制御など)に向けて協働する1つまたは複数の個別のコントローラを含むことによって分散されてもよい。このような目的のための分散型コントローラの例として、チャンバ上の1つまたは複数の集積回路であって、(例えば、プラットフォームレベルで、またはリモートコンピュータの一部として)遠隔配置されておりチャンバにおけるプロセスを制御するよう組み合わせられる1つまたは複数の集積回路と通信するものが挙げられるであろう。
例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、ALDチャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、追跡チャンバまたはモジュール、EUVリソグラフィチャンバ(スキャナ)またはモジュール、乾式現像チャンバまたはモジュール、ならびに半導体ウエハの製作および/または製造に関連するか使用されてもよい任意の他の半導体処理システムを含むことができるが、これらに限定されない。
上述のように、ツールによって実施される1つまたは複数のプロセスステップに応じて、システムコントローラ550は、1つまたは複数の他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、または半導体製造工場内のツール場所および/もしくはロードポートに対してウエハの容器を搬入および搬出する材料搬送に使用されるツールと通信してもよい。
特定の実施形態では、いくつかの実施形態の実施に適したエッチング動作に適切であり得る誘導結合プラズマ(ICP)リアクタが、ここで説明される。ICPリアクタが本明細書では説明されるが、いくつかの実施形態では、容量結合プラズマリアクタも使用することができることを理解されたい。
図6は、乾式現像および/またはエッチングなどの特定の実施形態または実施形態の態様を実施するのに適切な誘導結合プラズマ装置600の断面図を概略的に示し、その一例は、カリフォルニア州フリーモントのLam Research社によって製造されるKiyo(登録商標)リアクタである。他の実施形態では、本明細書に記載の乾式現像および/またはエッチングプロセスを行う機能を有する他のツールまたはツールタイプを使用して実施することができる。
誘導結合プラズマ装置600は、チャンバ壁601および窓611によって構造的に画定された総合エッチングチャンバを含む。チャンバ壁601は、ステンレス鋼またはアルミニウムから製作することができる。窓611は、石英または他の誘電体材料から製作することができる。任意選択の内部プラズマグリッド650は、総合エッチングチャンバを上部サブチャンバ602および下部サブチャンバ603に分割する。多くの実施形態では、プラズマグリッド650を除去することができ、それによってサブチャンバ602および603からなるチャンバ空間を利用することができる。チャック617が、底部内面近くの下部サブチャンバ603内に位置決めされる。チャック617は、エッチングプロセスが実施される半導体ウエハ619を受け入れて保持するように構成される。チャック617は、存在する場合、ウエハ619を支持するための静電チャックであり得る。いくつかの実施形態では、エッジリング(図示せず)がチャック617を囲み、チャック617の上に存在する場合、ウエハ619の上面とほぼ平面である上面を有する。チャック617はまた、ウエハ619をチャックおよびデチャックするための静電電極を含む。この目的のために、フィルタおよびDCクランプ電源(図示せず)が設けられてもよい。
チャック617からウエハ619を持ち上げるための他の制御システムもまた、設けられてもよい。チャック617は、RF電源623を使用して充電させることができる。RF電源623は、接続627を通して整合回路621に接続される。整合回路621は、接続625を通してチャック617に接続される。このようにして、RF電源623はチャック617に接続される。様々な実施形態において、静電チャックのバイアス電力は、約50Vに設定されてもよいし、開示された実施形態に従って実施されるプロセスに応じて異なるバイアス電力に設定されてもよい。例えば、バイアス電力は、約20V~約100V、または約30V~約150Vであってもよい。
プラズマ生成のための要素は、窓611の上に位置決めされたコイル633を含む。いくつかの実施形態では、コイルは、開示された実施形態では使用されない。コイル633は、導電性材料から製作され、少なくとも1つの完全なターンを含む。図6に示す例示的なコイル633は、3ターンを含む。コイル633の断面は記号で示されており、「X」を有するコイルはページ内に回転して延びるが、「●」を有するコイルはページ外に回転して延びる。プラズマ生成のための要素はまた、RF電力をコイル633に供給するように構成されているRF電源641を含む。一般に、RF電源641は、接続645を通して整合回路639に接続される。整合回路639は、接続643を通してコイル633に接続される。このようにして、RF電源641はコイル633に接続される。任意選択のファラデーシールド649は、コイル633と窓611との間に位置決めされる。ファラデーシールド649は、コイル633に対して間隔を置いて離れた関係に維持され得る。いくつかの実施形態では、ファラデーシールド649は、窓611のすぐ上に配置される。いくつかの実施形態では、ファラデーシールドは、窓611とチャック617との間にある。いくつかの実施形態では、ファラデーシールドは、コイル633に対して間隔を置いて離れた関係に維持されない。コイル633、ファラデーシールド649、および窓611は各々、互いに実質的に平行になるように構成される。ファラデーシールド649は、金属または他の種がプロセスチャンバの窓611上に堆積するのを防止することができる。
プロセスガスは、上部サブチャンバ602に位置決めされた1つまたは複数の主ガス流入口660および/または1つまたは複数のサイドガス流入口670を介してプロセスチャンバに流入することができる。同様に、明示的に示されていないが、同様のガス流入口を使用して、処理ガスを容量結合プラズマ処理チャンバに供給することができる。真空ポンプ、例えば、1段または2段機械式ドライポンプおよび/またはターボ分子ポンプ640を使用して、プロセスチャンバからプロセスガスを引き出し、プロセスチャンバ内の圧力を維持することができる。例えば、真空ポンプを使用して、ALDのパージ動作中に下部サブチャンバ603を排気することができる。真空ポンプによって提供される真空環境の適用を選択的に制御するために、弁制御導管を使用して真空ポンプを処理チャンバに流体接続することができる。これは、プラズマ処理動作中、スロットル弁(図示せず)または振り子弁(図示せず)などの閉ループ制御流量制限デバイスを使用して行うことができる。同様に、容量結合プラズマ処理チャンバへの真空ポンプおよび弁制御流体接続も用いることができる。
装置600の動作中、1つまたは複数の反応剤ガスは、注入ポート660および/または670を通して供給され得る。特定の実施形態では、プロセスガスは、主ガス流入口660のみを通して、またはサイドガス流入口670のみを通して供給され得る。場合によっては、図に示すガス流入口は、より複雑なガス流入口、例えば、1つまたは複数のシャワーヘッドに置き換えられてもよい。ファラデーシールド649および/または任意選択のグリッド650は、プロセスチャンバへのプロセスガスの送給を可能にする内部チャネルおよび穴を含み得る。ファラデーシールド649および任意選択のグリッド650のいずれかまたは両方が、プロセスガスを送給するためのシャワーヘッドとして機能してもよい。いくつかの実施形態では、液体気化および送給システムは、プロセスチャンバの上流に配置され得、それにより液体反応剤または前駆体が気化されると、気化した反応剤または前駆体は、ガス流入口660および/または670を介してプロセスチャンバに導入される。
高周波電力は、RF電源641からコイル633に供給され、RF電流がコイル633を通って流れるようにする。コイル633を通って流れるRF電流は、コイル633の周りに電磁場を生成する。電磁場は、上部サブチャンバ602内で誘導電流を生成する。様々な生成されたイオンおよびラジカルとウエハ619の物理的および化学的相互作用により、ウエハ619のフィーチャがエッチングされ、ウエハ619上に層を選択的に堆積させる。
プラズマグリッド650が、上部サブチャンバ602と下部サブチャンバ603の両方が存在するように使用される場合、誘導電流は、上部サブチャンバ602に存在するガスに作用し、上部サブチャンバ602に電子-イオンプラズマを生成する。任意選択の内部プラズマグリッド650は、下部サブチャンバ603内の熱電子の数を限定する。いくつかの実施形態では、装置600は、下部サブチャンバ603に存在するプラズマがイオン-イオンプラズマであるように設計および動作される。
上部電子-イオンプラズマと下部イオン-イオンプラズマの両方が正イオンおよび負イオンを含むことができるが、イオン-イオンプラズマは、正イオンに対して負イオンの比率が大きい。揮発性エッチングおよび/または堆積副生成物は、ポート622を通して下部サブチャンバ603から除去され得る。本明細書に開示されるチャック617は、約10~250℃の範囲の高温で動作することができる。温度は、プロセス動作および特定のレシピに依存する。
装置600は、クリーンルームまたは製作施設に設置される場合、設備(図示せず)に結合されてもよい。設備は、処理ガス、真空、温度制御、および環境粒子制御を提供する配管を含む。これらの設備は、目的の製作施設に設置されると、装置600に結合される。加えて、装置600は、ロボットが典型的な自動操作を使用して半導体ウエハを装置600に出入りすることを可能にする移送チャンバに結合され得る。
いくつかの実施形態では、システムコントローラ630(1つまたは複数の物理的または論理的コントローラを含むことができる)が、プロセスチャンバの動作の一部またはすべてを制御する。システムコントローラ630は、1つまたは複数のメモリデバイスと、1つまたは複数のプロセッサとを含むことができる。いくつかの実施形態では、装置600は、開示された実施形態が実施されるときに流量および期間を制御するための切り替えシステムを含む。いくつかの実施形態では、装置600は、最大約600ms、または最大約750msの切り替え時間を有することができる。切り替え時間は、流れる化学物質、選択されたレシピ、リアクタアーキテクチャ、および他の要因に依存し得る。
いくつかの実施態様では、システムコントローラ630はシステムの一部であり、そのようなシステムは上述した例の一部であってもよい。そのようなシステムは、1つまたは複数の処理ツール、1つまたは複数のチャンバ、1つまたは複数の処理用プラットフォーム、および/または特定の処理構成要素(ウエハ台座、ガス流システムなど)を含む半導体処理機器を含むことができる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後のシステム動作を制御するための電子機器と一体化されてもよい。そのような電子機器はシステムコントローラ630に一体化され、1つまたは複数のシステムの様々な構成要素または副部品を制御してもよい。システムコントローラは、処理パラメータおよび/またはシステムのタイプに応じて、本明細書に開示されるプロセスのいずれかを制御するようにプログラムされてもよい。そのようなプロセスとしては、処理ガスの送給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体送給設定、位置および動作設定、特定のシステムに接続または連動するツールおよび他の移送ツールに対するウエハの搬入と搬出、および/またはロードロックに対するウエハの搬入と搬出が含まれる。
広義には、システムコントローラ630は、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、論理、メモリ、および/またはソフトウェアを有する電子機器として定義されてもよい。集積回路は、プログラム命令を記憶するファームウェアの形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されたチップ、および/または1つまたは複数のマイクロプロセッサ、すなわちプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでもよい。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形式でコントローラに通信される命令であって、特定のプロセスを半導体ウエハ上で、または半導体ウエハ用に、またはシステムに対して実行するための動作パラメータを定義してもよい。動作パラメータは、いくつかの実施形態では、1つまたは複数の層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、および/またはウエハダイの製作または除去における1つまたは複数の処理ステップを実現するためプロセスエンジニアによって定義されるレシピの一部であってもよい。
システムコントローラ630は、いくつかの実施態様では、システムと統合または結合されるか、他の方法でシステムにネットワーク接続されるコンピュータの一部であってもよく、またはそのようなコンピュータに結合されてもよく、またはそれらの組み合わせであってもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ファブホストコンピュータシステムのすべてもしくは一部であってもよい。これにより、ウエハ処理のリモートアクセスが可能となる。コンピュータは、システムへのリモートアクセスを可能にして、製作動作の現在の進捗状況を監視し、過去の製作動作の履歴を検討し、複数の製作動作から傾向または性能基準を検討し、現在の処理のパラメータを変更し、現在の処理に続く処理ステップを設定するか、または新しいプロセスを開始してもよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ネットワークを通じてプロセスレシピをシステムに提供することができる。そのようなネットワークは、ローカルネットワークまたはインターネットを含んでいてもよい。リモートコンピュータは、パラメータおよび/または設定のエントリまたはプログラミングを可能にするユーザインターフェースを含んでもよく、そのようなパラメータおよび/または設定は、その後リモートコンピュータからシステムに通信される。いくつかの例では、システムコントローラ630は命令をデータの形式で受信する。そのようなデータは、1つまたは複数の動作中に実施される各処理ステップのためのパラメータを特定するものである。パラメータは、実施されるプロセスのタイプ、およびコントローラが連動または制御するように構成されるツールのタイプに特有のものであってもよいことを理解されたい。したがって、上述したように、システムコントローラ630は、例えば、互いにネットワーク接続され共通の目的(本明細書で説明されるプロセスおよび制御など)に向けて協働する1つまたは複数の個別のコントローラを含むことによって分散されてもよい。このような目的のための分散型コントローラの例として、チャンバ上の1つまたは複数の集積回路であって、(例えば、プラットフォームレベルで、またはリモートコンピュータの一部として)遠隔配置されておりチャンバにおけるプロセスを制御するよう組み合わせられる1つまたは複数の集積回路と通信するものが挙げられるであろう。
例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、ALDチャンバまたはモジュール、ALEチャンバまたはモジュール、イオン注入チャンバまたはモジュール、追跡チャンバまたはモジュール、EUVリソグラフィチャンバ(スキャナ)またはモジュール、乾式現像チャンバまたはモジュール、ならびに半導体ウエハの製作および/または製造に関連するか使用されてもよい任意の他の半導体処理システムを含むことができるが、これらに限定されない。
上述のように、ツールによって実施される1つまたは複数のプロセスステップに応じて、コントローラは、1つまたは複数の他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、または半導体製造工場内のツール場所および/もしくはロードポートに対してウエハの容器を搬入および搬出する材料搬送に使用されるツールと通信してもよい。
EUVLパターニングは、多くの場合スキャナと呼ばれる任意の適切なツール、例えばオランダ国ベルドホーフェンのASMLによって供給されるTWINSCAN NXE:3300B(登録商標)プラットフォームを使用して行うことができる。EUVLパターニングツールは、本明細書で説明される堆積およびエッチングのためにそこから基板を出し入れするスタンドアロンデバイスであってもよい。または、以下で説明するように、EUVLパターニングツールは、より大きなマルチ構成要素ツール上のモジュールであってもよい。図7は、本明細書に記載のプロセスの実施に適した、真空移送モジュールとインターフェースする真空統合堆積、EUVパターニング、および乾式現像/エッチングモジュールを有する半導体プロセスクラスタツールアーキテクチャを図示する。プロセスはそのような真空統合装置なしで行うことができるが、そのような装置は、いくつかの実施態様において有利であり得る。
図7は、本明細書に記載のプロセスの実施に適した、真空移送モジュールとインターフェースする真空統合堆積およびパターニングモジュールを有する半導体プロセスクラスタツールアーキテクチャを図示する。複数の保管施設および処理モジュールの間でウエハを「移送」するための移送モジュールの配置は、「クラスタツールアーキテクチャ」システムと呼ばれることがある。特定のプロセスの要件に従って、堆積およびパターニングモジュールが真空統合される。エッチング用などの他のモジュールもまた、クラスタに含めることができる。
真空搬送モジュール(VTM)738は、4つの処理モジュール720a~720dとインターフェースし、様々な製作プロセスを実施するために個々に最適化することができる。一例として、処理モジュール720a~720dは、堆積、蒸着、ELD、乾式現像、エッチング、ストリップ、および/または他の半導体プロセスを実施するように実装され得る。例えば、モジュール720aは、カリフォルニア州フリーモントのLam Research社から入手可能なVectorツールなど、本明細書に記載の非プラズマ熱原子層堆積を実施するように動作され得るALDリアクタであってもよい。そしてモジュール720bは、Lam Vector(登録商標)などのPECVDツールであってもよい。図は、必ずしも一定の縮尺で描かれているわけではないことを理解されたい。
ロードロックまたは移送モジュールとしても知られるエアロック742および746は、VTM738およびパターニングモジュール740とインターフェースする。例えば、上述のように、適切なパターニングモジュールは、オランダ国ベルドホーフェンのASMLによって供給されるTWINSCAN NXE:3300B(登録商標)プラットフォームであり得る。このツールアーキテクチャは、露光前に反応しないように、半導体基板またはウエハなどのワークピースを真空下で移送することを可能にする。堆積モジュールとリソグラフィツールの統合は、H2O、O2などの周囲ガスによる入射光子の強い光吸収を考慮して、EUVLも大幅に低減された圧力を必要とするという事実によって促進される。
上述のように、この統合されたアーキテクチャは、説明されたプロセスを実施するためのツールの可能な実施形態の1つにすぎない。プロセスはまた、より従来型のスタンドアロンEUVLスキャナを用いて、およびモジュールとして、例えば図7を参照して説明されているが統合されたパターニングモジュールがない、スタンドアロンであるか、エッチング、ストリップなどの他のツール(例えば、Lam KiyoまたはGammaツール)とクラスタアーキテクチャに統合されている、Lam Vectorツールなどの堆積リアクタを用いて実施することができる。
エアロック742は、堆積モジュール720aを機能させるVTM738からパターニングモジュール740への基板の移送を指す、「搬出」ロードロックであり得、エアロック746は、パターニングモジュール740からVTM738に戻る基板の移送を指す、「搬入」ロードロックであってもよい。搬入ロードロック746はまた、基板のアクセスおよび出口のためのツールの外部へのインターフェースを提供することができる。各プロセスモジュールは、モジュールをVTM738にインターフェースさせるファセットを有する。例えば、堆積プロセスモジュール720aは、ファセット736を有する。各ファセット内では、センサ、例えば図示のセンサ1~18を使用して、それぞれのステーション間を移動する際にウエハ726の通過を検出する。パターニングモジュール740ならびにエアロック742および746は、図示されていない追加のファセットおよびセンサを同様に備えることができる。
主VTMロボット722は、エアロック742および746を含むモジュール間でウエハ726を移送する。一実施形態では、ロボット722は1つのアームを有し、別の実施形態では、ロボット722は2つのアームを有し、各アームは、搬送のためにウエハ726などのウエハを持ち上げるエンドエフェクタ724を有する。フロントエンドロボット744は、その中において、ウエハ726を搬出エアロック742からパターニングモジュール740に、パターニングモジュール740から搬入エアロック746に移送するために使用される。フロントエンドロボット744はまた、基板のアクセスおよび出口のために、搬入ロードロックとツールの外部との間でウエハ726を搬送することができる。搬入エアロックモジュール746が大気圧と真空との間の環境を適合させる能力を有するので、ウエハ726は、損傷を受けることなく2つの圧力環境の間を移動することができる。
EUVLツールは、典型的には、堆積ツールよりも高い真空で動作することに留意されたい。この場合、パターニングツールに入る前に基板の脱ガスを可能にするために、堆積ツールとEUVLツールとの間の移送中に基板の真空環境を増加させることが望ましい。搬出エアロック742は、一定期間にわたってパターニングモジュール740内の圧力よりも高くない低圧で移送されたウエハを保持し、かつオフガスを排出することによってこの機能を提供することができ、それによりパターニングツール740の光学系が基板からのオフガスによって汚染されなくなる。排出オフガスエアロックに対して適切な圧力は、1E-8Torr以下である。
いくつかの実施形態では、システムコントローラ750(1つまたは複数の物理的または論理的コントローラを含むことができる)が、クラスタツールおよび/またはその別々のモジュールの動作の一部またはすべてを制御する。コントローラは、クラスタアーキテクチャに対してローカルであり得るか、または製造フロアのクラスタアーキテクチャの外部に位置し得るか、または遠隔地に位置し、ネットワークを介してクラスタアーキテクチャに接続され得ることに留意されたい。システムコントローラ750は、1つまたは複数のメモリデバイスと、1つまたは複数のプロセッサとを含むことができる。プロセッサは、中央処理装置(CPU)またはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッピングモータコントローラボード、および他の同様の構成要素を含み得る。適切な制御動作を実施するための命令が、プロセッサ上で実行される。これらの命令は、コントローラに関連付けられたメモリデバイスに記憶することができ、またはネットワークを介して提供することができる。特定の実施形態では、システムコントローラは、システム制御ソフトウェアを実行する。
システム制御ソフトウェアは、適用のタイミングおよび/またはツールもしくはモジュール動作の任意の態様の大きさを制御するための命令を含むことができる。システム制御ソフトウェアは、任意の適切な方法で構成され得る。例えば、様々なプロセスツール構成要素サブルーチンまたは制御オブジェクトは、様々なプロセスツールプロセスを実行するのに必要なプロセスツール構成要素の動作を制御するために書かれてもよい。システム制御ソフトウェアは、任意の適切なコンピュータ可読プログラミング言語でコード化され得る。いくつかの実施形態では、システム制御ソフトウェアは、上述の様々なパラメータを制御するための入出力制御(IOC)シーケンス命令を含む。例えば、半導体製作プロセスの各段階は、システムコントローラによって実行される1つまたは複数の命令を含み得る。例えば、凝縮、堆積、蒸着、パターニング、および/またはエッチング段階に対するプロセス条件を設定するための命令は、対応するレシピ段階に含まれてもよい。
様々な実施形態において、ネガパターンマスクを形成するための装置が提供される。装置は、パターニング、堆積、およびエッチングのための処理チャンバと、ネガパターンマスクを形成するための命令を含むコントローラとを含むことができる。命令は、処理チャンバにおいて、EUV露光によって半導体基板上の化学増幅(CAR)レジスト内のフィーチャをパターニングして基板の表面を露出させ、フォトパターニングされたレジストを乾式現像し、マスクとしてパターニングされたレジストを使用して下層または層スタックをエッチングするためのコードを含むことができる。
ウエハの移動を制御するコンピュータは、クラスタアーキテクチャに対してローカルであり得るか、または製造フロアのクラスタアーキテクチャの外部に位置し得るか、または遠隔地に位置し、ネットワークを介してクラスタアーキテクチャに接続され得ることに留意されたい。
結論
前述の実施形態は、明確な理解のために多少詳しく説明されてきたが、一定の変更および修正が添付の特許請求の範囲の範囲内で実践されてもよいことは明らかであろう。本明細書に開示された実施形態は、これらの具体的な詳細の一部または全部なしで実践することができる。他の例では、開示された実施形態を不必要に曖昧にしないように、周知のプロセス動作は詳細に説明されていない。さらに、開示された実施形態は、特定の実施形態と併せて説明されるが、特定の実施形態は、開示された実施形態を限定することを意図するものではないことが理解されるであろう。本実施形態のプロセス、システム、および装置の実施には多くの別の方法があることに留意されたい。したがって、本実施形態は、限定ではなく例示と見なされるべきであり、それらの実施形態は本明細書に述べられる詳細に限定されるべきではない。
前述の実施形態は、明確な理解のために多少詳しく説明されてきたが、一定の変更および修正が添付の特許請求の範囲の範囲内で実践されてもよいことは明らかであろう。本明細書に開示された実施形態は、これらの具体的な詳細の一部または全部なしで実践することができる。他の例では、開示された実施形態を不必要に曖昧にしないように、周知のプロセス動作は詳細に説明されていない。さらに、開示された実施形態は、特定の実施形態と併せて説明されるが、特定の実施形態は、開示された実施形態を限定することを意図するものではないことが理解されるであろう。本実施形態のプロセス、システム、および装置の実施には多くの別の方法があることに留意されたい。したがって、本実施形態は、限定ではなく例示と見なされるべきであり、それらの実施形態は本明細書に述べられる詳細に限定されるべきではない。
Claims (38)
- 有機金属材料と、ベリリウム(Be)、ホウ素(B)、マグネシウム(Mg)、アルミニウム(Al)、スカンジウム(Sc)、キセノン(Xe)、およびそれらの組み合わせからなる群から選択されるドーパントとを含む、パターニング放射線感受性組成物。
- 請求項1に記載の組成物であって、
前記有機金属材料は、有機スズ-オキシケージを含み、前記ドーパントは、前記ケージ内の内包ドーパントとしてのXeである、組成物。 - 請求項2に記載の組成物であって、
前記ケージ内に約1~8個のXe原子をさらに含む、組成物。 - 請求項1に記載の組成物であって、
前記有機金属材料は、有機スズ酸化物を含み、前記ドーパントは、Be、B、Mg、Al、またはScである、組成物。 - 請求項4に記載の組成物であって、
前記ドーパントは、酸素ブリッジ(-O-)を介して前記有機金属材料内の金属原子に結合される、組成物。 - 請求項1~5に記載の組成物であって、
前記パターニング放射線感受性組成物は、極紫外線(EUV)感受性膜を含む、組成物。 - レジストを用いる方法であって、
レジスト膜を設けるために基板の表面上に有機金属前駆体を堆積し、
前記レジスト膜をドーパント前駆体に曝露し、それによってドープ膜を設けることを備え、前記ドーパント前駆体は、高い二次電子収量によって特徴付けられるキセノン(Xe)または元素を含む、方法。 - 請求項7に記載の方法であって、
前記レジスト膜または前記ドープ膜は、極紫外線(EUV)感受性膜を備える、方法。 - 請求項7に記載の方法であって、
前記レジスト膜は、有機スズオキシ、有機スズ酸化物、または有機スズ酸化水酸化物を含む、方法。 - 請求項7に記載の方法であって、
前記ドーパント前駆体は、Xeを含む、方法。 - 請求項10に記載の方法であって、
前記ドープ膜は、有機スズ-オキシケージと、前記ケージ内の内包ドーパントとしてのXeとを含む、方法。 - 請求項10に記載の方法であって、
前記曝露することは、約1~200気圧の圧力および/または約30~150℃の温度でのXe含有ガスへの曝露を備える、方法。 - 請求項12に記載の方法であって、
前記曝露は、約30秒~約4時間の期間である、方法。 - 請求項7に記載の方法であって、
前記ドーパント前駆体は、ベリリウム(Be)、ホウ素(B)、マグネシウム(Mg)、アルミニウム(Al)、スカンジウム(Sc)、およびそれらの組み合わせからなる群から選択される元素を含む、方法。 - 請求項14に記載の方法であって、
前記ドーパントは、酸素ブリッジ(-O-)を介して前記有機金属材料内の金属原子に結合される、方法。 - 請求項7に記載の方法であって、
前記ドーパント前駆体は、式(II):
XaZb(II)
を有する構造を備え、
各Xは、独立して、高い二次電子収量によって特徴付けられる前記元素であり、
各Zは、独立して、H、ハロ、任意選択で置換されたアルキル、任意選択で置換されたアリール、任意選択で置換されたアミノ、任意選択で置換されたビス(トリアルキルシリル)アミノ、任意選択で置換されたトリアルキルシリル、任意選択で置換されたアルコキシ、または配位子であり、
a≧1、およびb≧2である、
方法。 - 請求項16に記載の方法であって、
前記ドーパント前駆体は、ハロゲン化ベリリウム、有機ベリリウム、ビス(ジアルキル)アミノベリリウム、ベリリウムβ-ケトネート、ボランまたはその錯体、ハロゲン化ホウ素、有機ボラン、アルキルボレート、トリス(ジアルキルアミノ)ボラン、有機マグネシウム、ビス(シクロペンタジエニル)マグネシウムまたはその置換形態、マグネシウムβ-ケトネート、マグネシウムβ-アミジナート、ハロゲン化アルミニウム、有機アルミニウム、アルミニウムアルコキシド、アルミニウムβ-ケトネート、トリス(ジアルキルアミド)アルミニウム、アルコキシ含有アルミニウム前駆体、アミノ含有アルミニウム前駆体、有機スカンジウム、スカンジウムβ-ケトネート、スカンジウムβ-アミジナート、またはトリアルキルシリルアミド含有スカンジウム化合物を含む、方法。 - 請求項14に記載の方法であって、
前記ドープ膜は、約1~20原子%の前記元素を含む、方法。 - 請求項14に記載の方法であって、
前記堆積すること、および前記曝露することは、同時に行われる、方法。 - 請求項19に記載の方法であって、
前記堆積すること、および前記曝露することは、
前記有機金属前駆体、任意選択の逆反応剤、および前記ドーパント前駆体を、前記基板を設けるように構成されているチャンバに同時送給し、それによって前記ドープ膜を形成すること
を備える、方法。 - 請求項14に記載の方法であって、
前記堆積すること、および前記曝露することは、交互のサイクルで行われる、方法。 - 請求項21に記載の方法であって、
前記堆積すること、および前記曝露することは、
任意選択の逆反応剤と共に前記有機金属前駆体を、前記基板を設けるように構成されているチャンバに送給し、
前記チャンバをパージして前記有機金属前駆体および/または前記任意選択の逆反応剤を除去し、
前記ドーパント前駆体を前記チャンバに送給し、それによって前記ドープ膜を形成することを備え、前記有機金属前駆体を前記送給すること、前記パージすること、および前記ドーパント前駆体を前記送給することは、1回以上実施され得る、方法。 - 請求項14に記載の方法であって、
前記ドープ膜は、勾配膜またはナノラミネートを含む、方法。 - 請求項7に記載の方法であって、
前記有機金属前駆体は、式(I):
MaRbLc(I)、
を有する構造を備え、
Mは、金属であり、
各Rは、独立して、ハロ、任意選択で置換されたアルキル、任意選択で置換されたアリール、任意選択で置換されたアミノ、任意選択で置換されたアルコキシ、またはLであり、
各Lは、独立して、逆反応剤と反応する配位子、イオン、または他の部分であり、RおよびLは、Mと一緒になって、任意選択でヘテロシクリル基を形成することができ、またはRおよびLは、一緒になって、任意選択でヘテロシクリル基を形成することができ、
a≧1、b≧1、およびc≧1である、
方法。 - 請求項24に記載の方法であって、
各Rは、Lであり、および/またはMは、スズ(Sn)である、方法。 - 請求項24に記載の方法であって、
各Lは、独立して、H、ハロ、任意選択で置換されたアルキル、任意選択で置換されたアリール、任意選択で置換されたアミノ、任意選択で置換されたビス(トリアルキルシリル)アミノ、任意選択で置換されたトリアルキルシリル、または任意選択で置換されたアルコキシである、方法。 - 請求項7に記載の方法であって、
前記堆積することは、蒸気形態で前記有機金属前駆体を供給することを備える、方法。 - 請求項7に記載の方法であって、
前記堆積することは、逆反応剤を供給することをさらに備える、方法。 - 請求項28に記載の方法であって、
前記逆反応剤は、酸素またはカルコゲニド前駆体を含む、方法。 - 請求項7に記載の方法であって、
パターニングされた放射線に露光することによって前記ドープ膜をパターニングし、それによって放射線露光領域および放射線非露光領域を有する露光膜を設け、
前記露光膜を現像し、それによって前記放射線露光領域を除去してポジ型レジスト膜内にパターンを設けるか、または前記放射線非露光領域を除去してネガ型レジスト内にパターンを設けること
をさらに備える、方法。 - 請求項30に記載の方法であって、
前記パターニングすることは、真空雰囲気中で約10nm~約20nmの範囲の波長を有するEUV露光を備える、方法。 - 請求項30に記載の方法であって、
前記現像することは、湿式現像または乾式現像を含む、方法。 - レジスト膜を形成するための装置であって、
レジスト膜を堆積するためのチャンバを備える堆積モジュールと、
1つまたは複数のメモリデバイス、1つまたは複数のプロセッサ、および、システム制御ソフトウェアを含むコントローラ、を備え、前記システム制御ソフトウェアは、
前記堆積モジュールにおいて、半導体基板の上面に有機金属前駆体を堆積させて前記レジスト膜を形成させ、
前記堆積モジュールにおいて、前記レジスト膜をドーパント前駆体に曝露させ、それによってドープ膜を設けさせるための機械可読命令を含む命令でコード化され、前記ドーパント前駆体は、キセノン(Xe)、または高い二次電子収量によって特徴付けられる元素を含み、前記堆積させること、および前記曝露させることは、同時にまたは連続して行うことができる
ている、装置。 - 請求項33に記載の装置であって、
前記レジスト膜または前記ドープ膜は、極紫外線(EUV)感受性膜を備える、装置。 - 請求項33に記載の装置であって、
300nm未満の波長の放射線源を有するフォトリソグラフィツールを備えるパターニングモジュールであって、機械可読命令を含む前記命令は、
前記パターニングモジュールにおいて、パターニング放射線露光によって直接300nm未満の解像度で前記ドープ膜をパターニングさせ、それによって放射線露光領域および放射線非露光領域を有する露光膜を形成させる
ための命令をさらに備えるパターニングモジュール
をさらに備える、装置。 - 請求項35に記載の装置であって、
前記フォトリソグラフィツール用の前記源は、30nm未満の波長の放射線源である、装置。 - 請求項36に記載の装置であって、
機械可読命令を含む前記命令は、
前記パターニングモジュールにおいて、EUV露光によって直接30nm未満の解像度で前記レジスト膜をパターニングさせ、それによってEUV露光領域およびEUV非露光領域を有する前記露光膜を形成させる
ための命令をさらに備える、装置。 - 請求項35に記載の装置であって、
前記レジスト膜を現像するためのチャンバを備える現像モジュールであって、機械可読命令を含む前記命令は、
前記現像モジュールにおいて、前記露光膜の現像により前記放射線露光領域または前記放射線非露光領域を除去させ、前記レジスト膜内にパターンを設けさせる
ための命令をさらに備える現像モジュール
をさらに備える、装置。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202163200082P | 2021-02-12 | 2021-02-12 | |
US63/200,082 | 2021-02-12 | ||
PCT/US2022/014984 WO2022173632A1 (en) | 2021-02-12 | 2022-02-02 | Quantum efficient photoresists and methods thereof |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2024506160A true JP2024506160A (ja) | 2024-02-09 |
Family
ID=82837975
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2023547536A Pending JP2024506160A (ja) | 2021-02-12 | 2022-02-02 | 量子効率の良いフォトレジストおよびその方法 |
Country Status (4)
Country | Link |
---|---|
JP (1) | JP2024506160A (ja) |
KR (1) | KR20230146029A (ja) |
TW (1) | TW202246893A (ja) |
WO (1) | WO2022173632A1 (ja) |
Family Cites Families (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4824763A (en) * | 1987-07-30 | 1989-04-25 | Ekc Technology, Inc. | Triamine positive photoresist stripping composition and prebaking process |
US9048294B2 (en) * | 2012-04-13 | 2015-06-02 | Applied Materials, Inc. | Methods for depositing manganese and manganese nitrides |
JP6805244B2 (ja) * | 2015-10-13 | 2020-12-23 | インプリア・コーポレイションInpria Corporation | 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成 |
US10845704B2 (en) * | 2018-10-30 | 2020-11-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance |
-
2022
- 2022-02-02 WO PCT/US2022/014984 patent/WO2022173632A1/en active Application Filing
- 2022-02-02 KR KR1020237028523A patent/KR20230146029A/ko unknown
- 2022-02-02 JP JP2023547536A patent/JP2024506160A/ja active Pending
- 2022-02-09 TW TW111104645A patent/TW202246893A/zh unknown
Also Published As
Publication number | Publication date |
---|---|
WO2022173632A1 (en) | 2022-08-18 |
KR20230146029A (ko) | 2023-10-18 |
TW202246893A (zh) | 2022-12-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR20220076498A (ko) | Cvd euv 레지스트 막들의 포지티브 톤 현상 (positive tone development) | |
US20230259025A1 (en) | Dry deposited photoresists with organic co-reactants | |
US20230152701A1 (en) | Structure and method to achieve positive tone dry develop by a hermetic overlayer | |
US20220365434A1 (en) | Substrate surface modification with high euv absorbers for high performance euv photoresists | |
US20230266664A1 (en) | Photoresists from sn(ii) precursors | |
US20230266670A1 (en) | Metal chelators for development of metal-containing photoresist | |
US20230314946A1 (en) | Method of forming photo-sensitive hybrid films | |
US20230288798A1 (en) | Photoresists containing tantalum | |
JP2024507190A (ja) | ハロゲンおよび脂肪族含有有機スズフォトレジストおよびその方法 | |
JP2024506160A (ja) | 量子効率の良いフォトレジストおよびその方法 | |
US20240231224A9 (en) | Halogen-and aliphatic-containing organotin photoresists and methods thereof | |
US20240192590A1 (en) | Apparatus and process for euv dry resist sensitization by gas phase infusion of a sensitizer | |
TW202413382A (zh) | Euv乾式光阻沉積的錫前驅物 | |
WO2023245047A1 (en) | Tin precursors for deposition of euv dry resist | |
TW202340858A (zh) | 混合有機錫氧化物光阻的顯影 | |
WO2023115023A1 (en) | Development strategy for high-absorbing metal-containing photoresists |