KR20220076498A - Cvd euv 레지스트 막들의 포지티브 톤 현상 (positive tone development) - Google Patents

Cvd euv 레지스트 막들의 포지티브 톤 현상 (positive tone development) Download PDF

Info

Publication number
KR20220076498A
KR20220076498A KR1020227014896A KR20227014896A KR20220076498A KR 20220076498 A KR20220076498 A KR 20220076498A KR 1020227014896 A KR1020227014896 A KR 1020227014896A KR 20227014896 A KR20227014896 A KR 20227014896A KR 20220076498 A KR20220076498 A KR 20220076498A
Authority
KR
South Korea
Prior art keywords
optionally substituted
film
radiation
euv
metal
Prior art date
Application number
KR1020227014896A
Other languages
English (en)
Inventor
티모시 윌리엄 와이드먼
케이티 린 나르디
드라이스 딕투스
벤자민 캄
챙하오 우
에릭 캘빈 한센
니잔 케난
케빈 리 구
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220076498A publication Critical patent/KR20220076498A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

본 개시는 경화된 레지스트 막을 제공하기 위한 방사선-감응 막의 도포-후 처리에 관한 것이다. 일부 예들에서, 이러한 막들은 포지티브 톤 습식 현상 프로세스에 의해 패턴을 형성하도록 사용될 수 있다.

Description

CVD EUV 레지스트 막들의 포지티브 톤 현상 (POSITIVE TONE DEVELOPMENT)
본 개시 (disclosure) 는 일반적으로 반도체 프로세싱의 분야에 관한 것이다. 특정한 양태들에서, 본 개시는 (예를 들어, CVD (chemical vapor deposition) 에 의한), 방사선-감응 막들의 포지티브 톤 현상 (positive tone development) 을 기술한다.
반도체 제조가 계속해서 발전함에 따라, 피처 사이즈들은 계속해서 축소되고, 새로운 프로세싱 방법들이 필요하다. 발전들이 이루어지는 일 영역은 패터닝의 맥락에서 이루어지고, 예를 들어 리소그래피 방사선에 감응성인 포토레지스트 재료들을 사용하는 것이다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시하기 위한 것이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
참조로서 인용
PCT 신청 양식은 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 인용되었다.
본 명세서의 다양한 실시 예들은 기판 상에 포토레지스트 재료를 증착하기 위한 방법들, 재료들, 장치, 및 시스템들에 관한 것이다.
제 1 양태에서, 본 개시는: 패턴을 수용하도록 기판을 제공하는 단계; 기판의 표면 상에 방사선-감응 (radiation-sensitive) 레지스트 막을 도포하는 단계; 방사선-감응 레지스트 막의 도포-후 소성 (post-application bake; PAB) 또는 도포-후 처리를 수행하여, 경화된 레지스트 막을 제공하는 단계; 경화된 레지스트 막을 패터닝 방사선 소스에 노출시켜, 노출된 레지스트 막을 제공하는 단계; 및 포지티브 톤 습식 현상 프로세스에 의해 패턴을 형성하도록 노출된 레지스트 막을 현상하는 단계를 포함하는 방법을 포괄한다.
일부 실시 예들에서, 막은 EUV (Extreme Ultraviolet)-감응 막을 포함한다. 다른 실시 예들에서, 막은 요오드 (I), 인듐 (In), 주석 (Sn), 비스무트 (Bi), 안티몬 (Sb), 텔루륨 (Te), 이들의 옥사이드, 이들의 합금, 또는 이들의 조합을 포함한다. 특정한 실시 예들에서, 막은 고 패터닝 방사선-흡수 단면적 (absorption cross-section) 을 갖는 제 1 원소 및 패터닝 방사선에 대한 노출 하에 절단 가능한 모이어티 (moiety) 를 포함한다. 일부 실시 예들에서, 패터닝 방사선 소스는 EUV 방사선 소스이다.
일부 실시 예들에서, 상기 수행하는 단계는 금속-산소-금속 결합들의 함량을 증가시키고 그리고/또는 금속-하이드록실 결합들의 함량을 감소시킴으로써 방사선-감응 레지스트 막을 응결하는 단계를 포함한다.
일부 실시 예들에서, 상기 도포하는 단계는 건식 증착 프로세스를 포함한다. 다른 실시 예에서, 상기 도포하는 단계는 하나 이상의 전구체들의 스퍼터링, 물리적 기상 증착 (physical vapor deposition; PVD), 화학적 기상 증착 (chemical vapor deposition; CVD), 원자 층 증착 (atomic layer deposition; ALD), 플라즈마-기반 증착, 열-유도 분해 (thermal-induced decomposition), 또는 플라즈마-유도 분해에 의한 증착을 포함한다.
일부 실시 예들에서, 상기 수행하는 단계는 산소-함유 가스의 부재시 약 10 초 내지 5 분 동안 약 190 ℃ 내지 약 350 ℃의 온도에서 방사선-감응 레지스트 막을 가열하는 단계를 포함한다. 다른 실시 예들에서, 상기 수행하는 단계는 상기 가열 후, 이산화탄소 (CO2) 의 존재시 저온에서 방사선-감응 레지스트 막을 냉각하는 단계를 더 포함한다. 또 다른 실시 예들에서, 상기 수행하는 단계는 상기 가열 후: 약 10 초 내지 5 분의 시간 기간 동안 약 0 ℃ 내지 약 350 ℃ (예를 들어, 20 ℃ 내지 350 ℃ 또는 23 ℃ 내지 350 ℃) 의 온도에서 진공, 불활성 가스, 또는 CO2에 방사선-감응 레지스트 막을 노출하는 단계를 더 포함한다.
일부 실시 예들에서, 상기 수행하는 단계는: 약 10 초 내지 5 분의 시간 기간 동안 약 0 ℃ 내지 약 350 ℃ (예를 들어, 20 ℃ 내지 350 ℃ 또는 23 ℃ 내지 350 ℃) 의 온도에서 방사선-감응 레지스트 막을 진공, 불활성 가스, 또는 CO2에 노출시키는 단계를 포함한다. 다른 실시 예들에서, 상기 수행하는 단계는: 불활성 가스 또는 CO2의 존재시 방사선-감응 레지스트 막을 가열하거나 냉각하는 단계를 포함한다.
일부 실시 예들에서, 방법은 (예를 들어, 상기 노출 후): 노출된 레지스트 막을 산소-함유제로 처리하는 단계를 더 포함한다. 비제한적인 산소-함유제들은 산소 (O2), 오존 (O3), 또는 과산화수소 (H2O2) 를 포함한다.
일부 실시 예들에서, 방법은 (예를 들어, 상기 노출 후): 노출된 레지스트 막을 불활성 분위기 또는 진공 하에 저장하는 단계를 더 포함한다.
일부 실시 예들에서, 상기 현상하는 단계는 알칼리 현상액, 산성 현상액, 및 탈보호 용매 (deprotecting solvent) 로 구성된 그룹으로부터 선택된 현상액의 사용을 포함한다. 비제한적인 현상액들은 4 차 알킬암모늄 하이드록사이드, 테트라메틸암모늄 하이드록사이드 (TMAH), 콜린 (choline), 할라이드, 수소 클로라이드 (HCl), 수소 플루오라이드 (HF), 유기산, 포름산, 아세트산, 옥살산, 또는 시트르산을 포함한다. 다른 실시 예들에서, 현상액은 0.5 wt.% 내지 10 wt.% 용액이고 선택 가능하게 산화제, 비이온성 계면 활성제, 염, 및/또는 킬레이트제이다.
일부 실시 예들에서, 상기 노출하는 단계는: 방사선-감응 레지스트 막을 패터닝된 방사선 노출에 노출하여, 방사선 노출 영역 및 방사선 비노출 영역을 갖는 노출된 레지스트 막을 제공하는 단계를 포함한다.
다른 실시 예들에서, 상기 현상하는 단계는 패턴을 제공하기 위해 방사선 노출 영역을 제거하는 단계를 포함하고, 방사선 비노출 영역은 카보네이트 종을 포함한다.
제 2 양태에서, 본 개시는 기판을 프로세싱하기 위한 장치를 포괄하고, 장치는: (a) 하나 이상의 프로세스 챔버들로서, 프로세스 챔버 각각은 척 또는 페데스탈을 포함하는, 프로세스 챔버들; 및 (b) 적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하고, 제어기는 본 명세서에 기술된 임의의 방법을 유발하도록 구성된다.
일 실시 예에서, 프로세스 챔버 각각은 페데스탈을 포함한다. 다른 실시 예들에서, 장치는 프로세스 챔버들 및 연관된 플로우-제어 하드웨어 내로의 하나 이상의 가스 유입구들; 및 프로세스 챔버 및 연관된 플로우-제어 하드웨어로부터 재료들을 제거하기 위한 하나 이상의 가스 유출구들을 포함한다.
특정한 실시 예들에서, 적어도 하나의 프로세서 및 메모리는 서로 통신 가능하게 연결되고, 적어도 하나의 프로세서는 적어도 플로우 제어 하드웨어와 동작 가능하게 연결된다. 다른 실시 예들에서, 메모리는 적어도 상기 플로우 제어 하드웨어를 제어하도록 상기 적어도 하나의 프로세서를 제어하고 본 명세서에 기술된 임의의 방법을 유발하기 위한 컴퓨터 실행 가능 인스트럭션들을 저장한다.
제 3 양태에서, 장치는 증착 모듈; 패터닝 모듈; 현상 모듈; 및 하나 이상의 메모리 디바이스들, 하나 이상의 프로세서들, 및 머신 판독 가능 인스트럭션들을 포함하는 인스트럭션들로 코딩된 시스템 제어 소프트웨어를 포함하는 제어기를 포함한다.
일부 실시 예들에서, 증착 모듈은 방사선-감응 막 (예를 들어, EUV-감응 막) 을 증착하기 위한 챔버를 포함한다. 다른 실시 예들에서, 패터닝 모듈은 300 ㎚ 이하 (sub-300 ㎚) 파장 방사선의 소스 (예를 들어, 소스가 30 ㎚ 이하 파장 방사선의 소스일 수 있음) 를 갖는 포토리소그래피 툴을 포함한다. 또 다른 실시 예들에서, 현상 모듈은 레지스트 막을 현상하기 위한 챔버를 포함한다.
특정한 실시 예들에서, 제어기 인스트럭션들은 (예를 들어, 증착 모듈에서) 막 (예를 들어, 방사선-감응 막) 을 형성하도록 기판의 상단 표면 상의 전구체의 증착을 유발하기 위한 머신-판독 가능 인스트럭션들을 포함한다. 다른 실시 예들에서, 제어기 인스트럭션들은 (예를 들어, 패터닝 모듈에서) 패터닝된 방사선 노출에 의해 직접적으로 300 ㎚ 이하 분해능 (예를 들어, 또는 30 ㎚ 이하 분해능) 으로 막의 패터닝을 유발하여, 방사선 노출 영역들 및 방사선 비노출 영역들을 갖는 노출된 막을 형성하기 위한 머신 판독 가능 인스트럭션들을 포함한다. 또 다른 실시 예들에서, 노출된 막은 EUV 노출 영역들 및 EUV 비노출 영역들을 갖는다. 특정한 실시 예들에서, 제어기 인스트럭션들은 (예를 들어, 현상 모듈에서) 레지스트 막 내에 패턴을 제공하기 위해 방사선 노출 영역들 또는 방사선 비노출 영역들을 제거하도록 노출된 막의 현상을 유발하기 위한 머신-판독 가능 인스트럭션들을 포함한다. 다른 특정한 실시 예들에서, 머신 판독 가능 인스트럭션들은 EUV 노출 영역들 또는 EUV 비노출 영역들의 제거를 유발하기 위한 인스트럭션들을 포함한다.
일부 실시 예들에서, 증착을 유발하기 위한 머신 판독 가능 인스트럭션들은: 고 패터닝 방사선-흡수 단면적을 갖는 원소의 증착을 유발하기 위한 인스트럭션들을 더 포함한다. 특정한 실시 예들에서, 원소는 고 EUV 흡수 단면적을 갖는다.
일부 실시 예들에서, 장치는 세정 모듈 (예를 들어, 기판 또는 막을 세정하기 위한 챔버를 포함함) 을 더 포함할 수 있다. 특정한 실시 예들에서, 제어기 인스트럭션들은 (예를 들어, 세정 모듈에서) 상기 증착 후 반도체 기판의 배면 표면 또는 베벨의 세정을 유발하고 그리고/또는 상기 증착 후 막의 에지 비드 (edge bead) 의 제거를 유발하기 위한 머신 판독 가능 인스트럭션들을 포함한다.
일부 실시 예들에서, 장치는: 도포-후 처리 모듈을 더 포함할 수 있다. 특정한 실시 예들에서, 제어기 인스트럭션들은, (예를 들어, 소성 모듈에서) 상기 증착 후 방사선-감응 레지스트 막의 PAB (post-application bake) 또는 도포-후 처리를 수행하여 경화된 레지스트 막을 제공하게 하기 위한 머신-판독 가능 인스트럭션들을 포함한다.
일부 실시 예들에서, 장치는: 소성 (bake) 모듈을 더 포함할 수 있다. 특정한 실시 예들에서, 제어기 인스트럭션들은 (예를 들어, 소성 모듈에서) 상기 증착 후 막의 소성을 유발하고 그리고/또는 상기 패터닝 후 노출된 막의 소성을 유발하기 위한 머신 판독 가능 인스트럭션들을 포함한다.
일부 실시 예들에서, 장치는: 에칭 모듈을 더 포함할 수 있다. 특정한 실시 예들에서, 제어기 인스트럭션들은 (예를 들어, 에칭 모듈에서) 상기 패터닝 후 노출된 막 (예를 들어, 막의 노출 영역 또는 비노출 영역의 제거) 및/또는 기판의 에칭 또는 제거를 유발하기 위한 머신 판독 가능 인스트럭션들을 포함한다.
본 명세서의 임의의 실시 예에서, 막은 EUV-감응 막, DUV-감응 막, UV-감응 막, 포토레지스트 막, 포토패터닝 가능 막, 또는 광 반응성 접착 막을 포함한다.
본 명세서의 임의의 실시 예에서, 막은 고 패터닝 방사선-흡수 단면적을 갖는 금속 또는 원자를 포함한다. 특정한 실시 예들에서, 금속 또는 원자는 고 EUV 흡수 단면적을 포함한다. 다른 실시 예들에서, 금속-함유 층은 주석 (Sn), 비스무트 (Bi), 텔루륨 (Te), 세슘 (Cs), 안티몬 (Sb), 인듐 (In), 몰리브덴 (Mo), 하프늄 (Hf), 요오드 (I), 지르코늄 (Zr), 철 (Fe), 코발트 (Co), 니켈 (Ni), 구리 (Cu), 아연 (Zn), 은 (Ag), 백금 (Pt) 또는 납 (Pb), 뿐만 아니라 이들의 조합들을 포함한다.
본 명세서의 임의의 실시 예에서, 전구체는 고 패터닝 방사선-흡수 단면적을 갖는 금속 또는 원자를 포함한다. 특정한 실시 예들에서, 금속 또는 원자는 고 EUV 흡수 단면적 (예를 들어, 1x107 ㎠/mol 이상) 을 포함한다. 다른 실시 예들에서, 전구체는 Sn, Bi, Te, Cs, Sb, In, Mo, Hf, I, Zr, Fe, Co, Ni, Cu, Zn, Ag, Pt, 또는 Pb, 뿐만 아니라 이들의 조합들을 포함한다. 또 다른 실시 예들에서, 전구체는 고 광 흡수 전구체이다 (예를 들어, 약 6 ㎛-1보다 큰 α를 포함하는, 고 Beer 흡수 계수 α를 가짐).
본 명세서의 임의의 실시 예에서, 상기 도포하는 단계는 하나 이상의 전구체들을 제공하는 것을 포함한다. 비제한적인 전구체들은 화학식 (I), (II), (IIa), (III), (IV), (V), (VI), (VII), 또는 (VIII) 을 갖는 구조를 포함한다.
본 명세서의 임의의 실시 예에서, 상기 도포하는 단계는 대응-반응 물질의 존재시 하나 이상의 전구체들 (예를 들어, 화학식 (I) 또는 화학식 (II) 를 갖는 구조를 포함하는 것과 같은, 본 명세서에 기술된 임의의 전구체들) 을 제공하는 단계를 포함한다. 비제한적인 대응-반응 물질들은 O2, O3, 물, 페록사이드 (peroxide), 수소 페록사이드 (hydrogen peroxide), 산소 플라즈마, 물 플라즈마, 알코올, 디하이드록시 알코올, 폴리하이드록시 알코올, 플루오르화된 디하이드록시 알코올, 플루오르화된 폴리하이드록시 알코올, 플루오르화된 글리콜, 포름산, 및 하이드록실 모이어티들 (moieties) 의 다른 소스들, 뿐만 아니라 이들의 조합들을 포함하는 산소-함유 대응-반응 물질을 포함한다. 또 다른 비제한적인 대응-반응 물질들은 화학식 ZR2를 갖는 칼코게나이드 전구체를 포함하고, 여기서: Z는 황, 셀레늄 또는 텔루륨이고; 그리고 R 각각은 독립적으로 H, 선택 가능하게 치환된 알킬 (예를 들어, 메틸, 에틸, n-프로필, 이소프로필, n-부틸, t-부틸, 등), 선택 가능하게 치환된 알케닐, 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 알콕시, 또는 선택 가능하게 치환된 트리알킬실릴이다.
본 명세서의 임의의 실시 예에서, 단일 전구체가 층 또는 막을 증착하도록 채용된다. 다른 실시 예들에서, 2 이상의 상이한 전구체들이 층을 증착하도록 채용된다.
본 명세서의 임의의 실시 예에서, 증착하는 단계는 증기 형태의 금속 전구체(들)를 제공하거나 증착하는 것을 포함한다. 다른 실시 예들에서, 증착하는 단계는 증기 형태의 하나 이상의 대응-반응 물질(들)을 제공하는 것을 포함한다. 특정한 실시 예들에서, 증착은 CVD, ALD, 또는 이들의 플라즈마-강화된 형태들을 포함한다.
본 명세서의 임의의 실시 예에서, 층의 증착 단계는 대응-반응 물질을 제공하는 것을 더 포함한다. 비제한적인 대응-반응 물질은 O2, O3, 물, 페록사이드, 수소 페록사이드, 산소 플라즈마, 물 플라즈마, 알코올, 디하이드록시 알코올, 폴리하이드록시 알코올, 플루오르화된 디하이드록시 알코올, 플루오르화된 폴리하이드록시 알코올, 플루오르화된 글리콜, 포름산, 하이드록실 모이어티들의 다른 소스들, 및 ZR2 (예를 들어, 여기서 Z는 S, Se, 또는 Te이고; 그리고 R 각각은 독립적으로, H, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 알케닐, 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 알콕시, 또는 선택 가능하게 치환된 트리알킬실릴), 뿐만 아니라 이들의 조합들을 포함하는 산소-함유 대응-반응 물질 또는 칼코게나이드 전구체를 포함한다.
본 명세서의 임의의 실시 예에서, 경화된 레지스트 막은 금속-산소-금속 종, 금속 카보네이트 종, 또는 금속 옥시카보네이트 종을 포함한다.
본 명세서의 임의의 실시 예에서, 기판은 하드 마스크 및/또는 하부층을 포함한다.
본 명세서의 임의의 실시 예에서, 방사선-감응 레지스트 막은 유기 금속 옥사이드 막을 포함한다. 다른 실시 예들에서, 방사선-감응 레지스트 막은 유기 금속 옥사이드 하이드록사이드 막을 포함한다.
본 명세서의 임의의 실시 예에서, 방사선-감응 레지스트 막은 주석 (Sn), 인듐 (In), 비스무트 (Bi), 안티몬 (Sb), 텔루륨 (Te), 이들의 옥사이드, 이들의 합금, 또는 이들의 조합을 포함한다.
본 개시의 다른 특징들 및 장점들은 이하의 기술 및 청구항들로부터 자명해질 것이다.
도 1a 내지 도 1d는 (A) 비제한적인 유기 주석 옥사이드 재료를 제공하기 위해 물 (H2O) 과 비제한적인 제 1 전구체 (1); (B) 공기 중 PAB를 겪는 비제한적인 막; (C) 불활성 조건들 하에서 PAB를 겪는 또 다른 비제한적인 막; 및 (D) 이산화탄소 (CO2) 하에서 PAB를 겪는 또 다른 비제한적인 막의 반응 스킴들을 제시한다.
도 2는 레지스트 막을 제조하고 사용하기 위한 비제한적인 방법의 개략도를 제시한다.
도 3a 내지 도 3d는 레지스트 막을 제조하고 사용하기 위한 비제한적인 방법들의 개략적인 블록도를 제시한다.
도 4a 및 도 4b는 (A) 네거티브 톤 현상 프로세스 또는 (B) 포지티브 톤 현상 프로세스를 사용하여 현상된 건식 증착된 막들의 SEM (scanning electron microscopy) 이미지들을 제시한다.
도 5는 포지티브 톤 현상 프로세스를 사용하여 현상된 건식 증착된 막들의 일련의 SEM 이미지들을 제시한다.
도 6a 및 도 6b는 (A) EUV 불안정기로서 이소프로필을 갖는 주석계 전구체의 비제한적인 반응 스킴들 및 (B) 온도의 함수로서 물, 프로펜, 및 프로판의 탈착을 나타내는 질량 분광 분석을 제시한다. 물, 프로펜 및 프로판은 초고진공 (UHV) 하에서 어닐링될 때 탈착 생성물들이다.
도 7a 내지 도 7c는 (A) PAB (post-application bake) 온도의 함수로서 질소 (N2) 가스 하에서 막 수축, (B) 1 분 또는 2 분 동안 N2 하에서 (200 ℃, 250 ℃ 또는 300 ℃에서) PAB에 대한 막 수축 정도 (백분율); 및 (C) 2 분 동안 N2 하에서 PAB 처리되지 않거나 (200 ℃ 내지 290 ℃) PAB 처리된 막들의 적외선 (IR) 분광 분석과 관련된 데이터를 제시한다.
도 8a 및 도 8b는 (A) 다양한 온도들에 대해 1 분 동안 N2 하에서 PAB 및 (B) 다양한 온도들에 대해 2 분 동안 N2 하에서 PAB로 프로세싱된 샘플들에 대해 테트라메틸암모늄 하이드록사이드 (TMAH) 를 사용한 습식 현상 후 남아 있는 막을 보여주는 데이터를 제시한다.
도 9는 포지티브 톤 현상 프로세스를 사용하여 현상된 건식 증착된 막들의 또 다른 일련의 SEM 이미지들을 제공한다.
도 10은 건식 현상을 위한 프로세스 스테이션 (600) 의 실시 예의 개략적인 예시를 제시한다.
도 11은 멀티-스테이션 프로세싱 툴 (700) 의 실시 예의 개략적인 예시를 제시한다.
도 12는 유도 결합 플라즈마 장치 (800) 의 실시 예의 개략적인 예시를 제시한다.
도 13은 반도체 프로세스 클러스터 툴 아키텍처 (900) 의 실시 예의 개략적인 예시를 제시한다.
도 14는 건식 증착 장치 (1000) 의 예의 단면 개략도를 도시한다.
본 개시의 특정한 실시 예들에 대한 참조가 본 명세서에서 상세히 이루어진다. 구체적인 실시 예들의 예들은 첨부된 도면들에 예시된다. 본 개시가 이들 구체적인 실시 예들과 함께 기술될 것이지만, 이는 이러한 특정한 실시 예들로 본 개시를 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다. 반대로, 이는 본 개시의 정신 및 범위 내에 포함될 수도 있는 바와 같이 대안들, 수정들, 및 등가물들을 커버하도록 의도된다. 이하의 기술에서, 본 개시의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 본 개시는 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 본 개시를 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다.
반도체 프로세싱에서 박막들의 패터닝은 종종 반도체들의 제조에서 중요한 단계이다. 패터닝은 리소그래피 (lithography) 를 수반한다. 193 ㎚ 포토리소그래피와 같은 종래의 포토리소그래피에서, 패턴들은 광자 소스로부터 마스크 상으로 광자들을 방출하고 패턴을 감광성 포토레지스트 상에 프린팅하여, 현상 후 패턴을 형성하기 위해 포토레지스트에서 포토레지스트의 특정한 부분들을 제거하는 화학 반응을 유발함으로써 프린팅된다.
(ITRS (International Technology Roadmap for Semiconductors) 에 의해 규정된) 발전된 기술 노드들은 22 ㎚, 16 ㎚, 및 이를 넘어서는 노드들을 포함한다. 16 ㎚ 노드에서, 예를 들어, 다마신 구조체의 통상적인 비아 또는 라인의 폭은 통상적으로 약 30 ㎚보다 크지 않다. 발전된 반도체 집적 회로들 (Integrated Circuits; IC들) 및 다른 디바이스들 상의 피처들의 스케일링은 분해능을 개선하기 위해 리소그래피를 구동한다.
극자외선 (EUV) 리소그래피는 종래의 포토리소그래피 방법들로 달성될 수 있는 것보다 작은 이미징 소스 파장들로 이동함으로써 리소그래피 기술 (technology) 을 확장할 수 있다. 대략 10 내지 20 ㎚, 또는 11 내지 14 ㎚ 파장, 예를 들어 13.5 ㎚ 파장의 EUV 광원들이 또한 스캐너들로 지칭되는, 최첨단 리소그래피 툴들에 사용될 수 있다. EUV 방사선은 석영 및 수증기를 포함하는 넓은 범위의 고체 (solid) 재료 및 유체 (fluid) 재료에 강하게 흡수되고, 따라서 진공에서 동작한다.
전통적인 유기 화학 증폭 레지스트 (chemically amplified resists; CAR) 는 EUV 리소그래피에 사용될 때 몇 가지 단점들, 특히 EUV 영역에서 저 흡수 계수 및 광-활성화된 화학 종의 산 확산을 갖는다. 저 흡수 계수를 극복하기 위해, 두꺼운 CAR 막이 필요하지만 패턴 붕괴의 위험이 있다. 더욱이, 산 확산 프로세스 동안 넓은 클리어링 반경은 패터닝된 CAR 막들에서 상대적으로 높은 라인 거칠기를 야기한다. 퀀처들 (quenchers) 은 산 확산 반경을 감소시키도록 사용될 수도 있지만, 감소된 감도 (sensitivity) 를 감수한다. 이와 같이, 현재 CAR들의 리소그래피 성능은 목표된 EUV 리소그래피 성능에 도달할 수 없다.
유기 컴포넌트들 내에 혼합된 금속들 및/또는 금속 옥사이드들을 함유하는 직접 광 패터닝 가능한 EUV 레지스트들은 이들이 EUV 광자 흡수를 향상시킬 수 있고 2 차 전자들을 생성할 수 있고 그리고/또는 아래에 놓인 막 스택 및 디바이스 층들에 대해 증가 된 에칭 선택도를 나타낼 수 있다는 것을 보증한다. OR, Corvalis 소재의 Inpria Corp.로부터 입수 가능한 스핀-온 유기 금속 레지스트들은 CARs보다 실질적으로 보다 고 흡수 계수를 갖고, 여전히 우수한 에칭 내성을 제공하면서 상당히 보다 얇을 수 있다. 금속-유기계 광 패터닝 가능한 EUV 레지스트들의 건식 증착은 또한 예를 들어, 명칭이 METHODS FOR MAKING EUV PATTERNABLE HARD MASKS이고, 2019 년 5 월 9 일 출원되고, 국제 공개 번호 WO2019/217749로 공개된 본 출원인의 선행 국제 출원 PCT/US2019/031618에 기술되었고, EUV 레지스트 마스크들을 형성하기 위한 직접 광 패터닝 가능한 금속-유기계 금속 옥사이드 막들의 조성, 건식 증착 및 패터닝에 관한 이의 개시는 본 명세서에 참조로서 인용된다. 이들 건식 증착된 금속-유기계 레지스트들의 이전의 기술들은 EUV 레지스트 막들의 네거티브 톤 현상 (negative tone development) 을 수반한다.
본 개시는 방사선-감응 레지스트 막의 포지티브 톤 습식 현상을 위한 프로세스를 제공한다. 일부 실시 예들에서, 막은 건식 증착된다. 일부 예들에서, 건식 증착은 예를 들어, 유기 금속 옥사이드 광 패터닝 가능한 EUV 레지스트 막을 제공하기 위해 CVD (chemical vapor deposition) 를 포함할 수 있다. 습식 (예를 들어, 유기 용매들) 또는 건식 에칭 기법들 (예를 들어, HBr, HCl, BCl3) 을 사용하여 이들 막들을 현상하기 위한 이전의 방법들은 네거티브 톤 패턴들을 생성하였다. 이러한 막들의 포지티브 톤 현상이 이제 달성되었다.
특히, PAB (post-application bake) 또는 다른 도포-후 처리의 사용은 포지티브 현상 프로세스에서 채용되는 레지스트 막을 제공한다. 예를 들어, 도포 후 처리는 경화된 레지스트 막을 제공하도록 비노출 레지스트 막을 더 교차-결합할 수 있다. 이 경화된 막은 에칭에 보다 내성이 있는 금속-산소-금속 (M-O-M) 결합들을 포함할 수 있다. 레지스트 막이 금속 중심들에 부착된 EUV 불안정 (labile) 기들을 포함하면, EUV 노출 영역들은 보다 용이하게 에칭될 수 있는, M-H 및/또는 M-OH 결합들과 같은 절단된 모이어티들 (moieties) 을 포함할 수 있다. 이러한 방식으로, 포지티브 톤 레지스트를 제공하도록 에칭 선택도가 튜닝될 수 있다.
일 예에서, 도 1a는 레지스트 막을 증착하기 위한 가스상 (gas phase) 가수 분해, 응결, 및 중합 메커니즘의 비제한적인 개략도를 제공한다. 비제한적인 전구체 (1) 는 R (방사선-감응 모이어티 또는 방사선에 의해 절단된 불안정 모이어티) 및 L (이탈기 (leaving group)) 을 포함한다. R은 가수 분해적으로 안정하지만 EUV 불안정 Sn-C 결합에 참여하는 알킬기일 수 있다. L은 H2O와 같은 대응-반응 물질 (counter-reactant) 의 존재시 (in the presence) 쉽게 대체된 (displace) 이탈기일 수 있다. 전구체들의 추가 예들이 본 명세서에 기술된다.
전구체는 다양한 중간체들 (intermediates) 을 제공할 수 있다. 도 1a에 도시된 바와 같이 그리고 도 1a에 제한없이, 높은 비의 H2O : 화합물 (1) ((2) 로 표기됨) 은 중간체 (4) 를 제공할 수 있고, 여기서 L 기들 (3) 은 H2O의 과잉 존재로 인해 쉽게 대체된다. 이 중간체 (4) 는 결국 올리고머 종 (6) 을 형성하기 위해 (예를 들어, PAB 또는 다른 도포-후 처리를 사용하여) 물을 잃을 수 있다 (5). 대안적으로, 낮은 비의 H2O : 화합물 (1) ((7) 로 표기됨) 은 중간체 (8) 을 제공할 수 있고, 여기서 모든 L 기들 (10) 이 아니라 일부가 치환된다. 이는 중간체 (8) 와 같은 이량체 중간체들의 형성을 허용하고, 이는 결국 물 (9) 또는 또 다른 산소-함유 대응-반응 물질의 존재시 올리고머 종 (6) 을 제공할 수 있다. 올리고머 종은 6 원 고리 (member ring) 내지 8 원 고리를 가질 수 있고, 벌키 R 치환기들을 갖는 하이드록시 주석 전구체들의 가스상 (또는 웨이퍼 표면) 응결 반응들로부터 발생할 가능성이 있다. 이 프로세스를 위해, 표면 응결은 큰, 보다 높은 분자량 (molecular weight) 의 생성물들로 중합되기 훨씬 전에 발생할 수도 있다. 도 1a의 프로세스는 유기 금속 옥사이드 하이드록사이드 막을 형성하기 위해 본 명세서에 기술된 임의의 전구체 및 대응-반응 물질과 함께 사용될 수 있다.
산소 가스 (O2) 의 존재시 통상적인 고온 PAB (post-application bake) 는 막 증착 후 그러나 막 노출 전에 수행될 수 있다. 도 1b에 도시된 바와 같이, 증착된 막 (111) 은 하이드록실-풍부 생성물들 (hydroxyl-rich products) 을 갖는 막 (112) 을 발생시킬 수 있는 (주변 공기에 존재하는 바와 같이 또는 순수한 O2로서 제공된 바와 같이) O2를 사용하여 고온 PAB (101) 로 처리될 수 있다. 노출 (102) 후, 노출된 막 (113) 은 또한 하이드록실-풍부 생성물들 (산소 또는 물의 존재시 M-OH로 쉽게 변환되는, 절단된 반응성 M-H 모이어티들로부터 형성됨) 을 포함한다. 노출 전과 후 재료 사이의 유사한 화학적 조성으로 인해, 막은 상이한 용해도 콘트라스트 (contrast) 를 산출하지 않을 수도 있다.
일부 예들에서, 저온 PAB (예를 들어, 약 250 ℃ 미만, 약 190 ℃ 미만, 또는 심지어 약 170 ℃ 미만) 는 충분히 탈수된 막들을 제공하도록 사용될 수 있다. 저온 PAB에 대해, 보다 높은 온도들에 대한 노출은 보다 짧은 시간 기간들의 사용을 포함할 수 있고, 보다 낮은 온도들에 대한 노출은 보다 긴 시간 기간들의 사용을 포함할 수 있다. 비제한적인 실시 예들에서, 저온 PAB는 100 ℃ 내지 190 ℃, 140 ℃ 내지 190 ℃, 또는 140 ℃ 내지 200 ℃를 포함하여, 약 100 ℃ 내지 약 200 ℃의 온도를 포함한다. 시간 기간들은 약 30 초 내지 5 분의 기간을 포함하여, 약 10 초 내지 5 분을 포함할 수 있다.
도포 후 처리는 또한 막 내 종의 타입에 영향을 주기 위해 산소-프리 분위기 (예를 들어, 진공 또는 불활성 가스) 의 사용 또는 CO2의 사용을 포함할 수 있다. 따라서, 본 개시는 또한 보다 높은 분자량 재료를 갖는 경화된 막들을 제공하는 어닐링 및/또는 냉각 조건들의 사용에 관한 것이다. 일부 예들에서, 프로세스는 보다 치밀하고 보다 높은 분자량 재료를 갖는 막을 형성하기 위해 증착된 막으로부터 일부 (전부는 아님) 방사선-감응 모이어티들을 제거한다. 처음에, 증착된 막은 방사선-감응 모이어티들 (예를 들어, 본 명세서의 화학식 (I) 또는 화학식 (II) 에서 R), 금속 중심들 또는 클러스터들 (예를 들어, M 또는 M을 포함하는 고리 중심), M-R 결합들, 금속-산소-금속 (M-O-M) 결합들, 및 금속-하이드록실 (M-OH) 결합들 (예를 들어, 임의의 전구체와 대응-반응 물질과 같은 산소-함유 시약 (reagent) 사이의 반응에 의해 제공됨) 을 포함하는 다양한 종을 포함할 수 있다. 증착된 막에서 이러한 방사선-감응 모이어티들을 제거함으로써, 금속 중심은 막 내에 추가의 M-O-M 결합들을 형성하도록 M-OH 결합들과의 추가 반응들에 참여할 수 있다. 그 결과, (도포-후 처리 후) 막은 도포 후 처리 전과 비교하여 증가된 M-O-M 결합들 및 감소된 M-OH 결합들을 포함할 수 있다. 이 경화되거나 치밀화된 막은 충분한 농도의 방사선-감응 모이어티들을 유지하면서 현상액에서 용해에 대한 개선된 내성을 제공한다.
일 실시 예에서, 경화되거나 치밀화된 막은 산소 (O)-함유 가스의 부재시 어닐링에 의해 제공된다. 일부 예들에서, 어닐링은 약 190 ℃ 이상의 온도로 가열하는 것을 포함할 수 있다. 일부 실시 예들에서, 어닐링은 진공 하, 불활성 가스 (예를 들어, 질소 가스 (N2), 아르곤 가스 (Ar), 또는 다른 비산화 가스) 의 존재시, 또는 산소 (O)-프리 가스, 즉, 산소 원자들이 없는 가스의 존재시 수행될 수 있다. 산소-프리 가스의 비제한적인 예들은 O2-프리 가스 또는 H2O-프리 가스를 포함한다. 불활성 가스는 일 가스 또는 불활성 가스들의 조합을 포함할 수 있다. 일부 실시 예들에서, 비산화 가스는 1 % 미만의 산소 (O)-함유 가스 (예를 들어, 1 % 미만의 O2 가스) 를 갖는 가스이다. 가스들은 대기압 이하에서 채용될 수 있다.
도 1c에 도시된 바와 같이, 불활성 가스의 존재시 (103) 증착된 막 (114) 의 도포-후 처리는 주석-산소-주석 (Sn-O-Sn) 결합들을 갖는 비제한적인 경화된 막 (115) 을 제공한다. 두 타입의 어닐링, 즉 산소의 존재 또는 부재시, 감광성 R 모이어티의 손실을 산출할 수 있다. 일 비제한적인 차이는 형성될 중간체들 : 하이드록실-풍부 생성물들이 산소의 존재시 형성된다. 그러나, 산소의 부재시, 하이드록실-풍부 생성물들은 형성되지 않는다. 오히려, 막 (115) 에서 알 수 있는 바와 같이, 금속-옥사이드-금속이 풍부한 생성물들이 형성된다.
노출시 (104), R 모이어티들은 산소 또는 물의 존재시 M-OH 기들로 용이하게 변환되는 반응성 M-H 모이어티들을 형성하도록 절단될 것이다. 따라서, 노출 영역들은 노출된 막 (116) 내에 부가적인 친수성 기들 (예를 들어, M-H, M-OH, 및/또는 하이드록실 모이어티들) 을 포함할 것이다. 포지티브 톤 현상을 사용하여, 노출 영역들은 M-OH 결합들이 결여되고 M-O-M 결합이 우세한 비노출 영역들 (예를 들어, 경화된 막 (115) 내) 의 재료와 비교하여, 이 노출된 막 (116) 이 M-OH 결합들과 같은 보다 용해성인 작용기들을 포함하기 때문에 제거될 수 있다.
또 다른 실시 예에서, 도포 후 처리는 CO2에 대한 노출을 포함한다. 도 1d에 도시된 바와 같이, CO2의 사용은 금속 카보네이트 (M-CO3) 결합을 갖는 유기 금속 카보네이트 막을 제공할 수 있다. 따라서, 또 다른 예에서, 막은 M-O-M 결합 및 M-CO3 결합 모두를 갖는 유기 금속 옥시카보네이트 막으로서 더 특징화될 수 있다. 이러한 금속 카보네이트 및 금속 옥시카보네이트 종은 습식 현상 조건들 하에서 에칭에 보다 내성이 있는 결합들을 제공할 수 있다. CO2의 존재시 (105) 비제한적인 막 (117) 의 도포 후 처리는 주석-카보네이트-주석 (Sn-CO3-Sn) 결합들을 갖는 경화된 막 (118) 을 제공한다. 노출시 (106), R 모이어티들은 산소 또는 물의 존재시 M-OH 기들로 쉽게 변환되는 반응성 M-H 모이어티들을 형성하도록 절단될 것이다. 따라서, 노출 영역들은 노출된 막 (119) 내에 부가적인 친수성기들 (예를 들어, M-H, M-OH, 및/또는 하이드록실 모이어티들) 을 포함할 것이다. 포지티브 톤 현상을 사용하여, 노출 영역들은 M-OH 결합이 결여되고 M-CO3-M 결합이 우세한 비노출 영역들 내 (예를 들어, 경화된 막 (118) 내) 재료와 비교하여, 노출된 막 (119) 이 M-OH 결합들과 같은 보다 가용성인 작용기들을 포함하기 때문에 제거된다. 이러한 방식으로, 도포-후 처리 (예를 들어, 진공, 불활성 가스, 또는 CO2에서 어닐링, 뿐만 아니라 가열 또는 냉각으로 불활성 가스에 노출 및/또는 CO2에 노출) 의 사용은 에칭 선택도를 맞춤화하고 포지티브 톤 레지스트를 제공할 수 있다.
산소-함유 가스의 부재시 (예를 들어, 진공 또는 불활성 가스를 사용하여) 또는 CO2의 존재시 도포-후 처리는 넓은 온도 범위, 예를 들어, 약 90 ℃ 내지 약 350 ℃를 채용할 수 있다. 메커니즘에 의해 제한되기를 바라지 않고, 열적 분해 경로들이 어닐링 분위기에 종속될 수도 있다고 여겨진다. 예를 들어, R의 열적 절단 (예를 들어, 본 명세서의 화학식 (I) 또는 화학식 (II) 에서와 같이) 은 불활성 분위기와 비교하여, 산화 분위기에서 보다 낮은 온도에서 발생할 수도 있다. 따라서, 일 비제한적인 실시 예에서, 본 개시는 넓은 범위의 온도들에서 무산소 분위기들에서 도포-후 처리, 및 보다 낮은 온도 범위에서 산소-함유 분위기들에서 도포-후 처리를 포함한다. 일부 비제한적인 예들에서, 산소-프리 분위기에서 또는 CO2를 사용한 도포-후 처리는 예를 들어, 약 190 ℃ 내지 약 350 ℃의 고온 범위에서 수행된다.
도 2는 기판 (210) 의 상단 표면 상에 막 (211) 으로서 전구체를 증착하는 단계 (201) 를 포함하는 예시적인 방법 (200) 을 제공하고, 막 (211) 은 EUV-감응 재료를 포함한다.
방법은 증착된 EUV-감응 막을 처리하기 위한 단계들을 더 포함할 수 있다. 이러한 단계들은 막을 생성하는데 필요하지 않지만, 포지티브 톤 포토레지스트 (PR) 로서 막을 사용하는데 유용할 수 있다. 동작 (202) 에서, 방법은 포지티브 톤 레지스트로서 역할을 할 수 있는 경화된 레지스트 막 (212) 을 제공하도록 증착된 막 (211) 의 PAB (post-application bake) 또는 다른 도포-후 처리를 더 포함한다. 이러한 도포-후 동작들은 O-함유 가스의 부재시, 주변 공기에서, 진공 하, 불활성 가스(들)의 존재시, 또는 CO2의 존재시 소성; 뿐만 아니라 불활성 가스(들)에 노출 (예를 들어, 불활성 가스(들)의 존재시 가열 또는 냉각으로) 또는 CO2에 노출 (예를 들어, CO2의 존재시 가열 또는 냉각으로) 을 포함한다. 경화된 레지스트 막은 M-CO3-X 결합들을 포함하는, M-O-M 결합들 및/또는 M-CO3 결합들의 존재를 특징으로 할 수 있고, 여기서 X는 M 또는 유기 모이어티일 수 있다. 다른 실시 예들에서, 경화된 레지스트 막은 PAB 또는 또 다른 도포-후 처리 전 레지스트 막과 비교하여, M-OH 결합들의 감소 또는 M-R 결합들의 감소를 특징으로 할 수 있다.
동작 (203) 은 EUV 노출 영역들 (212b) 및 EUV 비노출 영역들 (212c) 을 갖는 노출된 막을 제공하도록 EUV 노출에 의해 막을 패터닝하는 것을 포함한다. 패터닝은 EUV 투명 영역들 및 EUV 불투명 영역들을 갖는 마스크 (214) 의 사용을 포함할 수 있고, EUV 빔들 (215) 은 EUV 투명 영역을 통해 그리고 막 (212) 내로 투과된다. EUV 노출은, 예를 들어, 진공 분위기에서 약 10 ㎚ 내지 약 20 ㎚의 범위 (예를 들어, 진공 분위기에서 약 13.5 ㎚) 의 파장을 갖는 노출을 포함할 수 있다.
일단 패턴이 제공되면, 방법 (200) 은 막을 현상하는 단계 (204) 를 포함할 수 있고, 이에 따라 EUV 노출 영역들 (212b) 을 제거하여 포지티브 톤 레지스트 막 내에 패턴을 제공한다. 현상 단계들은 본 명세서에 기술된 바와 같이, 알칼리 현상 용액, 산성 현상 용액, 수성 현상 용액, 비수성 현상 용액, 또는 유기 현상 용액의 사용과 같은 습식 현상 프로세스들의 사용을 포함할 수 있다.
도 3a는 선택 가능한 (optional) 동작들을 포함하는 다양한 동작들을 갖는 예시적인 방법 (300) 의 플로우 차트를 제공한다. 선택 가능한 단계들은 본 명세서의 임의의 방법에서 EUV-감응 막(들) 및/또는 기판을 더 조절, 개질, 또는 처리하도록 수행될 수도 있다.
알 수 있는 바와 같이, 동작 (301) 에서, 선택 가능한 대응-반응 물질과 함께 전구체를 채용하여 막이 증착된다. 선택 가능한 동작 (302) 에서, 기판의 배면 표면 또는 베벨이 세정될 수 있고, 그리고/또는 이전 단계에서 증착된 포토레지스트의 에지 비드 (edge bead) 가 제거될 수 있다. 이러한 세정 단계 또는 제거 단계는 포토레지스트 층을 증착한 후 존재할 수도 있는 입자들을 제거하는데 유용할 수 있다. 제거 단계는 습식 금속 옥사이드 (MeOx) 에지 비드 제거 (EBR) 단계를 사용하여 웨이퍼를 프로세싱하는 것을 포함할 수 있다.
동작 (303) 에서, PAB (post-application bake) 또는 또 다른 도포-후 처리가 수행될 수 있다. 이러한 처리는 수성 또는 비수성 용액에 비노출 재료의 에칭 내성을 개선할 수 있다. 일 예에서, PAB의 사용은 경화된 레지스트 막을 형성하도록 층으로부터 잔류 수분을 제거한다. PAB는 막의 EUV 감도를 상승시키기 위해 열적 처리, 화학적 노출, 및/또는 수분의 일부 조합을 수반할 수 있어서, 막의 패턴을 현상하기 위해 EUV 도즈를 감소시킨다. 특정한 실시 예들에서, PAB 단계는 약 100 ℃보다 높은 온도에서 또는 약 100 ℃ 내지 약 200 ℃ 또는 약 100 ℃ 내지 약 250 ℃의 온도에서 수행된다. 다른 실시 예들에서, PAB 단계는 O-함유 가스의 부재시 약 190 ℃ 내지 약 350 ℃의 온도에서 수행된다. 또 다른 예에서, 도포 후 처리는 선택 가능하게 냉각 또는 가열을 포함할 수 있는 불활성 가스 또는 CO2에 막을 노출시키는 것을 포함한다. 불활성 가스의 사용은 습식-기반 에칭에 내성이 있을 수 있는 금속-산소-금속 종을 제공할 수 있다. CO2의 사용은 습식-기반 에칭에 내성이 있을 수 있는 금속 카보네이트 종을 제공할 수 있다.
동작 (304) 에서, 막은 패턴을 현상하도록 EUV 방사선에 노출된다. 일반적으로, EUV 노출은 막의 화학적 조성의 변화를 유발하고, 막의 일부를 제거하기 위해 사용될 수 있는 에칭 선택도의 콘트라스트를 생성한다. 이러한 콘트라스트는 본 명세서에 기술된 바와 같이 포지티브 톤 레지스트를 제공할 수 있다. EUV 노출은, 예를 들어, 진공 분위기에서 약 10 ㎚ 내지 약 20 ㎚의 범위 (예를 들어, 진공 분위기에서 약 13.5 ㎚) 의 파장을 갖는 노출을 포함할 수 있다.
동작 (305) 은 노출된 막의 선택 가능한 PEB (post-exposure bake) 이고, 이에 따라 잔여 수분을 더 제거하고, 막 내 화학적 응결을 촉진하거나, 노출된 막의 에칭 선택도의 콘트라스트를 상승시키거나, 임의의 유용한 방식으로 막을 후-처리한다. 일 예에서, 노출된 막은 스트립핑제 또는 포지티브 톤 현상액 (예를 들어, HCl, HBr, H2, Cl2, Br2, BCl3, 또는 이들의 조합과 같은 할라이드계 에천트, 뿐만 아니라 본 명세서에 기술된 임의의 할라이드계 현상 프로세스; 수성 알칼리 현상 용액; 비수성 알칼리 현상 용액; 비수성 현상액; 또는 유기 현상 용액) 에 노출시 레지스트의 EUV 노출된 부분들 내에서 반응성을 촉진하도록 (예를 들어, 선택 가능하게 다양한 화학 종의 존재시) 열적으로 처리될 수 있다. 또 다른 예에서, 노출된 막은 레지스트의 EUV 비노출 부분들 내 리간드들을 더 교차-결합하도록 열적으로 처리될 수 있고, 이에 따라 스트립핑제 (예를 들어, 포지티브 톤 현상액) 에 노출시 선택적으로 제거될 수 있는 EUV 노출된 부분들을 제공한다. 또 다른 예에서, PEB는 생략된다.
이어서, 동작 (306) 에서, PR 패턴이 현상된다. 현상의 다양한 실시 예들에서, (포지티브 톤 레지스트 내에 패턴을 제공하기 위해) 노출 영역들이 제거된다. 이들 단계들은 하나 이상의 현상액들 또는 현상 용액들을 사용한 습식 프로세스들일 수도 있고, 선택 가능한 (예를 들어, 탈 이온수 또는 또 다른 용매를 사용한) 린스가 이어질 수도 있다. 특정한 실시 예들에서, 현상 단계는 주석계 칼코게나이드, 주석계 옥시칼코게나이드, 또는 주석계 옥시카보네이트 막에 적용된 습식 프로세스이다.
일 예에서, 방법은 (예를 들어, 현상 후) 패터닝된 막을 린싱, 추가로 경화, 또는 소성하여 기판의 상단 표면 상에 배치된 레지스트 마스크를 제공하는 단계를 포함할 수 있다. 경화 단계들은 플라즈마 (예를 들어, O2, O3, Ar, He, 또는 CO2 플라즈마) 에 노출, 자외선 복사에 노출, (예를 들어, 약 180 ℃ 내지 약 240 ℃의 온도에서) 어닐링, 열적 소성, 또는 PDB (post-development baking) 단계에 유용할 수 있는 이들의 조합들과 같이 EUV 비노출 또는 노출 영역들을 추가로 교차 결합시키거나 반응시키기 위한 임의의 유용한 프로세스를 포함할 수 있다.
일 실시 예에서, 도포-후 처리는 대기 분위기 (공기) 내, 불활성 가스(들)의 존재시, 또는 CO2의 존재시 PAB를 포함할 수 있다. 도 3b에 도시된 바와 같이, 방법 (310) 은 포토레지스트 층을 형성하기 위해 전구체를 증착하는 동작 (311), 세정을 위한 선택 가능한 동작 (312), 경화된 레지스트 막을 제공하기 위해 공기 중에서, 불활성 가스(들)를 사용하여, 또는 CO2를 사용하여 PAB를 수행하는 동작 (313), 노출된 막을 제공하기 위한 EUV 노출을 위한 동작 (314), PEB 또는 다른 노출-후 처리를 위한 선택 가능한 동작 (315), 및 노출된 막을 현상하는 동작 (316) 을 포함한다.
다른 도포-후 처리 조건들이 적용될 수 있다. 예를 들어, 소성 (또는 열의 사용) 이 필요하지 않다. 도 3c에 도시된 바와 같이, 방법 (320) 은 포토레지스트 층을 형성하기 위해 전구체를 증착하는 동작 (321), 세정을 위한 선택 가능한 동작 (322), 경화된 레지스트 막을 제공하기 위해 공기 중에서, 불활성 가스(들)를 사용하여, 또는 CO2를 사용하여 도포-후 처리를 수행하는 동작 (323), 노출된 막을 제공하기 위한 EUV 노출을 위한 동작 (324), PEB 또는 다른 노출-후 처리를 위한 선택 가능한 동작 (325), 및 노출된 막을 현상하는 동작 (326) 을 포함한다.
도포-후 처리는 하나, 둘, 또는 그 이상의 단계들을 포함할 수 있다. 예를 들어, 이러한 조건들은 가열 또는 냉각 조건들 하에서 불활성 가스(들) 또는 CO2에 대한 노출에 따른 PAB를 포함할 수 있다. 도 3d에 도시된 바와 같이, 방법 (330) 은 포토레지스트 층을 형성하기 위해 전구체를 증착하는 동작 (331), 세정을 위한 선택 가능한 동작 (332), 경화된 레지스트 막을 제공하기 위해 (공기를 사용하여, 불활성 가스(들)를 사용하여, 또는 CO2를 사용하여) PAB를 수행 (333a), 이어서 불활성 가스(들) 또는 CO2의 존재시 막을 냉각 (333b) 하는 동작 (333), 노출된 막을 제공하기 위한 EUV 노출을 위한 동작 (334), PEB 또는 다른 노출-후 처리를 위한 선택 가능한 동작 (335), 및 노출된 막을 현상하는 동작 (336) 을 포함한다. 대안적으로, 경화를 위한 동작은 (공기, 불활성 가스(들), 또는 CO2를 사용하여) PAB를 수행하고, 이어서 불활성 가스(들) 또는 CO2의 존재시 추가 가열을 포함할 수 있다.
임의의 유용한 타입의 화학 물질 (chemistry) 이 증착 단계, 패터닝 단계, 및/또는 현상 단계 동안 채용될 수 있다. 이러한 단계들은 가스상의 화학 물질을 채용하는 건식 프로세스들 또는 습식상 (wet phase) 의 화학 물질을 채용하는 습식 프로세스들에 기초할 수도 있다. 다양한 실시 예들은 기상 증착 (vapor deposition) 에 의한 막 형성의 건식 동작들 및 (EUV) 리소그래피 포토패터닝과 습식 현상 동작들을 결합하는 것을 포함한다.
비제한적인 일 실시 예에서, 패턴 또는 레지스트 마스크를 형성하기 위한 포지티브 톤 현상 프로세스는 다음과 같이 수행될 수 있다:
도 1a에 도시된 바와 같이, 예를 들어 CVD에 의해, 유기 금속 옥사이드 막, 예를 들어, 45 ㎚ 하부층 (예를 들어, SOC (spin-on carbon) 하부층) 을 갖는 300 ㎜ 웨이퍼 상의 20 ㎚ 두께의 포토패터닝 가능한 금속 옥사이드 EUV 레지스트 이미징 층을 건식 증착하는 단계;
100 ℃ 내지 200 ℃ 또는 심지어 200 ℃ 이상, 예컨대 100 ℃ 내지 250 ℃ 또는 100 ℃ 내지 350 ℃에서 PAB (post-application (deposition) bake) 를 수행하는 단계. 또 다른 예에서, PAB는 약 30 초 (s) 내지 2 분 (min) 또는 10 초 내지 5 분 동안 (예를 들어, 주변 공기, 불활성 가스(들), 또는 CO2를 사용하여) 180 ℃ 내지 250 ℃ 또는 100 ℃ 내지 350 ℃일 수 있다. 본 개시는 임의의 특정한 동작 이론에 의해 제한되지 않지만, PAB는 M-O-M 결합들을 형성하도록 M-OH 기들을 교차 결합시킴으로써 레지스트 막의 비노출 영역들을 효과적으로 경화시킬 수도 있고, 여기서 M은 막 내의 금속이다. 일부 비제한적인 예들에서, PEB (post-exposure bake) 를 생략하는 것은 알킬기들의 EUV 유도된 절단으로부터 발생하는 반응성 M-H 및 M-OH 작용기를 유지한다. EUV 유도된 절단에 의한 소수성 이소프로필기들의 제거는 포지티브 톤 현상을 제공하기 위해 노출된 재료와 수성 알칼리 현상액의 선택적인 상호 작용/반응을 구동할 수 있기 때문에, 이 재료는 표준 수성 기반 현상액 (예를 들어, TMAH, 이하에 더 기술됨) 에 의해 보다 용이하게 에칭된다;
임의의 PEB (post-exposure bake) 를 선택 가능하게 생략하지만, 다른 예는 에칭 선택도를 향상시키기 위해 PEB를 포함하는 것이 유리할 수도 있는, EUV 노출을 수행하는 단계; 및
포지티브 톤 습식 현상을 수행하는 단계. 적합한 포지티브 톤 습식 현상은 수성 염기 현상액, 예컨대 테트라메틸암모늄 하이드록사이드 (TMAH), 2.3 내지 2.5 (예를 들어, 2.38) wt.% 용액을 사용하여 최소 (예를 들어, 1 시간 미만) 지연, 후속하는 탈 이온 (DI) 수 린스로 수행될 수도 있다. 이러한 수성 알칼리 현상 용액은 현재 유기 스핀-온 EUV 포토레지스트들에 채용되어, 확립된 레지스트 프로세싱 트랙들 상에서 용이하게 구현될 수 있다. 그러나, 다른 실시 예들에서, 일부 고온 가스 처리들의 영향에 따라, 다른 포지티브 톤 현상 프로세스들이 사용될 수도 있다. 일부 예에서, 현상액은 수성 산들 또는 심지어 DI 수와 같은 다른 수성 용매들을 포함할 수 있다. 부가적인 비제한적인 현상액들이 본 명세서에 기술된다.
하나의 비제한적인 프로세스는 다음을 포함할 수 있다:
1. 금속 옥사이드 레지스트 막 증착;
2. 에지 비드 제거 (에지로부터 레지스트 제거);
3. 2 분 동안 190 ℃에서 PAB;
4. EUV 광원에 노출;
5. 일부 예들에서 생략될 수 있는, 선택 가능한 PEB (post-exposure bake);
6. 포지티브 현상 (2.5 wt.% 테트라메틸암모늄 하이드록사이드 (TMAH) 에서 노출된 레지스트의 제거);
a. 프리 웨트 (prewet) (5 초);
b. TMAH 노출 (30 초);
c. DI 린스 (30 초);
d. 스핀 건조 (60s); 및
7. 웨이퍼 검사.
도 4a 및 도 4b는 (A) 케톤을 사용한 네거티브 톤 현상 프로세스 또는 (B) 수성 TMAH를 사용한 포지티브 톤 현상 프로세스를 사용함으로써 생성된 레지스트 막들의 SEM 이미지들을 제공한다. 추가 결과들은 도 5에 도시되고, 건식 증착된 EUV 레지스트 막들의 포지티브 톤 현상이 성공적이라는 것을 알 수 있다. 이들 데이터에 기초하여, 이는 네거티브 톤 이미징에 필요한 것보다 낮은 EUV 노출 도즈들에서 가능한 것으로 보인다.
특정한 실시 예들에서, 비제한적인 전구체는 방사선-감응 모이어티로서 주석 (Sn) 금속 중심 및 이소프로필 (i-Pr) 을 포함한다. 메커니즘에 의해 제한되는 것을 원하지 않고, 도 6a는 저 OH 농도를 산출하는 방식으로 막들을 응결시키기 위해 N2 하에서 후속하는 냉각이 이어지는 고온 PAB를 포함하는 도포-후 처리의 반응 스킴들을 제공한다. 특히, PAB는 더 금속-산소-금속 결합들을 형성하여 보다 높은 분자량 재료를 제공하도록 반응할 수 있는 반응성 중간체를 제공하도록 일부 i-Pr 기들의 열적 절단을 발생시킨다. 도 6b는 온도의 함수로서 막으로부터 (프로펜 또는 프로판으로서) i-Pr 기의 릴리즈 (release) 의 분석을 제공한다. 탈착 스펙트럼은 150 ℃ 내지 350 ℃의 UHV/불활성 조건들 하에서 i-Pr 리간드들의 열-유도된 절단을 보여준다.
경화된 막 또는 응결된 막은 타원 편광법 (ellipsometry) 을 사용하여 PAB 전 및 후에 막 수축을 평가함으로써 모니터링될 수 있다. 도 7a 및 도 7b에 도시된 바와 같이, 막 수축은 PAB의 온도가 상승함에 따라 증가한다. 이에 더하여, PAB의 온도가 상승함에 따라, 1 분 PAB와 2 분 PAB 사이의 막 수축의 차가 증가한다. 도 7c는 2 분의 기간 동안 N2 하의 다양한 온도들을 사용하여 PAB에 대한 막들의 IR 분석을 제공한다.
경화되거나 응결된 막들은 또한 TMAH로 처리된다. 도 8a 및 도 8b에 도시된 바와 같이, 특정한 도포-후 처리 조건들은 TMAH에 의한 에칭에 대한 내성을 갖는 막들을 제공한다. 처리 조건들은 1 분 (도 8a) 또는 2 분 (도 8b) 동안 증착된 막의 PAB를 포함한다. 알 수 있는 바와 같이, TMAH에 대한 내성은 먼저 악화되고 이어서 개선된다. (200 ℃에서 270 ℃로) 제 1 온도 범위에서, 초기 경향 (trend) 은 TMAH 현상액에서 에칭 레이트를 상승시키고 따라서 현상 동안 막 손실을 증가시키는 것을 보여준다. 이는 i-Pr 리간드들의 손실 및 전구체의 금속 중심에 여전히 결합된 모이어티들의 입체 장애 (steric hindrance) 로부터 억제된 응결로 인한 것일 수 있다. (270 ℃에서 300 ℃로) 제 2 온도 범위에서, 막 손실이 최소화되는 제 2 경향이 관찰된다. 메커니즘에 의해 제한되기를 바라지 않고, 일단 충분한 양의 i-Pr 리간드들이 열적으로 절단되면, 보다 낮은 입체 장애로 인해 응결이 발생할 수 있다. 도 8b에 도시된 바와 같이, TMAH 내성에 대한 개시 (onset) 는 연장된 PAB 시간과 함께 보다 낮은 온도들에서 발생한다. 이 비제한적인 예에서, 약 30%의 i-Pr 리간드들의 손실은 습식 현상 프로세스에 의한 에칭에 대한 내성을 나타내는 경화된 막 또는 응결된 막을 제공한다.
도 9는 도포-후 처리 (상부 이미지들에서 200 ℃ 또는 하부 이미지들에서 250 ℃에서 1 분 동안 N2 하에서 PAB) 를 사용함으로써 생성되고 습식 현상 프로세스 (2.38 wt.% TMAH) 를 사용하여 현상된 레지스트 막들의 SEM 이미지들을 제공한다. 증착된 막들은 10 ㎚ 하부층 (예를 들어, SOG (spin-on glass) 하부층) 을 갖는 25 ㎚ 두께의 포토패터닝 가능한 금속 옥사이드 EUV 레지스트 이미징 층이다. EUV 노출 직후 현상이 발생했다.
따라서, 고성능 건식 증착된 EUV 포토레지스트 재료가 네거티브 톤 이미지 또는 포지티브 톤 이미지를 제공하도록 효과적으로 패터닝될 수 있다는 것이 입증되었다. 이는 또한 제 1 EUV 노출, 이어서 포지티브 톤 현상; 이어서 제 2 EUV 노출, 이어서 네거티브 톤 현상을 수반하는, 또는 그 반대의, 신규한 프로세스 플로우들의 적용을 가능하게 할 수 있다.
전구체들
본 명세서의 층들 (예를 들어, 방사선-흡수 층 및/또는 이미징 층) 은 1x107 cm2/mol 이상과 같은, 고 광 흡수 단면적을 갖는 원소 (예를 들어, 금속 원자 또는 비금속 원자) 를 포함할 수도 있다. 이러한 원소들은 하나 이상의 전구체(들)를 증착함으로써 제공될 수 있다.
일부 실시 예들에서, 막은 방사선 감응 막 (예를 들어, EUV-감응 막) 이다. 이 막은 결국 본 명세서에 더 기술된 바와 같이 EUV 레지스트로서 역할을 할 수 있다. 특정한 실시 예들에서, 층 또는 막은 방사선 (예를 들어, EUV 또는 DUV 방사선) 에 의해 제거, 절단, 또는 교차-결합될 수 있는 하나 이상의 리간드들 (예를 들어, EUV 불안정 (labile) 리간드들) 을 포함할 수 있다.
전구체는 방사선에 감응성인 패터닝 가능한 막 (또는 패터닝 방사선-감응 막 또는 포토패터닝 가능한 막) 을 제공할 수 있다. 이러한 방사선은 패터닝된 마스크를 통해 조사함으로써 제공되어 패터닝된 방사선이 되는 EUV 방사선, DUV 방사선, 또는 UV 방사선을 포함할 수 있다. 막 자체는 이러한 방사선에 노출됨으로써, 막이 방사선 감응성 또는 감광성이도록 변경될 수 있다. 특정한 실시 예들에서, 전구체는 적어도 하나의 금속 중심을 포함하는 유기금속 화합물이다. 다른 실시 예들에서, 전구체로부터 획득된 막은 막에 노출될 패터닝된 방사선 (예를 들어, UV, DUV, 또는 EUV 노출로부터) 의 파장에서 약 6 ㎛-1 초과 (예를 들어, 약 7 ㎛-1, 8 ㎛-1, 9 ㎛-1, 10 ㎛-1, 20 ㎛-1, 30 ㎛-1, 이상) 의 Beer 흡수 계수 α를 특징으로 한다.
전구체는 임의의 유용한 수 및 타입의 리간드(들)를 가질 수 있다. 일부 실시 예들에서, 리간드는 대응-반응 물질의 존재시 또는 패터닝된 방사선의 존재시 반응하는 능력을 특징으로 할 수 있다. 예를 들어, 전구체는 금속 중심들 사이에 연결들 (linkages) (예를 들어, -O- 연결) 을 도입할 수 있는, 대응-반응 물질과 반응하는 리간드를 포함할 수 있다. 또 다른 예에서, 전구체는 패터닝된 방사선의 존재시 제거하는 리간드를 포함할 수 있다. 이러한 EUV 불안정 리간드는 베타-수소를 갖는 분지형 또는 선형 알킬기들, 뿐만 아니라 화학식 (I) 또는 화학식 (II) 에서 R에 대해 본 명세서에 기술된 임의의 리간드를 포함할 수 있다.
다른 EUV 불안정 리간드들은 알킬기, 알케닐기, 또는 알키닐기들을 포함하고, 이는 분지형이거나 선형일 수 있다. 또 다른 EUV 불안정 리간드들은 1, 2, 또는 3 개의 고리들을 갖는 것들과 같은 아릴기들을 포함한다. 이러한 알킬기, 알케닐기, 알키닐기, 및 아릴기는 하나 이상의 할로들 (예를 들어, 하나 이상의 플루오로) 로 치환될 수 있다. 비제한적인 불안정 리간드들은 선택 가능하게 치환된 C1-12 알킬, 선택 가능하게 치환된 C2-12 알케닐, 선택 가능하게 치환된 C2-12 알키닐, 선택 가능하게 치환된 C1-12 할로알킬, 선택 가능하게 치환된 C2-12 할로알케닐, 선택 가능하게 치환된 C2-12 할로알키닐, 선택 가능하게 치환된 아릴 또는 선택 가능하게 치환된 할로아릴을 포함한다.
전구체는 (예를 들어, 본 명세서에 기술된 바와 같이) 임의의 유용한 금속-함유 전구체, 예컨대 유기금속제 (organometallic agent), 금속 할라이드, 또는 캡핑제일 수 있다. 비제한적인 예에서, 전구체는 화학식 (I) 을 갖는 구조를 포함한다:
MaRb (I),
여기에서:
M은 고 EUV 흡수 단면적을 갖는 금속 또는 원자이고;
R 각각은 독립적으로 H, 할로, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 사이클로알킬, 선택 가능하게 치환된 사이클로알케닐, 선택 가능하게 치환된 알케닐, 선택 가능하게 치환된 알키닐, 선택 가능하게 치환된 알콕시, 선택 가능하게 치환된 알카노일옥시, 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 비스(트리알킬실릴)아미노, 선택 가능하게 치환된 트리알킬실릴, 옥소, 음이온성 리간드, 중성 리간드, 또는 여러 자리 리간드 (multidentate ligand) 이고;
a ≥ 1; 그리고 b ≥ 1이다.
또 다른 비제한적인 예에서, 전구체는 화학식 (II) 을 갖는 구조를 포함한다:
MaRbLc (II),
여기에서:
M은 고 EUV 흡수 단면적을 갖는 금속 또는 원자이고;
R 각각은 독립적으로 할로, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 알콕시, 또는 L이고;
L 각각은 독립적으로, 리간드, 음이온성 리간드, 중성 리간드, 여러 자리 리간드, 이온, 또는 대응-반응 물질과 반응성인 다른 모이어티이고, 여기서 R 및 L은 M과 함께 취해져 선택 가능하게 헤테로사이크릴기 (heterocyclyl group) 를 형성할 수 있거나 R 및 L은 함께 취해져 선택 가능하게 헤테로사이크릴기를 형성할 수 있고;
a ≥ 1; b ≥ 1; 그리고 c ≥ 1이다.
일부 실시 예들에서, 전구체 내 리간드 각각은 대응-반응 물질과 반응성인 리간드일 수 있다. 일 예에서, 전구체는 화학식 (II) 을 갖는 구조를 포함하고, 여기서 R 각각은 독립적으로, L이다. 또 다른 예에서, 전구체는 화학식 (IIa) 을 갖는 구조를 포함한다:
MaLc (IIa),
여기에서:
M은 고 EUV 흡수 단면적을 갖는 금속 또는 원자이고;
L 각각은 독립적으로, 리간드, 이온, 또는 대응-반응 물질과 반응성인 다른 모이어티이고, 여기서 2 개의 L은 함께 취해져 선택 가능하게 헤테로사이크릴기를 형성할 수 있고;
a ≥ 1; 그리고 c ≥ 1이다.
화학식 (IIa) 의 특정한 실시 예들에서, a는 1이다. 추가 실시 예들에서, c는 2, 3, 또는 4이다.
본 명세서의 임의의 화학식에 대해, M은 고 패터닝 방사선 흡수 단면적 (예를 들어, 1x107 ㎠/mol 이상인 EUV 흡수 단면적) 을 갖는 금속 또는 준금속 또는 원자일 수 있다. 일부 실시 예들에서, M은 주석 (Sn), 비스무트 (Bi), 텔루륨 (Te), 세슘 (Cs), 안티몬 (Sb), 인듐 (In), 몰리브덴 (Mo), 하프늄 (Hf), 요오드 (I), 지르코늄 (Zr), 철 (Fe), 코발트 (Co), 니켈 (Ni), 구리 (Cu), 아연 (Zn), 은 (Ag), 백금 (Pt) 및 납 (Pb) 이다. 추가의 실시 예들에서, 화학식 (I), 화학식 (II), 또는 화학식 (IIa) 에서 M은 Sn이고, a는 1이고, c는 4이다. 다른 실시 예들에서, 화학식 (I), 화학식 (II), 또는 화학식 (IIa) 에서 M은 Sn이고, a는 1이고, c는 2이다. 특정한 실시 예들에서, M은 Sn(II) (예를 들어, 화학식 (I), 화학식 (II), 또는 화학식 (IIa)) 이고, 이에 따라 Sn(II)-계 화합물인 전구체를 제공한다. 다른 실시 예들에서, M은 (예를 들어, 화학식 (I), 화학식 (II), 또는 화학식 (IIa) 에서) Sn(IV) 이고, 이에 따라 Sn(IV)-계 화합물인 전구체를 제공한다. 특정한 실시 예들에서, 전구체는 (예를 들어, 페리오데이트 (periodate) 에서와 같이) 요오드를 포함한다.
본 명세서의 임의의 화학식에 대해, R 각각은 독립적으로 H, 할로, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 사이클로알킬, 선택 가능하게 치환된 사이클로알케닐, 선택 가능하게 치환된 알케닐, 선택 가능하게 치환된 알키닐, 선택 가능하게 치환된 알콕시 (예를 들어, -OR1, 여기서 R1은 선택 가능하게 치환된 알킬일 수 있음), 선택 가능하게 치환된 알카노일옥시, 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 비스(트리알킬실릴)아미노, 선택 가능하게 치환된 트리알킬실릴, 옥소, 음이온성 리간드 (예를 들어, 옥시도 (oxido), 클로리도 (chlorido), 하이드리도 (hydrido), 아세테이트, 이미노디아세테이트, 프로파노에이트, 부타노에이트, 벤조에이트, 등), 중성 리간드, 또는 여러 자리 리간드이다.
일부 실시 예들에서, 선택 가능하게 치환된 아미노는 -NR1R2이고, 여기서 R1 및 R2 각각은 독립적으로 H 또는 알킬이고; 또는 여기서 R1 및 R2는 각각이 부착된 질소 원자와 함께 본 명세서에 규정된 바와 같이, 헤테로사이크릴기를 형성한다. 다른 실시 예들에서, 선택 가능하게 치환된 비스(트리알킬실릴)아미노는 -N(SiR1R2R3)2이고, 여기서 R1, R2, 및 R3 각각은 독립적으로, 선택 가능하게 치환된 알킬이다. 또 다른 실시 예들에서, 선택 가능하게 치환된 트리알킬실릴은 -SiR1R2R3이고, 여기서 R1, R2, 및 R3 각각은 독립적으로, 선택 가능하게 치환된 알킬이다.
다른 실시 예들에서, 화학식은 -NR1R2인 제 1 R (또는 제 1 L) 및 -NR1R2인 제 2 R (또는 제 2 L) 을 포함하고, 여기서 R1 및 R2 각각은 독립적으로 H 또는 선택 가능하게 치환된 알킬이고; 또는 제 1 R (또는 제 1 L) 로부터의 R1 및 제 2 R (또는 제 2 L) 로부터의 R1은 각각이 부착된 질소 원자 및 금속 원자와 함께 취해져 본 명세서에 규정된 바와 같이, 헤테로사이크릴기를 형성한다. 또 다른 실시 예들에서, 화학식은 -OR1인 제 1 R 및 -OR1인 제 2 R을 포함하고, 여기서 R1 각각은 독립적으로, H 또는 임의적으로 치환된 알킬이고; 또는 제 1 R로부터의 R1 및 제 2 R로부터의 R1은 각각이 부착된 산소 원자 및 금속 원자와 함께, 본 명세서에 정의된 바와 같이, 헤테로사이크릴기를 형성한다.
일부 실시 예들에서, (예를 들어, 화학식 (I), 화학식 (II), 또는 화학식 (IIa) 에서) R 또는 L 중 적어도 하나는 선택 가능하게 치환된 알킬이다. 비제한적인 알킬기들은 예를 들어, CnH2n +1을 포함하고, 여기서 n은 1, 2, 3 이상, 예컨대 메틸, 에틸, n-프로필, 이소프로필, n-부틸, 이소부틸, s-부틸, 또는 t-부틸을 포함한다. 다양한 실시 예들에서, R 또는 L은 적어도 하나의 베타-수소, 베타-할로겐 또는 베타-불소를 갖는다. 다른 실시 예들에서, R 또는 L 중 적어도 하나는 할로-치환된 알킬 (예를 들어, 플루오로-치환된 알킬) 이다.
일부 실시 예들에서, (예를 들어, 화학식 (I), 화학식 (II), 또는 화학식 (IIa) 에서) R 또는 L 각각 또는 적어도 하나의 R 또는 L은 할로이다. 특히, 전구체는 금속 할라이드일 수 있다. 비제한적인 금속 할라이드들은 SnBr4, SnCl4, SnI4, 및 SbCl3을 포함한다.
일부 실시 예들에서, (예를 들어, 화학식 (I), 화학식 (II), 또는 화학식 (IIa) 에서) R 또는 L 각각 또는 적어도 하나의 R 또는 L은 질소 원자를 포함할 수 있다. 특정한 실시 예들에서, 하나 이상의 R 또는 L은 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 모노알킬아미노 (예를 들어, -NR1H, 여기서 R1은 선택 가능하게 치환된 알킬임), 선택 가능하게 치환된 디알킬아미노 (예를 들어, -NR1R2, 여기서 R1 및 R2 각각은 독립적으로, 선택 가능하게 치환된 알킬임), 또는 선택 가능하게 치환된 비스(트리알킬실릴)아미노이다. 비제한적인 R 치환기 및 L 치환기는 예를 들어, -NMe2, -NHMe, -NEt2, -NHEt, -NMeEt, -N(t-Bu)-[CHCH3]2-N(t-Bu)- (tbba), -N(SiMe3)2, 및 -N(SiEt3)2를 포함할 수 있다.
일부 실시 예들에서, (예를 들어, 화학식 (I), 화학식 (II), 또는 화학식 (IIa) 에서) R 또는 L 각각 또는 적어도 하나의 R 또는 L은 실리콘 원자를 포함할 수 있다. 특정한 실시 예들에서, 하나 이상의 R 또는 L은 선택 가능하게 치환된 트리알킬실릴 또는 선택 가능하게 치환된 비스(트리알킬실릴)아미노일 수 있다. 비제한적인 R 또는 L 치환기들은 예를 들어, -SiMe3, -SiEt3, -N(SiMe3)2, 및-N(SiEt3)2를 포함할 수 있다.
일부 실시 예들에서, (예를 들어, 화학식 (I), 화학식 (II), 또는 화학식 (IIa) 에서) R 또는 L 각각 또는 적어도 하나의 R 또는 L은 산소 원자를 포함할 수 있다. 특정한 실시 예들에서, 하나 이상의 R 또는 L은 선택 가능하게 치환된 알콕시 또는 선택 가능하게 치환된 알카노일옥시일 수 있다. 비제한적인 R 또는 L 치환기들은 예를 들어, 메톡시, 에톡시, 이소프로폭시 (i-PrO), t-부톡시 (t-BuO), 아세테이트 (-OC(O)-CH3), 및 -O=C(CH3)-CH=C(CH3)-O-(acac)를 포함한다.
본 명세서의 임의의 화학식들은 하나 이상의 중성 리간드들을 포함할 수 있다. 비제한적인 중성 리간드들은 선택 가능하게 치환된 아민 (예를 들어, NR3 또는 R2N-Ak-NR2, 여기서 R 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 하이드로카빌, 또는 선택 가능하게 치환된 아릴일 수 있고, Ak는 선택 가능하게 치환된 알킬렌임), 선택 가능하게 치환된 포스핀 (예를 들어, PR3 또는 R2P-Ak-PR2, 여기서 R 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 하이드로카빌, 또는 선택 가능하게 치환된 아릴일 수 있고, 그리고 Ak는 선택 가능하게 치환된 알킬렌임), 선택 가능하게 치환된 에테르 (예를 들어, OR2, 여기서 R 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 하이드로카빌, 또는 선택 가능하게 치환된 아릴일 수 있음), 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 알켄, 선택 가능하게 치환된 알킨, 선택 가능하게 치환된 벤젠, 옥소, 또는 일산화탄소를 포함한다.
본 명세서의 임의의 화학식들은 하나 이상의 여러 자리 (예를 들어, 두자리) 리간드들을 포함할 수 있다. 비제한적인 여러 자리 리간드는 디케토네이트 (예를 들어, 아세틸아세토네이트 (acac) 또는 -OC(R1)-Ak-(R1)CO- 또는 -OC(R1)-C(R2)-(R1)CO-), 두자리 킬레이트 이질소 (예를 들어, -N(R1)-Ak-N(R1)- 또는 -N(R3)-CR4-CR2=N(R1)-), 방향족 (예를 들어, -Ar-), 아미디네이트 (예를 들어, -N(R1)-C(R2)-N(R1)-), 아미노알콕시드 (예를 들어, -N(R1)-Ak-O- 또는 -N(R1)2-Ak-O-), 디아자디에닐 (예를 들어, -N(R1)-C(R2)-C(R2)-N(R1)-), 사이클로펜타디에닐, 피라졸레이트, 선택 가능하게 치환된 헤테로사이크릴, 선택 가능하게 치환된 알킬렌, 또는 선택 가능하게 치환된 헤테로알킬렌을 포함한다. 특정한 실시 예들에서, R1 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 할로알킬, 또는 선택 가능하게 치환된 아릴이고; R2 각각은 독립적으로 H 또는 선택 가능하게 치환된 알킬이고; R3 및 R4는 함께 취해질 때 선택 가능하게 치환된 헤테로사이크릴을 형성하고; Ak는 선택 가능하게 치환된 알킬렌이고; Ar은 선택 가능하게 치환된 아릴렌이다.
특정한 실시 예들에서, 전구체는 주석을 포함한다. 일부 실시 예들에서, 주석 전구체는 SnR 또는 SnR2 또는 SnR4 또는 R3SnSnR3을 포함하고, 여기서 R 각각은 독립적으로 H, 할로, 선택 가능하게 치환된 C1-12 알킬, 선택 가능하게 치환된 C1-12 알콕시, 선택 가능하게 치환된 아미노 (예를 들어, -NR1R2), 선택 가능하게 치환된 C2-12 알케닐, 선택 가능하게 치환된 C2-12 알키닐, 선택 가능하게 치환된 C3-8 사이클로알킬, 선택 가능하게 치환된 아릴, 사이클로펜타디에닐, 선택 가능하게 치환된 비스(트리알킬실릴)아미노 (예를 들어, -N(SiR1R2R3)2), 선택 가능하게 치환된 알카노일옥시 (예를 들어, 아세테이트), 디케토네이트 (예를 들어, -OC(R1)-Ak-(R2)CO-), 또는 두자리 킬레이팅 이질소 (예를 들어, -N(R1)-Ak-N(R1)-) 이다. 특정한 실시 예들에서, R1, R2, 및 R3 각각은 독립적으로 H 또는 C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 또는 네오펜틸) 이고; 그리고 Ak는 선택 가능하게 치환된 C1-6 알킬렌이다. 특정한 실시 예들에서, R 각각은 독립적으로 할로, 선택 가능하게 치환된 C1-12 알콕시, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 아릴, 사이클로펜타디에닐, 또는 디케토네이트이다. 비제한적인 주석 전구체들은 SnF2, SnH4, SnBr4, SnCl4, SnI4, 테트라메틸 주석 (SnMe4), 테트라에틸 주석 (SnEt4), 트리메틸 주석 클로라이드 (SnMe3Cl), 디메틸 주석 디클로라이드 (SnMe2Cl2), 메틸 주석 트리클로라이드 (SnMeCl3), 테트라알릴 주석, 테트라비닐 주석, 헥사페닐 이주석 (IV) (Ph3Sn-SnPh3, 여기서 Ph는 페닐임), 디부틸디페닐 주석 (SnBu2Ph2), 트리메틸(페닐) 주석 (SnMe3Ph), 트리메틸(페닐에티닐) 주석, 트리사이클로헥실 주석 하이드라이드, 트리부틸 주석 하이드라이드 (SnBu3H), 디부틸 주석 디아세테이트 (SnBu2(CH3COO)2), 주석(II) 아세틸아세토네이트 (Sn(acac)2), SnBu3(OEt), SnBu2(OMe)2, SnBu3(OMe), Sn(t-BuO)4, Sn(n-Bu) (t-BuO)3, 테트라키스(디메틸아미노)주석 (Sn(NMeEt)4), 테트라키스(에틸메틸아미노)주석 (Sn(NMeEt)4), 테트라키스(디에틸아미노)주석(IV) (Sn(Me)3(NMe2), Sn(i-Pr) (NMe2)3, Sn(n-Bu)(NMe2)3, Sn(s-Bu)(NMe2)3, Sn(i-Bu)(NMe2)3, Sn(t-Bu)(NMe2)3, Sn(t-Bu)2(NMe2)2, Sn(t-Bu)(NEt2)3, Sn(tbba), Sn(II) (1,3-비스(1,1-디메틸에틸)-4,5-디메틸-(4R,5R)-1,3,2-디아자스탄놀리딘-2-일리덴), 또는 비스[비스(트리메틸실릴)아미노] 주석 (Sn[N(SiMe3)2]2) 을 포함한다.
다른 실시 예들에서, 전구체는 BiR3에서와 같은 비스무트를 포함하고, 여기서 R 각각은 독립적으로 할로, 선택 가능하게 치환된 C1-12 알킬, 모노-C1-12 알킬아미노 (예를 들어, -NR1H), 디-C1-12 알킬아미노 ( 예를 들어, -NR1R2), 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 비스(트리알킬실릴)아미노 (예를 들어, -N(SiR1R2R3)2), 또는 디케토네이트 (예를 들어, -OC(R4)-Ak-(R5)CO-) 이다. 특정한 실시 예들에서, R1, R2, 및 R3 각각은 독립적으로, C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 또는 네오펜틸); R4 및 R5 각각은 독립적으로 H 또는 선택 가능하게 치환된 C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 또는 네오펜틸) 이다. 비제한적인 비스무트 전구체들은 BiCl3, BiMe3, BiPh3, Bi(NMe2)3, Bi[N(SiMe3)2]3, 및 Bi(thd)3을 포함하고, 여기서 thd는 2,2,6,6-테트라메틸-3,5-헵탄디오네이트이다.
다른 실시 예들에서, 전구체는 텔루륨, 예컨대 TeR2 또는 TeR4를 포함하고, 여기서 R 각각은 독립적으로 할로, 선택 가능하게 치환된 C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 및 네오펜틸), 선택 가능하게 치환된 C1-12 알콕시, 선택 가능하게 치환된 아릴, 하이드록실, 옥소, 또는 선택 가능하게 치환된 트리알킬실릴이다. 비제한적인 텔루륨 전구체들은 디메틸 텔루륨 (TeMe2), 디에틸 텔루륨 (TeEt2), 디(n-부틸) 텔루륨 (Te(n-Bu)2), 디(이소프로필) 텔루륨 (Te(i-Pr)2), 디(t-부틸) 텔루륨 (Te(t-Bu)2), t-부틸 텔루륨 하이드라이드 (Te(t-Bu) (H)), Te(OEt)4, 비스(트리메틸실릴)텔루륨 (Te(SiMe3)2), 및 비스(트리에틸실릴) 텔루륨 (Te(SiEt3)2) 을 포함한다.
전구체는 SbR3에서와 같은 안티몬을 포함할 수 있고, 여기서 R 각각은 독립적으로 할로, 선택 가능하게 치환된 C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 및 네오펜틸), 선택 가능하게 치환된 C1-12 알콕시, 또는 선택 가능하게 치환된 아미노 (예를 들어, -NR1R2, 여기서 R1 및 R2 각각은 독립적으로 H 또는 선택 가능하게 치환된 C1-12 알킬임) 이다. 비제한적인 안티몬 전구체들은 SbCl3, Sb(OEt)3, Sb(On-Bu)3, 및 Sb(NMe2)3을 포함한다.
다른 전구체들은 InR3에서와 같은 인듐 전구체들을 포함하고, 여기서 R 각각은 독립적으로, 할로, 선택 가능하게 치환된 C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 및 네오펜틸), 또는 디케토네이트 (예를 들어, -OC(R4)-Ak-(R5)CO-, 여기서 R4 및 R5 각각은 독립적으로 H 또는 C1-12 알킬임) 이다. 비제한적인 인듐 전구체들은 InCp을 포함하고, Cp는 사이클로펜타디에닐, InCl3, InMe3, In(acac)3, In(CF3COCHCOCH3)3, 및 In(thd)3이다.
전구체는 요오드, 예컨대 RI를 포함할 수 있고, 여기서 R은 요오드 (I) 또는 선택 가능하게 치환된 C1-12 알킬, 또는 페리오데이트이다. 비제한적인 요오드 전구체들은 요오드 가스 (I2), 디요오드메탄 (CH2I2), 및 페리오데이트를 포함한다.
또 다른 전구체들 및 비제한적인 치환체들이 본 명세서에 기술된다. 예를 들어, 전구체들은 상기 기술된 바와 같이, 화학식 (I), 화학식 (II), 또는 화학식 (IIa); 또는 이하에 기술된 바와 같은 화학식 (III), 화학식 (IV), 화학식 (V), 화학식 (VI), 화학식 (VII), 또는 화학식 (VIII) 의 구조를 갖는 임의의 전구체일 수 있다. 본 명세서에 기술된 바와 같은 임의의 치환기들 M, R, X, 또는 L은 임의의 화학식 (I), 화학식 (II), 화학식 (IIa), 화학식 (III), 화학식 (IV), 화학식 (V), 화학식 (VI), 화학식 (VII), 또는 화학식 (VIII) 에 채용될 수 있다.
더욱이, 2 개 이상의 상이한 전구체들이 층 (예를 들어, 막) 각각 내에 채용될 수 있다. 예를 들어, 본 명세서의 임의의 금속-함유 전구체들 중 2 개 이상이 합금을 형성하도록 채용될 수 있다. 일 비제한적인 예에서, 주석 텔루라이드는 RTeH, RTeD, 또는 TeR2 전구체들을 갖는 -NR2 리간드를 포함하는 주석 전구체를 채용함으로써 형성될 수 있고, 여기서 R은 알킬, 특히 t-부틸 또는 i-프로필이다. 또 다른 예에서, 금속 텔루라이드는 트리알킬실릴 리간드 (예를 들어, 비스(트리메틸실릴)텔루륨) 를 포함하는 텔루륨-함유 전구체와 함께 알콕시 리간드 또는 할로 리간드 (예를 들어, SbCl3) 를 포함하는 제 1 전구체를 사용함으로써 형성될 수 있다.
또 다른 예시적인 EUV-감응 재료들, 뿐만 아니라 프로세싱 방법들 및 장치들이 미국 특허 제 9,996,004 호; 국제 특허 출원 공개 번호 WO 2020/102085; 및 특허 출원 공개 번호 WO 2019/217749에 기술되고, 이들 각각은 전체가 참조로서 본 명세서에 인용된다.
본 명세서에 기술된 바와 같이, 본 명세서의 막들, 층들, 및 방법들은 임의의 유용한 전구체와 함께 채용될 수 있다. 일부 경우들에서, 전구체는 다음 화학식 (III) 을 갖는 금속 할라이드를 포함한다:
MXn (III),
M은 금속이고, X는 할로이고, n은 M의 선택에 따라 2 내지 4이다. M에 대한 예시적인 금속들은 Sn, Te, Bi, 또는 Sb를 포함한다. 예시적인 금속 할라이드들은 SnBr4, SnCl4, SnI4, 및 SbCl3을 포함한다.
또 다른 비제한적인 전구체는 화학식 (IV) 을 갖는 구조를 포함한다:
MRn (IV),
M은 금속이고; R 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 아미노 (예를 들어, -NR2, 여기서 R 각각은 독립적으로 알킬임), 선택 가능하게 치환된 비스(트리알킬실릴)아미노 (예를 들어, -N(SiR3)2, 여기서 R 각각은 독립적으로 알킬임), 또는 선택 가능하게 치환된 트리알킬실릴 (예를 들어, -SiR3, 여기서 R 각각은 독립적으로 알킬임); 그리고 n은 M의 선택에 따라 2 내지 4이다. M에 대한 예시적인 금속들은 Sn, Te, Bi, 또는 Sb를 포함한다. 알킬기는 CnH2n +1일 수도 있고, 여기서 n은 1, 2, 3, 이상이다. 예시적인 유기금속제들은 SnMe4, SnEt4, TeRn, RTeR, t-부틸 텔루륨 하이드라이드 (Te(t-Bu) (H)), 디메틸 텔루륨 (TeMe2), 디(t-부틸) 텔루륨 (Te(t-Bu)2), 디(이소프로필)텔루륨 (Te(i-Pr)2), 비스(트리메틸실릴)텔루륨 (Te(SiMe3)2), 비스(트리에틸실릴) 텔루륨 (Te(SiEt3)2), 트리스(비스(트리메틸실릴)아미도) 비스무트 (Bi[N(SiMe3)2]3), Sb(NMe2)3, 등을 포함한다.
또 다른 비제한적인 전구체는 다음 화학식 (V) 을 갖는 캡핑제를 포함할 수 있다:
MLn (V),
M은 금속이고; L 각각은 독립적으로 선택 가능하게 치환된 알킬, 아미노 (예를 들어, -NR1R2, 여기서 R1 및 R2 각각은 본 명세서에 기술된 바와 같이 H 또는 알킬일 수 있음), 알콕시 (예를 들어, -OR, 여기서 R은 본 명세서에 기술된 바와 같이, 알킬임) 할로, 또는 다른 유기 치환기를 포함하고; 그리고 n은 M의 선택에 따라 2 내지 4이다. M에 대한 예시적인 금속들은 Sn, Te, Bi, 또는 Sb를 포함한다. 예시적인 리간드들은 디알킬아미노 (예를 들어, 디메틸아미노, 메틸에틸아미노, 및 디에틸아미노), 알콕시 (예를 들어, t-부톡시 및 이소프로폭시), 할로 (예를 들어, F, Cl, Br, 및 I), 또는 다른 유기 치환기들 (예를 들어, 아세틸아세톤 또는 N 2 ,N 3-디-터트부틸-부탄-2,3-디아미노) 을 포함한다. 비제한적인 캡핑제들은 SnCl4; SnI4; Sn(NR2)4을 포함하고, 여기서 R 각각은 독립적으로 메틸 또는 에틸이고; 또는 Sn(t-BuO)4이다. 일부 실시 예들에서, 복수의 타입들의 리간드들이 존재한다.
전구체는 다음 화학식 (VI) 을 갖는 하이드로카빌-치환된 캡핑제를 포함할 수 있다:
RnMXm (VI),
여기서 M은 금속이고, R은 C2-10 알킬 또는 베타-수소를 갖는 치환된 알킬이고, X는 노출된 하이드록실기들의 하이드록실기와 반응 시 적합한 이탈기 (leaving group) 이다.
다양한 실시 예들에서, n = 1 내지 3, 그리고, m > 0 (또는 m ≥ 1) 인 한, m = 4 - n, 3 - n, 또는 2 - n이다. 예를 들어, R은 t-부틸, t-펜틸, t-헥실, 사이클로헥실, 이소프로필, 이소부틸, sec-부틸, n-부틸, n-펜틸, n-헥실, 또는 베타 위치에 헤테로 원자 (heteroatom) 치환기를 갖는 이의 유도체들일 수도 있다. 적합한 헤테로 원자들은 할로겐 (F, Cl, Br, 또는 I), 또는 산소 (-OH 또는 -OR) 를 포함한다. X는 디알킬아미노 (예를 들어, 디메틸아미노, 메틸에틸아미노, 또는 디에틸아미노), 알콕시 (예를 들어, t-부톡시, 이소프로폭시), 할로 (예를 들어, F, Cl, Br, 또는 I), 또는 또 다른 유기 리간드일 수도 있다. 하이드로카빌-치환된 캡핑제들의 예들은 t-부틸트리스(디메틸아미노)주석 (Sn(t-Bu)(NMe2)3), n-부틸트리스(디메틸아미노)주석 (Sn(n-Bu)(NMe2)3), t-부틸트리스(디에틸아미노)주석 (Sn(t-Bu)(NEt2)3), 디(t-부틸)디(디메틸아미노)주석 (Sn(t-Bu)2(NMe2)2), sec-부틸트리스(디메틸아미노)주석 (Sn(s-Bu)(NMe2)3), n-펜틸트리스(디메틸아미노)주석 (Sn(n-pentyl)(NMe2)3), i-부틸트리스(디메틸아미노)주석 (Sn(i-Bu)(NMe2)3), i-프로필트리스(디메틸아미노)주석 (Sn(i-Pr)(NMe2)3), t-부틸트리스(t-부톡시)주석 (Sn(t-Bu)(t-BuO)3), n-부틸(트리스(t-부톡시)주석 (Sn(n-Bu)(t-BuO)3), 또는 이소프로필트리스(t-부톡시)주석 (Sn(i-Pr)(t-BuO)3) 을 포함한다.
다양한 실시 예들에서, 전구체는 증기-상 반응에서 살아 남을 수 있는 금속 원자 각각 상에 적어도 하나의 알킬기를 포함하지만, 금속 원자에 배위결합된 다른 리간드들 (ligands) 또는 이온들은 대응-반응 물질에 의해 대체될 수 있다. 따라서, 또 다른 비제한적인 전구체는 화학식 (VII) 을 갖는 유기금속제를 포함한다:
MaRbLc (VII),
M은 금속이고; R은 선택 가능하게 치환된 알킬이고; L은 대응-반응 물질과 반응성인 리간드, 이온, 또는 다른 모이어티이고; a ≥ 1; b ≥ 1; 그리고 c ≥ 1이다. 특정한 실시 예들에서, a = 1, 그리고 b + c = 4이다. 일부 실시 예들에서, M은 Sn, Te, Bi, 또는 Sb이다. 특정한 실시 예들에서, L 각각은 독립적으로 아미노 (예를 들어, -NR1R2, 여기서 R1 및 R2 각각은 본 명세서에 기술된 임의의, H 또는 알킬일 수 있음), 알콕시 (예를 들어, -OR, 여기서 R은 본 명세서에 기술된 임의의, 알킬), 또는 할로 (예를 들어, F, Cl, Br, 또는 I) 이다. 예시적인 제제들은 SnMe3Cl, SnMe2Cl2, SnMeCl3, SnMe(NMe2)3, SnMe2(NMe2)2, SnMe3(NMe2), 등을 포함한다.
다른 실시 예들에서, 비제한적인 전구체는 화학식 (VIII) 을 갖는 유기금속제를 포함한다:
MaLc (VIII),
M은 금속이고; L은 대응-반응 물질과 반응성인 리간드, 이온, 또는 다른 모이어티이고; a ≥ 1; 그리고 c ≥ 1이다. 특정한 실시 예들에서, c = n - 1이고, n은 2, 3, 또는 4이다. 일부 실시 예들에서, M은 Sn, Te, Bi, 또는 Sb이다. 대응-반응 물질들은 바람직하게 화학적 결합을 통해 적어도 2 개의 금속 원자들을 연결하도록 (link) 반응성 모이어티들, 리간드들 또는 이온들 (예를 들어, 본 명세서의 화학식들에서 L) 을 대체하는 능력을 갖는다.
본 명세서의 임의의 실시 예에서, R은 선택 가능하게 치환된 알킬 (예를 들어, C1-10 알킬) 일 수 있다. 일 실시 예에서, 알킬은 하나 이상의 할로 (예를 들어, F, Cl, Br, 또는 I와 같은 1, 2, 3, 4 또는 그 이상의 할로를 포함하는 할로-치환된 C1-10 알킬) 로 치환된다. 예시적인 R 치환기들은 CnH2n +1, 바람직하게 n ≥ 3; 및 CnFxH(2n+1-x), 여기서 2n+1 ≤ x ≤ 1이다. 다양한 실시 예들에서, R은 적어도 하나의 베타-수소, 베타-할로겐 또는 베타-불소를 갖는다. 예를 들어, R은 i-프로필, n-프로필, t-부틸, i-부틸, n-부틸, sec-부틸, n-펜틸, i-펜틸, t-펜틸, sec-펜틸, 및 이들의 혼합물들로 구성된 그룹으로부터 선택될 수도 있다.
본 명세서의 임의의 실시 예에서, L은 아미노 (예를 들어, -NR1R2, 여기서 R1 및 R2 각각은 임의의 본 명세서에 기술된 바와 같은 H 또는 알킬일 수 있음), 알콕시 (예를 들어, -OR, 여기서 R은 임의의 본 명세서에 기술된 바와 같은 알킬), 카르복실레이트들, 할로 (예를 들어, F, Cl, Br, 또는 I), 및 이들의 혼합물로 구성된 그룹으로부터 선택된 모이어티와 같은, M-OH 모이어티를 생성하기 위해 대응-반응 물질에 의해 용이하게 치환될 수도 있다.
대응-반응 물질들은 바람직하게 화학적 결합을 통해 적어도 2 개의 금속 원자들을 연결하도록 (link) 반응성 모이어티들, 리간드들 또는 이온들 (예를 들어, 본 명세서의 화학식들에서 L) 을 대체하는 능력을 갖는다. 예시적인 대응-반응 물질들은 산소 (O2), 오존 (O3), 물, 페록사이드들 (예를 들어, 수소 페록사이드 (hydrogen peroxide)), 산소 플라즈마, 물 플라즈마, 알코올들, 디하이드록시 알코올들, 폴리하이드록시 알코올들, 플루오르화된 디하이드록시 알코올, 플루오르화된 폴리하이드록시 알코올들, 플루오르화된 글리콜들, 포름산, 및 하이드록실 모이어티들의 다른 소스들, 뿐만 아니라 이들의 조합들과 같은 산소-함유 대응-반응 물질들을 포함한다. 다양한 실시 예들에서, 대응-반응 물질은 이웃하는 금속 원자들 사이에 산소 브리지들 (bridges) 을 형성함으로써 전구체와 반응한다. 다른 잠재적인 대응-반응 물질들은 황 브리지들을 통해 금속 원자들을 교차 결합시킬 (crosslink) 수 있는 수소 설파이드 및 수소 디설파이드 및 텔루륨 브리지들을 통해 금속 원자들을 교차 결합할 수 있는 비스(트리메틸실릴)텔루륨을 포함한다. 이에 더하여, 수소 아이오다이드는 요오드를 막 내로 통합시키기 위해 활용될 수도 있다.
또 다른 비제한적인 대응-반응 물질들은 화학식 ZR2를 갖는 칼코게나이드 전구체를 포함하고, 여기서: Z는 황, 셀레늄 또는 텔루륨이고; 그리고 R 각각은 독립적으로 H, 선택 가능하게 치환된 알킬 (예를 들어, 메틸, 에틸, n-프로필, 이소프로필, n-부틸, t-부틸, 등), 선택 가능하게 치환된 알케닐, 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 알콕시, 또는 선택 가능하게 치환된 트리알킬실릴이다.
예시적인 유기금속제들은 SnMeCl3, (N 2,N 3-디-t-부틸-부탄-2,3-디아미도) 주석(II) (Sn (tbba)), 비스(비스(트리메틸실릴)아미도) 주석(II), 테트라키스(디메틸아미노) 주석(IV) (Sn(NMe2)4), t-부틸 트리스(디메틸아미노) 주석 (Sn(t-butyl)(NMe2)3), i-부틸 트리스(디메틸아미노) 주석 (Sn(i-Bu)(NMe2)3), n-부틸 트리스(디메틸아미노) 주석 (Sn (n-Bu)(NMe2) 3), sec-부틸 트리스(디메틸아미노) 주석 (Sn(s-Bu) (NMe2)3), i-프로필(트리스)디메틸아미노 주석 (Sn(i-Pr) (NMe2)3), n-프로필 트리스(디에틸아미노) 주석 (Sn(n-Pr)(NEt2)3), 및 유사한 알킬(트리스) (t-부톡시) 주석 화합물들, 예컨대 t-부틸 트리스(t-부톡시) 주석 (Sn(t-Bu) (t-BuO)3) 를 포함한다. 일부 실시 예들에서, 유기금속제들은 부분적으로 플루오르화된다.
리소그래피 프로세스들
EUV 리소그래피는 액체-기반 스핀-온 기법들에 의해 생성된 중합체-기반 화학적으로 증폭된 레지스트들 또는 건식 기상-증착된 기법들에 의해 생성된 금속 옥사이드계 레지스트들일 수도 있는 EUV 레지스트들을 사용한다. 이러한 EUV 레지스트들은 본 명세서에 기술된 임의의 EUV-감응 막 또는 재료를 포함할 수 있다. 리소그래피 방법들은, 예를 들어, 포토 패턴을 형성하기 위해 EUV 방사선으로 EUV 레지스트의 노출에 의해 레지스트를 패터닝하고, 이어서 마스크를 형성하기 위해 포토 패턴에 따라 레지스트의 일부를 제거함으로써 패턴을 현상하는 것을 포함할 수 있다.
본 개시가 EUV 리소그래피에 의해 예시된 리소그래피 패터닝 기법들 및 재료들에 관한 것이지만, 이는 또한 다른 차세대 리소그래피 기법들에 적용 가능하다는 것이 이해되어야 한다. 현재 사용 및 개발 중인 표준 13.5 ㎚ EUV 파장을 포함하는 EUV에 더하여, 이러한 리소그래피와 가장 관련이 있는 방사선 소스들은, 일반적으로 248 ㎚ 또는 193 ㎚ 엑시머 레이저 소스들의 사용을 지칭하는 DUV (Deep-UV), X-선 범위의 보다 낮은 에너지 범위의 EUV를 형식적으로 포함하는 X-선, 뿐만 아니라 넓은 에너지 범위를 커버할 수 있는 e-빔이다. 이러한 방법들은 기판의 표면 상의 이미징/PR 층으로서 금속 옥사이드 (예를 들어, 다른 비금속 및 비-산소기를 포함할 수도 있는 금속 옥사이드 결합들의 네트워크를 포함하는 층) 막을 형성하기 위해 (예를 들어, 선택 가능하게 노출된 하이드록실기들을 갖는) 기판이 전구체 (예를 들어, 본 명세서에 기술된 임의의 전구체) 와 콘택트하는 것을 포함한다. 특정한 방법들은 반도체 기판 및 궁극적인 반도체 디바이스에 사용된 특정한 재료들 및 적용 예들에 종속될 수도 있다. 따라서, 본 적용 예에 기술된 방법들은 단지 본 기술 (technology) 에서 사용될 수도 있는 방법들 및 재료들의 예시이다. 일부 실시 예들에서, 리소그래피는 10 ㎚ 내지 400 ㎚인 파장을 갖는 방사선 소스의 사용을 포함한다.
직접 포토패터닝 가능한 EUV 레지스트들은 금속들 및/또는 금속 옥사이드들로 구성되거나 이를 함유할 수도 있다. 금속들/금속 옥사이드들은 EUV 광자 흡수를 향상시키고 2 차 전자들을 생성할 수 있고 그리고/또는 아래에 놓인 막 스택 및 디바이스 층들에 대해 상승된 에칭 선택도를 나타낼 수 있다는 점에서 매우 유망하다. 리소그래피 동안 채용된 부가적인 프로세스들은 이하에 상세히 기술된다.
건식 증착을 포함한 증착 프로세스들
상기 논의된 바와 같이, 본 개시는 EUV 또는 다른 차세대 리소그래피 기법들을 사용하여 패터닝될 수도 있는, 반도체 기판들 상의 막들을 위한 방법들을 제공한다. 이러한 방법들은 중합된 유기금속 재료들이 증기로 생성되고, 기판 상에 증착되는 것을 포함한다. 일부 실시 예들에서, 건식 증착은 임의의 유용한 전구체 (예를 들어, 본 명세서에 기술된 금속 할라이드들, 캡핑제들, 또는 유기금속제들) 를 채용할 수 있다. 다른 실시 예들에서, 스핀-온 포뮬레이션이 사용될 수도 있다. 증착 프로세스들은 레지스트 막 또는 EUV-감응 막으로서 EUV-감응 재료를 도포하는 것을 포함할 수 있다.
이러한 EUV-감응 막들은 EUV에 노출될 때, 금속 원자들에 결합된 벌키 펜던트 리간드들의 손실과 같은 변화들을 겪는 재료들을 포함한다. 비노출 영역이 치밀한 M-O-M 풍부 재료를 포함하면, EUV 유도된 절단은 포지티브 톤 현상액에 의해 보다 용이하게 제거되는 중간체들을 제공할 수 있다.
EUV 패터닝을 통해, 비노출 영역들에 대해 물리적 또는 화학적 특성들이 변경된 막들의 영역들이 생성된다. 이들 특성들은 후속 프로세싱에서, 예컨대 노출 영역 또는 비노출 영역을 용해시키도록, 또는 노출 영역 또는 비노출 영역 상에 재료들을 선택적으로 증착하도록 활용될 수도 있다. 일부 실시 예들에서, 이러한 후속 프로세싱이 수행되는 조건들 하에 비노출 막은 소수성 표면을 갖고, 노출된 막은 친수성 표면을 갖는다 (노출 영역 및 비노출 영역의 친수성 특성들이 서로 상대적인 것이 인식된다). 예를 들어, 재료의 제거는 막의 화학 조성, 밀도 및 교차 결합의 차이들을 활용함으로써 (leveraging) 수행될 수도 있다. 제거는 본 명세서에 더 기술된 바와 같이 습식 프로세싱에 의한 것일 수도 있다.
기판의 표면 상에 형성된 EUV-패터닝 가능한 막의 두께는 표면 특징들, 사용된 재료들, 및 프로세싱 조건들에 따라 가변할 수도 있다. 다양한 실시 예들에서, 막 두께는 약 0.5 ㎚ 내지 약 100 ㎚ 범위일 수도 있다. 바람직하게, 막은 EUV 패터닝의 조건들 하에서 대부분의 EUV 광을 흡수하기에 충분한 두께를 갖는다. 예를 들어, 레지스트 막의 전체 흡수는 레지스트 막의 하단부의 레지스트 재료가 충분히 노출되도록 30 % 이하 (예를 들어, 10 % 이하, 또는 5 % 이하) 일 수도 있다. 일부 실시 예들에서, 막 두께는 10 내지 20 ㎚이다. 본 개시의 메커니즘, 기능 또는 실용성 (utility) 을 제한하지 않고, 당업계의 습식 프로세스, 스핀-코팅 프로세스와 달리, 본 개시의 프로세스들은 기판의 표면 접착 특성들에 대해 보다 적은 제한들을 갖고, 따라서 폭넓고 다양한 범위의 기판들에 적용될 수 있다고 여겨진다. 게다가, 상기 논의된 바와 같이, 증착된 막들은 표면 피처들에 밀접하게 컨폼할 (conform) 수도 있어, 하부 피처들을 갖는 기판들과 같은 기판들 위에 이러한 피처들을 "충진 (filling in)" 또는 달리 평탄화하지 않고 마스크들을 형성하는 이점들을 제공한다.
막은 임의의 유용한 방식으로 증착된 금속 옥사이드 층으로 구성될 수도 있다. 이러한 금속 옥사이드 층은 대응-반응 물질과 조합된 전구체 (예를 들어, 금속-함유 전구체, 금속 할라이드, 캡핑제, 또는 유기금속제) 와 같은, 본 명세서에 기술된 임의의 EUV-감응 재료를 사용함으로써 증착되거나 도포될 수 있다. 예시적인 프로세스들에서, 중합된 유기금속 재료는 금속 옥사이드 층을 제공하기 위해 기판의 표면 상에 기상 (vapor phase) 또는 인 시츄 (in situ) 로 형성된다. 금속 옥사이드 층은 막, 접착 층, 또는 캡핑 층으로서 채용될 수도 있다.
선택 가능하게, 금속 옥사이드 층은 하이드록실-종단된 금속 옥사이드 층을 포함할 수 있고, 이는 산소-함유 대응-반응 물질과 함께 캡핑제 (예를 들어, 본 명세서에 기술된 임의의 캡핑제) 를 채용함으로써 증착될 수 있다. 이러한 하이드록실-종단된 금속 옥사이드 층은 예를 들어, 2 개의 다른 층들 사이, 예컨대 기판과 막 사이 및/또는 포토레지스트 층과 하부 층 사이의 접착 층으로서 채용될 수 있다.
(예를 들어, 막에 대한) 예시적인 증착 기법들은 ALD (예를 들어, 열적 ALD 및 플라즈마-강화된 ALD), 스핀-코트 증착, PVD 공-스퍼터링을 포함하는 PVD, CVD (예를 들어, PE-CVD 또는 LP-CVD), 스퍼터링 증착, e-빔 공-증착을 포함하는 e-빔 증착, 등, 또는 이들의 조합, 예컨대 CVD 컴포넌트를 갖는 ALD, 예컨대 전구체들 및 대응-반응 물질들이 시간 또는 공간에서 분리되는, 불연속적인 ALD-유사 프로세스를 포함한다.
본 개시에 적용 가능한 EUV 포토레지스트 막들로서 전구체들 및 이들의 증착을 위한 방법들의 추가의 기술은 명칭이 METHODS FOR MAKING EUV PATTERNABLE HARD MASKS인 2019 년 5 월 9 일 출원된, WO2019/217749로 공개된 국제 출원 번호 PCT/US2019/031618에서 찾을 수 있다. 박막들은 막의 화학적 또는 물리적 특성들을 개질하도록, 예컨대 EUV에 대한 막의 감도를 수정하거나 에칭 내성을 향상시키기 위해 전구체 및 대응-반응 물질에 더하여 선택 가능한 재료들을 포함할 수도 있다. 이러한 EUV-감응 막들은 EUV에 노출될 때, 금속 원자들에 결합된 벌키 펜던트 리간드들의 손실과 같은 변화들을 겪는 재료들을 포함한다. 비노출 영역이 치밀한 M-O-M 풍부 재료를 포함하면, EUV 유도된 절단은 포지티브 톤 현상액에 의해 보다 용이하게 제거되는 중간체들을 제공할 수 있다.
일반적으로, 방법들은 중합된 유기금속 재료를 형성하기 위해 전구체 (예를 들어, 유기금속 전구체와 같은, 금속-함유 전구체) 의 증기 스트림을 선택가능한 대응-반응 물질의 증기 스트림과 혼합하는 단계 및 반도체 기판의 표면 상에 유기금속 재료를 증착하는 단계를 포함한다. 일부 실시 예들에서, 전구체와 선택 가능한 대응-반응 물질을 혼합하여 중합된 유기금속 재료를 형성할 수 있다. 당업자에 의해 이해될 바와 같이, 프로세스의 혼합 및 증착 양태들은 실질적으로 연속 프로세스에서 동시에 발생할 수도 있다.
일 예시적인 연속 CVD 프로세스에서, 기판 상에 (예를 들어, 금속-산소-금속 결합 형성을 통해) 응집된 (agglomerated) 중합 재료들 또는 막을 형성하기 위해, 전구체 및 선택가능한 대응-반응 물질의 소스들의, 개별적인 유입구 경로들 내의, 2 개 이상의 가스 스트림들은, 이들이 가스상 (gas phase) 으로 혼합되고 반응하는, CVD 장치의 증착 챔버에 도입된다. 가스 스트림들은 예를 들어, 개별적인 주입 유입구들 또는 듀얼-플레넘 (dual-plenum) 샤워헤드를 사용하여 도입될 수도 있다. 장치는 전구체 및 선택 가능한 대응-반응 물질의 스트림들이 챔버 내에서 혼합되도록 구성되어, 전구체 및 선택 가능한 대응-반응 물질이 반응하여 중합된 유기금속 재료 또는 막 (예를 들어, 금속-산소-금속 결합 형성을 통한 것과 같은, 금속 옥사이드 코팅 또는 응집된 중합 재료들) 을 형성한다.
금속 옥사이드를 증착하기 위해, CVD 프로세스는 일반적으로 감소된 압력들, 예컨대 0.1 Torr 내지 10 Torr에서 수행된다. 일부 실시 예들에서, 프로세스는 1 Torr 내지 2 Torr의 압력들에서 수행된다. 기판의 온도는 바람직하게 반응 물질 스트림들의 온도 이하이다. 예를 들어, 기판 온도는 0 ℃ 내지 250 ℃ 또는 주위 온도 (예를 들어, 23 ℃) 내지 150 ℃일 수도 있다.
응집된 중합 재료들을 증착하기 위해, CVD 프로세스는 일반적으로 10 mTorr 내지 10 Torr와 같은 감소된 압력에서 수행된다. 일부 실시 예들에서, 프로세스는 0.5 내지 2 Torr에서 수행된다. 기판의 온도는 바람직하게 반응 물질 스트림들의 온도이거나 이하이다. 예를 들어, 기판 온도는 0 ℃ 내지 250 ℃ 또는 주위 온도 (예를 들어, 23 ℃) 내지 150 ℃일 수도 있다. 다양한 프로세스들에서, 기판 상에 중합된 유기금속 재료의 증착은 표면 온도에 반비례하는 레이트들로 발생한다. 본 기술의 메커니즘, 기능 또는 실용성을 제한하지 않고, 금속 원자들이 대응-반응 물질에 의해 교차 결합되기 때문에 이러한 기상 반응으로부터의 생성물이 분자량이 보다 무거워지고, 이어서 응결되거나 그렇지 않으면 기판 상에 증착되는 것으로 여겨진다. 다양한 실시 예들에서, 벌키 알킬기들의 입체 장애 (steric hindrance) 는 치밀하게 패킹된 네트워크의 형성을 더 방지하고, 상승된 다공성을 갖는 저밀도 막들을 생성한다.
건식 증착 방법들을 사용하는 잠재적인 이점은 막이 성장함에 따라 막의 조성을 튜닝하기 쉽다는 것이다. CVD 프로세스에서, 이는 증착 동안 제 1 전구체 및 제 2 전구체의 상대적인 플로우들을 변화시킴으로써 달성될 수도 있다. 증착은 0.01 Torr 내지 100 Torr, 그러나 보다 일반적으로 약 0.1 Torr 내지 10 Torr의 압력들에서 30 ℃ 내지 200 ℃에서 발생할 수도 있다.
막 (예를 들어, 금속-산소-금속 결합 형성을 통한 것과 같은, 금속 옥사이드 코팅 또는 응집된 중합 재료들) 이 또한 ALD 프로세스에 의해 증착될 수도 있다. 예를 들어, 전구체(들) 및 선택 가능한 대응-반응 물질은 ALD 사이클을 나타내는 개별 시간들에 도입된다. 전구체들은 표면 상에서 반응하여, 사이클 각각에 대해 한 번에 재료의 단층 (monolayer) 까지 형성한다. 이는 표면에 걸친 막 두께의 균일성에 대한 우수한 제어를 허용할 수도 있다. ALD 프로세스는 일반적으로 감소된 압력들, 예컨대 0.1 Torr 내지 10 Torr에서 수행된다. 일부 실시 예들에서, 프로세스는 1 Torr 내지 2 Torr에서 수행된다. 기판 온도는 0 ℃ 내지 250 ℃ 또는 주위 온도 (예를 들어, 23 ℃) 내지 150 ℃일 수도 있다. 프로세스는 열적 프로세스 또는 바람직하게, 플라즈마-보조된 증착일 수도 있다.
본 명세서의 임의의 증착 방법들은 2 개 이상의 상이한 전구체들의 사용을 허용하도록 수정될 수 있다. 일 실시 예에서, 전구체들은 동일한 금속이지만 상이한 리간드들을 포함할 수 있다. 또 다른 실시 예에서, 전구체들은 상이한 금속 그룹들을 포함할 수 있다. 일 비제한적인 예에서, 다양한 휘발성 전구체들의 교번하는 플로우들은 상이한 제 2 금속 (예를 들어, Te) 을 갖는 실릴-기반 전구체와 함께 제 1 금속 (예를 들어, Sn) 을 갖는 금속 알콕사이드 전구체의 사용과 같은 혼합된 금속-함유 층을 제공할 수 있다.
본 명세서의 프로세스들은 표면 개질을 달성하기 위해 사용될 수 있다. 일부 반복들에서, 전구체의 증기가 웨이퍼 위를 통과할 수도 있다. 웨이퍼는 반응이 진행되도록 열 에너지를 제공하도록 가열될 수도 있다. 일부 반복들에서, 가열은 약 50 ℃ 내지 약 250 ℃일 수 있다. 일부 경우들에서, 전구체의 펄스들이 펌프 단계 및/또는 퍼지 단계에 의해 분리되어 사용될 수도 있다. 예를 들어, 제 1 전구체는 ALD 또는 ALD-유사 성장을 발생시키는 제 2 전구체 펄스들의 펄스들 사이에서 펄싱될 수도 있다. 다른 경우들에서, 두 전구체들은 동시에 흐를 수도 있다. 표면 개질에 유용한 원소들의 예들은 I, F, Sn, Bi, Sb, Te, 및 이들 화합물들의 옥사이드들 또는 합금들을 포함한다.
본 명세서의 프로세스들은 ALD 또는 CVD에 의해 박형 금속 옥사이드 또는 금속을 증착하도록 사용될 수 있다. 예들은 주석 옥사이드 (SnOx), 비스무트 옥사이드 (BiOx), 및 Te를 포함한다. 증착 후에, 막은 본 명세서의 다른 곳에 기술된 바와 같이, MaRbLc 형태의 알킬 치환된 전구체로 캡핑될 수도 있다. 대응-반응 물질은 리간드들을 보다 잘 제거하기 위해 사용될 수도 있고, 기판 표면의 완전한 포화를 보장하기 위해 복수의 사이클들이 반복될 수도 있다. 이어서 표면은 EUV-감응 막이 증착될 준비가 될 수 있다. 일 가능한 방법은 SnOx의 박막을 생성하는 것이다. 가능한 화학 물질들은 테트라키스(디메틸아미노)주석 및 물 또는 O2 플라즈마와 같은 대응-반응 물질을 순환시킴으로써 SnO2의 성장을 포함한다. 성장 후, 캡핑제가 사용될 수 있다. 예를 들어, 이소프로필트리스(디메틸아미노)주석 증기가 표면 위로 흐를 수도 있다.
증착 프로세스들은 임의의 유용한 표면 상에 채용될 수 있다. 본 명세서에 참조된 바와 같이, "표면"은 상부에 본 기술의 막이 증착되거나 프로세싱 동안 EUV에 노출되는 표면이다. 이러한 표면은 (예를 들어, 그 위에 막이 증착될) 기판 상에, (예를 들어, 그 위에 캡핑 층이 증착될 수 있는) 막 상에, 또는 하부층 상에 존재할 수 있다.
리소그래픽 프로세싱, 구체적으로 집적 회로들 및 다른 반도체 디바이스들의 생산에 적합한 임의의 재료 구성을 포함하여, 임의의 유용한 기판이 채용될 수 있다. 일부 실시 예들에서, 기판들은 실리콘 웨이퍼들이다. 기판들은 그 위에 불규칙한 표면 토포그래피를 갖는, 피처들 ("하부 토포그래픽 피처들") 이 생성되는 실리콘 웨이퍼들일 수도 있다.
이러한 하부 토포그래픽 피처들은 이 기술의 방법을 수행하기 전 프로세싱 동안 (예를 들어, 에칭에 의해) 재료가 제거된 영역들 또는 (예를 들어, 증착에 의해) 재료들이 부가된 영역들을 포함할 수도 있다. 이러한 사전 프로세싱은 이 기술의 방법들 또는 2 개 이상의 피처들의 층들이 기판 상에 형성되는 반복 프로세스의 다른 프로세싱 방법들을 포함할 수도 있다. 본 기술의 메커니즘, 기능 또는 실용성을 제한하지 않고, 일부 실시 예들에서, 본 기술의 방법들은 포토리소그래픽 막들이이 스핀 캐스팅 방법들을 사용하여 기판들의 표면 상에 증착되는 당업계에 공지된 방법들 중의 방법들에 대한 이점들을 제공하는 것으로 여겨진다. 이러한 이점들은 하부 피처들을 "충진 (filling in)" 또는 달리 이러한 피처들을 평탄화하지 않고 하부 피처들에 대한 본 기술의 막들의 컨포먼스 (conformance) 로부터, 그리고 폭넓고 다양한 범위의 재료 표면들 상에 막들을 증착하는 능력으로부터 유도될 수도 있다.
일부 실시 예들에서, 기판은 하부 반도체 재료의 리소그래피 에칭에 사용되는 하드 마스크이다. 하드 마스크는 비정질 탄소 (a-C), 주석 옥사이드 (예를 들어, SnOx), 실리콘 옥사이드 (예를 들어, SiO2), 실리콘 옥시나이트라이드 (예를 들어, SiOxNy), 실리콘 옥시카바이드 (예를 들어, SiOxCy), 실리콘 나이트라이드 (예를 들어, Si3N4), 티타늄 옥사이드 (예를 들어, TiO2), 티타늄 나이트라이드 (예를 들어, TiN), 텅스텐 (예를 들어, W), 도핑된 탄소 (예를 들어, W-도핑된 C), 텅스텐 옥사이드 (예를 들어, WOx), 하프늄 옥사이드 (예를 들어, HfO2), 지르코늄 옥사이드 (예를 들어, ZrO2), 및 알루미늄 옥사이드 (예를 들어, Al2O3) 를 포함하는, 임의의 다양한 재료들을 포함할 수도 있다. 예를 들어, 기판은 바람직하게 SnO2와 같은 SnOx를 포함할 수도 있다. 다양한 실시 예들에서, 층은 1 ㎚ 내지 100 ㎚ 두께, 또는 2 ㎚ 내지 10 ㎚ 두께일 수도 있다.
일부 비제한적인 실시 예들에서, 기판은 하부 층을 포함한다. 본 명세서에 기술된 바와 같이, 하부 층은 하드 마스크 또는 다른 층 상에 증착될 수도 있고 일반적으로 이미징 층 (또는 막) 아래에 있다. 하부 층은 PR의 감도를 개선하고, EUV 흡수율을 증가시키고, 그리고/또는 PR의 패터닝 성능을 증가시키도록 사용될 수도 있다. 상당한 토포그래피 (topography) 를 생성하는 패터닝될 기판 상에 디바이스 피처들이 존재하는 경우들에서, 하부 층의 또 다른 중요한 기능은 후속하는 패터닝 단계가 포커싱된 패턴의 모든 영역들을 갖는 편평한 표면 상에서 수행될 수도 있도록 기존의 토포그래피를 오버 코팅하고 평탄화하는 것일 수 있다. 이러한 적용 예들에 대해, 하부 층 (또는 복수의 하부 층들 중 적어도 하나) 은 스핀-코팅 기법들을 사용하여 도포될 수도 있다. 채용되는 포토레지스트 재료가 상당한 무기 컴포넌트를 가질 때, 예를 들어, 이는 주로 금속 옥사이드 구조 (framework) 를 나타낼 때, 하부 층은 유리하게 스핀-코팅 프로세스 또는 건식 진공-기반 증착 프로세스에 의해 도포된, 탄소계 막일 수도 있다. 층은 탄소계 조성 및 수소계 조성을 갖는 다양한 AHM (ashable hardmask) 막들을 포함할 수도 있고, 텅스텐, 붕소, 질소, 또는 불소와 같은 부가적인 원소들로 도핑될 수도 있다.
다양한 실시 예들에서, (예를 들어, 기판 및/또는 막의) 표면은 표면 상에 노출된 하이드록실기들을 포함한다. 일반적으로, 표면은 노출된 하이드록실 표면을 포함하거나 생성하도록 처리된 임의의 표면일 수도 있다. 이러한 하이드록실기들은 산소 플라즈마, 물 플라즈마, 또는 오존을 사용한 기판의 표면 처리에 의해 표면 상에 형성될 수도 있다. 다른 실시 예들에서, 막의 표면은 노출된 하이드록실기들을 제공하도록 처리될 수 있고, 그 위에 캡핑 층이 도포될 수 있다. 다양한 실시 예들에서, 하이드록시-종단된 금속 옥사이드 층은 0.1 ㎚ 내지 20 ㎚, 또는 0.2 ㎚ 내지 10 ㎚, 또는 0.5 ㎚ 내지 5 ㎚의 두께를 갖는다.
EUV 노출 프로세스들
막의 EUV 노출은 EUV-매개된 절단 이벤트들에 의해 생성되는 금속 원자 (M) 를 포함하는 활성화된 반응성 중심들을 갖는 EUV 노출 영역들을 제공할 수 있다. 이러한 반응성 중심들은 댕글링 금속 결합들, M-H 기들, 절단된 M-리간드 기들, 이량체화된 M-M 결합들, 또는 M-O-M 브리지들을 포함할 수 있다.
EUV 노출은 진공 분위기 (vacuum ambient) 에서 약 10 ㎚ 내지 약 20 ㎚의 범위의 파장, 예컨대 10 ㎚ 내지 15 ㎚, 예를 들어, 13.5 ㎚의 파장을 가질 수 있다. 특히, 패터닝은 패턴을 형성하기 위해 EUV 노출 영역들 및 EUV 비노출 영역들을 제공할 수 있다.
본 기술은 EUV, 뿐만 아니라 DUV 또는 e-빔을 사용한 패터닝을 포함할 수 있다. 이러한 패터닝에서, 방사선은 이미징 층의 하나 이상의 영역들 상에 포커싱된다. 노출은 통상적으로 이미징 층 막이 방사선에 노출되지 않은 하나 이상의 영역들을 포함하도록 수행된다. 발생하는 이미징 층은 반도체 디바이스의 트랜지스터 또는 다른 피처들의 생성과 일치하는 패턴을 생성하고, 기판의 후속 프로세싱에서 기판으로부터 재료의 추가 또는 제거에 의해 형성된, 복수의 노출 영역 및 비노출 영역을 포함할 수도 있다. 본 명세서에서 유용한 EUV, DUV 및 e-빔 방사 방법들 및 장비는 당업계에 공지된 방법들 및 장비를 포함한다.
일부 EUV 리소그래피 기법들에서, 유기 하드 마스크 (예를 들어, PECVD 비정질 수소화된 탄소의 애시 가능 하드 마스크) 가 종래의 포토레지스트 프로세스를 사용하여 패터닝된다. 포토레지스트 노출 동안, EUV 방사선은 레지스트 및 아래의 기판에서 흡수되어, 고에너지 광전자들 (예를 들어, 약 100 eV) 을 생성하고 결국 수 나노 미터만큼 측면으로 확산하는 저에너지 2 차 전자들의 캐스케이드 (예를 들어, 약 10 eV) 를 생성한다. 이들 전자들은 레지스트에서 EUV 도즈 감도를 상승시키는 화학 반응들의 정도를 상승시킨다. 그러나, 본질적으로 랜덤한 2 차 전자 패턴이 광학 이미지 상에 중첩된다 (superimpose). 이 원치 않은 2 차 전자 노출은 패터닝된 레지스트에서 분해능 손실, 식별 가능한 LER (line edge roughness) 및 라인 폭 변동을 발생시킨다. 이들 결함들은 후속하는 패턴 전사 에칭 동안 패터닝될 재료에 복제된다.
막 형성 (증착/응결) 및 광학 리소그래피를 결합하여 크게 개선된 EUV 리소그래피 (EUVL) 성능-예를 들어 감소된 라인 에지 거칠기-의 결과를 갖는 진공-통합된 금속 하드 마스크 프로세스 및 관련된 진공-통합된 하드웨어가 본 명세서에 개시된다.
본 명세서에 기술된 다양한 실시 예들에서, 증착 (예를 들어, 응결) 프로세스 (예를 들어, Lam Vector®와 같은 PECVD 툴에서 수행된 ALD 또는 MOCVD) 가 (예를 들어, 대략 10 ㎚ 내지 20 ㎚의 파장들의) EUV에서, 예를 들어 EUVL 광원의 파장 (예를 들어, 13.5 ㎚ = 91.8 eV) 에서 강한 흡수로, 감광성 금속 염 또는 금속-함유 유기 화합물 (유기금속 화합물) 과 같은, 금속-함유 막의 박막을 형성하도록 사용될 수 있다. 이 막은 EUV 노출시 광분해되고 후속 에칭 동안 (예를 들어, 도전체 에칭 툴, 예컨대 Lam 2300® Kiyo®에서) 패턴 전사 층인 금속 마스크를 형성한다.
증착 후에, EUV-패터닝 가능한 박막은 통상적으로 상대적으로 고 진공 하에서, EUV 광의 빔으로 노출에 의해 패터닝된다. EUV 노출을 위해, 금속-함유 막은 리소그래피 플랫폼 (예를 들어, NL, Veldhoven 소재의 ASML에 의해 공급된 TWINSCAN NXE:3300B® 플랫폼과 같은 웨이퍼 스텝퍼) 과 통합된 챔버 내에 증착될 수 있고 노출 전에 반응하지 않도록 진공 하에서 이송된다. 리소그래피 툴과 통합은 EUVL이 또한 H2O, O2, 등과 같은 주변 가스들에 의한 입사 광자들의 강한 광 흡수를 고려하면 상당히 감소된 압력을 필요로 한다는 사실에 의해 용이해진다. 다른 실시 예들에서, 감광성 금속 막 증착 및 EUV 노출은 동일한 챔버에서 수행될 수도 있다.
습식 현상을 포함한 현상 프로세스들
EUV 노출 영역 또는 비노출 영역은 모든 유용한 현상 프로세스에 의해 제거될 수 있다. 일 실시 예에서, EUV 노출 영역은 댕글링 금속 결합들, M-H 기들, 또는 이량체화된 M-M 결합들과 같은 활성화된 반응 중심들을 가질 수 있다. 다른 실시 예들에서, EUV 노출 영역들은 습식 현상의 사용에 의해 제거된다.
특정한 실시 예들에서, 포지티브 톤 포토레지스트를 제공하기 위해 EUV 노출 영역들을 제거하기 위해 습식 현상 프로세스가 사용된다. 예시적인, 비제한적인 습식 현상은, 수성 현상액, 비수성 현상액, 알칼리 현상액 (예를 들어, 수성 알칼리 현상액 또는 비수성 알칼리 현상액), 예컨대 암모늄, 예를 들어, 암모늄 하이드록사이드 ([NH4]+[OH]-); 암모늄계 이온성 액체들, 예를 들어, 테트라메틸암모늄 하이드록사이드 (TMAH), 테트라에틸암모늄 하이드록사이드 (TEAH), 테트라프로필암모늄 하이드록사이드 (TPAH), 테트라부틸암모늄 하이드록사이드 (TBAH), 또는 다른 4 차 알킬암모늄 하이드록사이드들; 유기아민, 예컨대 모노-유기 아민, 디-유기 아민, 및 트리-유기 아민 (예를 들어, 디메틸아민, 디에틸아민, 에틸렌디아민, 트리에틸렌테트라민); 또는 모노에탄올아민, 디에탄올아민, 트리에탄올아민, 또는 디에틸렌글리콜아민과 같은 알칸올아민의 사용을 포함할 수 있다. 다른 실시 예들에서, 알칼리 현상액은 질소-함유 염기들, 예를 들어, 화학식 RN1NH2, RN1RN2NH, RN1RN2RN3N, 또는 RN1RN2RN3RN4N+XN1-를 갖는 화합물들을 포함할 수 있고, RN1, RN2, RN3, 및 RN4 각각은 독립적으로, 유기 치환기 (예를 들어, 선택가능하게 치환된 알킬, 선택가능하게 치환된 하이드록시알킬 또는 본 명세서에 기술된 임의의 치환기), 또는 함께 결합될 수 있는 2 개 이상의 유기 치환기들이고, 그리고 XN1-는 OH-, F-, Cl-, Br-, I-, 또는 다른 당업계에 공지된 4 차 암모늄 양이온 종을 포함할 수도 있다. 이들 염기들은 또한 당업계에 공지된 헤테로사이크릴 질소 화합물들을 포함할 수도 있고, 이들 중 일부는 본 명세서에 기술된다.
다른 현상 방법론들은 할라이드 (예를 들어, HF, HCl 또는 HBr), 유기산 (예를 들어, 포름산, 아세트산, 옥살산 또는 시트르산), 또는 유기 할라이드 화합물 (예를 들어, 예컨대 트리플루오로아세트산을 포함하는 유기 불소 화합물; 유기 염소 화합물; 유기 브롬 화합물 또는 유기 요오드 화합물) 을 포함하는 산 현상액 (예를 들어, 수성 산 현상액, 비수성 산 현상액 또는 유기 용매의 산 현상액) 의 사용; 또는 유기 현상액, 예컨대 케톤 (예를 들어, 2-헵타논, 사이클로헥사논, 또는 아세톤), 에스테르 (예를 들어, γ-부티로락톤 또는 에틸 3-에톡시프로피오네이트 (EEP)), 알코올 (예를 들어, 이소프로필 알코올 (IPA)), 또는 에테르, 예컨대 글리콜 에테르 (예를 들어, 프로필렌 글리콜 메틸 에테르 (PGME) 또는 프로필렌 글리콜 메틸 에테르 아세테이트 (PGMEA)), 뿐만 아니라 이들의 조합들의 사용을 포함할 수 있다.
또 다른 현상 방법론들은 탈보호 용매의 사용을 포함할 수 있다. 비제한적인 탈보호 용매들은 유기산 (예를 들어, 본 명세서의 임의의, 예컨대 옥살산) 을 포함하거나 콜린 ([N(CH3)3CH2CH2OH]+), 예컨대 콜린 하이드록사이드 ([N(CH3)3CH2CH2OH]+ [OH]-) 를 포함한다.
현상액은 임의의 유용한 농도로 사용될 수 있다. 일 실시 예에서, 현상액 용액은 약 1 wt.% 내지 약 20 wt.% 및 1.1 wt.% 내지 10 wt.%의 농도들을 포함하여, 용매 (예를 들어, 수성 용매, 비수성 용매, 유기 용매, 또는 이들의 조합) 내에 약 0.5 wt.% 내지 약 30 wt.%의 현상액(들)을 포함한다.
현상액은 산화제들, 계면 활성제들, 염들, 및 킬레이트제들과 같은 하나 이상의 첨가제들과 함께 사용될 수 있다. 첨가제들은 현상 용액에 10 wt.% 미만 또는 5 wt.% 미만의 양으로 선택 가능하게 존재할 수 있다. 비제한적인 산화제들은 과산화수소, 벤조일 과산화수소, 우레아 과산화수소, 또는 이들의 혼합물과 같은 과산화수소 또는 과산을 포함한다. 비제한적인 계면 활성제들은 음이온성, 양이온성 및 비이온성 계면 활성제들, 예컨대 알킬페놀에톡실레이트 (예를 들어, TritonTM X-100 (폴리에틸렌 글리콜 tert-옥틸페닐 에테르), 옥틸 페놀 에톡실레이트, 또는 노닐 페놀 에톡실레이트), 알코올 에톡실레이트 (예를 들어, BRIJ® 56 (C16H33(OCH2CH2)10OH), BRIJ® 58 (C16H33(OCH2CH2)20 OH), 또는 지방 알코올 에톡실레이트), 지방산 에톡실레이트, 폴록사머, 글리세롤의 지방산 에스테르, 아세틸렌 디올, 아민 에톡실레이트, 글루코사이드, 글루카미드, 폴리에틸렌 글리콜, 또는 폴리(에틸렌 글리콜-코-프로필렌 글리콜), 암모늄 퍼플루오로알킬 (예를 들어, 암모늄 퍼플루오로알킬 설포네이트 또는 카르복실레이트), 및 이들의 조합들을 포함한다.
비제한적인 염들은 암모늄, d-블록 금속 양이온들 (하프늄, 지르코늄, 란타늄, 등), f-블록 금속 양이온들 (세륨, 루테튬 (lutetium) 등), p-블록 금속 양이온들 (알루미늄, 주석, 등), 알칼리 금속들 (리튬, 나트륨, 칼륨 등), 및 이들의 조합들의 그룹으로부터 선택된 양이온(들); 및 플루오라이드, 클로라이드, 브로마이드, 아이오다이드, 나이트레이트, 설페이트, 포스페이트, 실리케이트, 보레이트, 퍼옥사이드, 부톡사이드, 포르메이트 (formate), 옥살레이트, 에틸렌디아민-테트라아세트산 (EDTA), 텅스테이트 (tungstate), 몰리브데이트 (molybdate), 등 및 이들의 조합들을 포함한다. 비제한적인 킬레이트제들은 폴리아민들, 알코올 아민들, 아미노산들, 카르복시산들, 또는 이들의 조합들을 포함할 수 있다.
특정한 실시 예들에서, 포지티브 톤 현상액은 수성 알칼리 현상액 (예를 들어, H2O2를 포함하거나 포함하지 않을 수 있는, NH4OH, TMAH, TEAH, TPAH, 또는 TBAH를 포함함); 수성 산성 현상액 (예를 들어, HCl 또는 HF 포함함); 유기 용매 내 산성 현상액; 유기 현상액; 또는 탈보호 용매 (예를 들어, 옥살산, 콜린, 또는 콜린 하이드록사이드를 포함함) 이다. 현상액은 일 용매 또는 용매 조합을 포함할 수 있다.
본 명세서에 기술된 바와 같이, 건식 현상 프로세스들은 (예를 들어, 습식 현상 후) 막을 더 프로세싱하도록 사용될 수 있다. 비제한적인 프로세스들은 HCl-기반 프로세스 또는 HBr-기반 프로세스와 같은 할라이드들의 사용을 포함할 수 있다. 본 개시는 임의의 특정한 이론 또는 동작 메커니즘으로 제한되지 않지만, 이 방법은 증기 또는 플라즈마를 사용하여 휘발성 생성물들을 형성하기 위해 세정 화학 물질 (예를 들어, HCl, HBr, 및 BCl3) 과 건식 증착된 EUV 포토레지스트 막들의 화학적 반응성을 활용하는 것으로 이해된다. 건식 증착된 EUV 포토레지스트 막들은 최대 1 ㎚/s의 에칭 레이트들로 제거될 수 있다. 이들 화학 물질들에 의한 건식 증착된 EUV 포토레지스트 막들의 신속한 제거는 챔버 세정, 배면 세정, 베벨 세정 및 PR 현상에 적용 가능하다. 막들이 다양한 온도들의 증기들 (예를 들어, -10 ℃보다 높은 온도의 HCl 또는 HBr, 또는 예를 들어, 80 ℃보다 높은 온도의 BCl3) 을 사용하여 제거될 수 있지만, 플라즈마는 또한 반응성을 가속화하거나 향상시키도록 사용될 수 있다.
플라즈마 프로세스들은 TCP (Transformer Coupled Plasma), ICP (Inductively Coupled Plasma) 또는 CCP (Capacitively Coupled Plasma) 를 포함하고, 당업계에 공지된 장비 및 기법들을 채용한다. 예를 들어, 프로세스는 0.5 mTorr보다 높은 (예를 들어, 1 mTorr 내지 100 mTorr) 압력, 1000 W보다 낮은 (예를 들어, 500 W보다 낮은) 전력 레벨에서 수행될 수도 있다. 온도들은 1 내지 3000 초 (예를 들어, 10 초 내지 600 초) 동안 100 내지 1000 sccm (standard cubic centimeters per minute), 예를 들어, 약 500 sccm의 플로우 레이트로 30 ℃ 내지 300 ℃ (예를 들어, 30 ℃ 내지 120 ℃) 일 수도 있다.
할라이드 반응 물질 플로우들이 수소 가스 및 할라이드 가스인 경우, 리모트 플라즈마/UV 방사선이 H2 및 Cl2 및/또는 Br2로부터 라디칼들을 생성하도록 사용되고, 수소 및 할라이드 라디칼들은 웨이퍼의 기판 층 상의 패터닝된 EUV 포토레지스트와 콘택트하도록 반응 챔버로 흐른다. 적합한 플라즈마 전력은 바이어스 없이 100 W 내지 500 W의 범위일 수도 있다. 이들 조건들은 일부 프로세싱 반응기들, 예를 들어, 캘리포니아 프레몬트 소재의 Lam Research Corporation으로부터 입수 가능한 Kiyo 에칭 툴에 적합하지만, 프로세싱 반응기의 능력들에 따라 보다 넓은 범위의 프로세스 조건들이 사용될 수도 있다는 것이 이해되어야 한다.
열 현상 프로세스들에서, 기판은 진공 챔버 (예를 들어, 오븐) 의 건식 현상 화학 물질 (예를 들어, 루이스 산) 에 노출된다. 적합한 챔버들은 진공 라인, 건식 현상 수소 할라이드 화학 물질 가스 (예를 들어, HBr, HCl) 라인, 및 온도 제어를 위한 가열기들을 포함할 수 있다. 일부 실시 예들에서, 챔버 내부는 유기 중합체들 또는 무기물 코팅들과 같은 부식 내성 막들로 코팅될 수 있다. 이러한 코팅 중 하나는 폴리테트라플루오로에틸렌 ((PTFE), 예를 들어, TeflonTM) 이다. 이러한 재료들은 플라즈마 노출에 의한 제거 위험 없이 이 개시의 열 프로세스들에서 사용될 수 있다.
건식 현상을 위한 프로세스 조건들은 포토레지스트 막 및 이들의 조성 및 특성들에 따라, 약 10 초 내지 1 분의 시간 동안, 플라즈마를 사용하지 않고 100 sccm 내지 500 sccm (예를 들어, 500 sccm의 HBr 또는 HCl) 의 반응 물질 플로우, -10 ℃ 내지 120 ℃ (예를 들어, -10 ℃) 의 온도, 1 mTorr 내지 500 mTorr (예를 들어, 300 mTorr) 의 압력일 수도 있다.
다양한 실시 예들에서, 본 개시의 방법들은 기상 증착, (EUV) 리소그래픽 포토패터닝 (photopatterning) 및 건식 현상에 의한 막 증착, 형성의 모든 건식 단계들을 결합한다. 이러한 프로세스들에서, 기판은 EUV 스캐너의 포토패터닝에 이어서 건식 현상/에칭 챔버로 직접 이동할 수도 있다. 이러한 프로세스들은 습식 현상과 연관된 재료 및 생산성 비용들을 방지할 수도 있다. 건식 프로세스는 또한 보다 많은 튜닝 가능성을 제공하고, 추가 임계 치수 (Critical Dimension; CD) 제어 및/또는 스컴 (scum) 제거를 제공할 수 있다.
다양한 실시 예들에서, 일정량의 금속, 금속 옥사이드 및 유기 컴포넌트들을 함유하는 EUV 포토레지스트는 화학식 RxZy (R = B, Al, Si, C, S, SO 및 x > 0이고, Z = Cl, H, Br, F, CH4 및 y > 0) 의 화합물을 포함하는 건식 현상 가스를 흘리는 동안, 열, (예를 들어, 가능하게 광 활성화된 플라즈마를 포함하여, 예컨대, 램프-가열 또는 UV 램프 가열된) 플라즈마 또는 열과 플라즈마 방법들의 혼합에 의해 건식 현상될 수 있다. 건식 현상은 RxZy 종이 비노출 대응물을 마스크로서 남기는, 노출된 재료를 선택적으로 제거하는 포지티브 톤을 발생시킬 수 있다. 일부 실시 예들에서, 유기 주석 옥사이드계 포토레지스트 막들의 노출된 부분들은 본 개시에 따른 건식 현상에 의해 제거된다. 포지티브 톤 건식 현상은 라디칼들을 생성하기 위해 플라즈마를 스트라이킹하지 않고 HCl 및/또는 HBr을 포함하는 수소 할라이드들 또는 수소 및 할라이드들을 포함하는 플로우들, 또는 플라즈마로부터 생성된 리모트 플라즈마 또는 UV 방사선과 함께 H2 및 Cl2 및/또는 Br2의 플로우들에 노출된 EUV 노출 영역들의 선택적인 건식 현상 (제거) 에 의해 달성될 수도 있다.
기타 프로세스들
본 명세서의 방법들은 이하에 기술된 바와 같이, 임의의 다른 유용한 프로세스들을 포함할 수 있다.
배면 및 베벨 세정 프로세스를 위해, 증기 및/또는 플라즈마는 웨이퍼의 전면 상의 어떠한 막 열화도 없이, 배면 및 베벨만이 제거되는 것을 보장하도록 웨이퍼의 특정한 영역으로 제한될 수 있다. 제거될 건식-증착된 EUV 포토레지스트 막들은 일반적으로 Sn, O 및 C로 구성되지만, 동일한 세정 방법들이 다른 금속 옥사이드 레지스트들 및 재료들의 막들로 확장될 수 있다. 이에 더하여, 이 방법은 또한 막 스트립 및 PR 재작업 (rework) 을 위해 사용될 수 있다.
건식 베벨 에지 및 배면 세정을 위한 적합한 프로세스 조건들은 포토레지스트 막 및 조성 및 특성들에 따라 100 sccm 내지 500 sccm (예를 들어, 500 sccm의 HCl, HBr, 또는 H2 및 Cl2 또는 Br2, BCl3 또는 H2), -10 ℃ 내지 120 ℃ (예를 들어, 20 ℃) 의 온도, 20 mTorr 내지 500 mTorr (예를 들어, 300 mTorr) 의 압력, 고 주파수 (예를 들어, 13.56 ㎒) 의 0 내지 500 W의 플라즈마 전력, 그리고 약 10 초 내지 20 초 동안일 수도 있다. 이들 조건들은 일부 프로세싱 반응기들, 예를 들어, 캘리포니아 프레몬트 소재의 Lam Research Corporation으로부터 입수 가능한 Kiyo 에칭 툴에 적합하지만, 프로세싱 반응기의 능력들에 따라 보다 넓은 범위의 프로세스 조건들이 사용될 수도 있다는 것이 이해되어야 한다.
포토리소그래피 프로세스들은 통상적으로 포토레지스트의 노출 영역과 비노출 영역 사이의 화학적 콘트라스트를 생성하도록 요구되는 화학적 반응들을 용이하게 하도록, 하나 이상의 소성 단계들을 수반한다. 대량 제작 (high volume manufacturing; HVM) 을 위해, 이러한 소성 단계들은 통상적으로 웨이퍼들이 주변 공기 또는 일부 경우들에서 N2 플로우 하에서 미리 설정된 온도의 핫-플레이트 상에서 소성되는 트랙들 상에서 수행된다. 이들 소성 단계들 동안 분위기에 부가적인 반응성 가스 컴포넌트의 도입뿐만 아니라 소성 분위기의 보다 신중한 제어는 도즈 요건을 더 감소시키고 그리고/또는 패턴 충실도를 개선하는 것을 도울 수 있다.
본 개시의 다양한 양태들에 따라, 증착 후 (예를 들어, PAB (post-application bake) 또는 또 다른 도포-후 처리) 및/또는 노출 후 (예를 들어, 생략될 수 있는 PEB (post-exposure bake) 또는 또 다른 노출-후 처리) 및/또는 현상 후 (예를 들어, PDB (post-development bake) 또는 또 다른 현상-후 처리), 금속 및/또는 금속 옥사이드계 포토레지스트에 대한 하나 이상의 후 처리들은 노출된 포토레지스트와 비노출 포토레지스트 사이의 재료 특성 차들을 증가시킬 수 있고 따라서 후속 건식 현상 후 DtS (dose to size) 를 감소시키고, PR 프로파일을 개선하고, 라인 에지 및 폭 거칠기 (LER/LWR) 를 개선할 수 있다. 이러한 프로세싱은 온도, 주변 가스, 및 수분의 제어를 갖는 열적 프로세스를 수반할 수 있어, 후속하는 프로세싱에서 개선된 건식 현상 성능을 발생시킨다. 일부 예들에서, 리모트 플라즈마가 사용될 수도 있다.
도포 후 프로세싱 (예를 들어, PAB) 의 경우, (예를 들어, 가열 또는 냉각으로) 온도, 가스 분위기 (예를 들어, 공기, H2O, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, Ar, He, 또는 이들의 혼합물) 또는 진공 하에서, 및 수분을 제어하는 열적 프로세스가 비노출 금속 및/또는 금속 옥사이드 포토레지스트의 조성을 변화시키기 위해 증착 후 그리고 노출 전에 사용될 수 있다. 변화는 재료의 EUV 감도를 상승시킬 수 있고, 따라서 보다 낮은 도즈 대 사이즈 및 에지 거칠기가 노출 및 건식 현상 후에 달성될 수 있다.
노출 후 프로세싱 (예를 들어, PEB) 의 경우, 온도, 가스 분위기 (예를 들어, 공기, H2O, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, Ar, He, 또는 이들의 혼합물) 또는 진공 하에서, 그리고 수분의 제어를 사용한 열적 프로세스는 비노출 포토레지스트와 노출된 포토레지스트 모두의 조성을 변화시키도록 사용될 수 있다. 변화는 비노출 포토레지스트와 노출된 포토레지스트 사이의 조성/재료 특성들 차 및 비노출 포토레지스트와 노출된 포토레지스트 사이의 건식 현상 에칭 가스의 에칭 레이트 차를 증가시킬 수 있다. 이에 따라 보다 높은 에칭 선택도가 달성될 수 있다. 개선된 선택도로 인해, 개선된 표면 거칠기, 및/또는 보다 적은 포토레지스트 잔류물/스컴을 갖는 보다 정돈된 (squarer) PR 프로파일이 획득될 수 있다. 특정한 실시 예들에서, PEB는 공기 중에서 그리고 수분 및 CO2의 선택 가능한 존재 하에 수행될 수 있다. 다른 실시 예들에서, PEB는 생략될 수 있다.
현상 후 프로세싱 (예를 들어, 현상 후 소성 (post development bake) 또는 PDB) 의 경우, 온도, 가스 분위기 (예를 들어, 공기, H2O, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, Ar, He, 또는 이들의 혼합물들) 또는 진공 하에서 (예를 들어, UV를 사용하여), 그리고 수분의 제어를 사용한 열적 프로세스는 비노출 포토레지스트의 조성을 변화시키도록 사용될 수 있다. 특정한 실시 예들에서, 조건은 또한 플라즈마 (예를 들어, O2, O3, Ar, He, 또는 이들의 혼합물들을 포함함) 의 사용을 포함한다. 변화는 재료의 경도를 상승시킬 수 있고, 이는 아래에 놓인 기판을 에칭할 때 막이 레지스트 마스크로서 사용될 경우 유리할 수 있다.
이들 경우들에서, 대안적인 구현 예들에서, 열적 프로세스는 반응에 대한 에너지 배리어를 낮추고 생산성을 상승시키기 위해 반응성 종을 증가시키도록 리모트 플라즈마 프로세스로 대체될 수 있다. 리모트 플라즈마는 보다 많은 반응성 라디칼들을 생성할 수 있고 따라서 처리를 위한 반응 온도/시간을 낮춰 상승된 생산성을 유도한다.
따라서, 습식 또는 건식 현상 선택도를 상승시키도록 포토레지스트 자체를 개질하도록 하나 또는 복수의 프로세스들이 적용될 수도 있다. 이 열적 또는 라디칼 개질은 비노출 재료와 노출된 재료 사이의 콘트라스트를 상승시킬 수 있고 따라서 후속하는 현상 단계의 선택도를 상승시킬 수 있다. 비노출 재료와 노출된 재료의 재료 특성들 사이의 결과적인 차이는 온도, 가스 플로우, 수분, 압력, 및/또는 RF 전력을 포함하는 프로세스 조건들을 조정함으로써 튜닝될 수 있다.
습식-현상되거나 건식-현상된 레지스트 막들에 대해, PAB, PEB, 또는 PDB의 처리 온도는 처리 프로세스를 튜닝하고 최적화하도록 예를 들어 PAB에 대해 약 90 ℃로부터 250 ℃로 그리고 PEB 및/또는 PDB에 대해 약 170 ℃로부터 250 ℃ 이상으로 가변될 수 있다. 특정한 실시 예들에서, PEB는 생략된다.
특정한 실시 예들에서, PAB, PEB, 및/또는 PDB 처리들은 100 sccm 내지 10000 sccm 범위의 가스 분위기 플로우, 수 퍼센트 내지 최대 100 %의 양의 수분 함량 (예를 들어, 20 % 내지 50 %) 의 수분 함량으로, 대기압과 진공 사이의 압력에서, 그리고 약 30 초 내지 15 분, 예를 들어 약 1 내지 2 분의 지속 기간 동안 수행될 수도 있다. 특정한 실시 예들에서, PEB는 생략된다.
반도체 프로세싱 동작의 선택도 요건들/제약 조건들에 따라, 본 명세서에 기술된 바와 같은 열적 처리가 필요한 EUV 도즈를 낮추기 위해 사용될 수 있다. 또는, 보다 높은 선택도가 요구되고 보다 높은 도즈가 용인될 (tolerate) 수 있다면, 훨씬 높은 선택도, 최대 100 배 노출 vs. 비노출이 획득될 수 있다.
또 다른 단계들은 물리적 및 구조적 특징들 (예를 들어, 임계 치수, 막 두께, 등) 이 포토리소그래피 프로세스 동안 평가될 수 있는 인 시츄 계측을 포함할 수 있다. 인 시츄 계측을 구현하기 위한 모듈들은, 예를 들어, 산란계, 타원계, 다운스트림 질량 분광, 및/또는 플라즈마 강화된 다운스트림 광학 방출 분광 모듈들을 포함한다.
장치들
본 개시는 또한 본 명세서에 기술된 임의의 방법들을 수행하도록 구성된 임의의 장치를 포함한다. 일 실시 예에서, 막을 증착하기 위한 장치는 막을 제공하기 위해 하나 이상의 전구체(들)를 증착하기 위한 챔버를 포함하는 증착 모듈; 30 ㎚ 이하의 파장 방사선의 소스를 갖는 EUV 포토리소그래피 툴을 포함하는 패터닝 모듈; 및 막을 현상하기 위한 챔버를 포함하는 현상 모듈을 포함한다. 도포 후 처리는 증착 모듈 내, 또 다른 프로세스 챔버 내, 또는 별도의 도포-후 모듈 내에 제공될 수 있다. 이러한 도포-후 모듈들은 선택 가능하게 주변 공기를 제거하고 불활성 가스 또는 CO2를 전달하고, 뿐만 아니라 주변 공기, 산소 가스, 또는 또 다른 산화 가스에 막을 노출시키지 않고 현상 모듈에 연결되도록 구성된 챔버를 포함할 수 있다.
장치는 이러한 모듈들을 위한 인스트럭션들을 갖는 제어기를 더 포함할 수 있다. 일 실시 예에서, 제어기는 하나 이상의 메모리 디바이스들, 하나 이상의 프로세서들, 및 막의 증착을 수행하기 위한 인스트럭션들로 코딩된 시스템 제어 소프트웨어를 포함한다. 이는 증착 모듈에서, 막을 제공하기 위해 하나 이상의 전구체(들) 를 증착하는 단계 및 선택 가능하게 막의 PAB 또는 도포-후 처리를 수행하는 단계; 패터닝 모듈에서, EUV 노출에 의해 직접적으로 30 ㎚ 이하 분해능으로 막을 패터닝하여, 막 내에 패턴을 형성하는 단계; 및 현상 모듈에서, 막을 현상하는 단계를 포함할 수 있다. 특정한 실시 예들에서, 현상 모듈은 EUV 노출되거나 EUV 비노출 영역(들)의 제거를 제공하여, 막 내에 패턴을 제공한다. 또 다른 실시 예에서, 장치는 막의 PAB (post-application bake) 또는 도포-후 처리를 수행하기 위한 프로세스 챔버를 제공할 수 있는 도포-후 모듈을 더 포함한다.
도 10은 본 명세서에 기술된 바와 같이 기술된 건식 증착 및 현상 실시 예들의 구현 예에 적합한 저압 분위기를 유지하기 위한 프로세스 챔버 바디 (602) 를 갖는 프로세스 스테이션 (600) 의 실시 예의 개략적인 예시를 도시한다. 복수의 프로세스 스테이션들 (600) 이 공통 저압 프로세스 툴 분위기에 포함될 수도 있다. 예를 들어, 도 11은 CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 VECTOR® 프로세싱 툴과 같은 멀티-스테이션 프로세싱 툴 (700) 의 실시 예를 도시한다. 일부 실시 예들에서, 이하에 상세히 논의된 것들을 포함하는, 프로세스 스테이션 (600) 의 하나 이상의 하드웨어 파라미터들이 하나 이상의 컴퓨터 제어기들 (650) 에 의해 프로그램적으로 조정될 수도 있다.
프로세스 스테이션은 클러스터 툴의 모듈로서 구성될 수도 있다. 도 13은 본 명세서에 기술된 실시 예들의 구현에 적합한 진공-통합된 증착 및 패터닝 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 이러한 클러스터 프로세스 툴 아키텍처는 도 10, 도 12 및 도 14를 참조하여 상기 및 이하에 더 기술된 바와 같이, 레지스트 증착, 레지스트 노출 (EUV 스캐너), 레지스트 건식 현상 및 에칭 모듈들을 포함할 수 있다.
일부 실시 예들에서, 특정한 프로세싱 기능들은 동일한 모듈에서, 예를 들어 건식 현상 및 에칭이 연속적으로 수행될 수 있다. 그리고 본 개시의 실시 예들은 본 명세서에 기술된 바와 같이, 에칭될 층 또는 층 스택 상에 배치된 포토패터닝된 EUV 레지스트 박막 층을 포함하는 웨이퍼를 EUV 스캐너에서 포토패터닝에 이어서 건식 현상/에칭 챔버로 수용하고, 포토패터닝된 EUV 레지스트 박막 층을 건식 현상하고, 그리고 이어서 패터닝된 EUV 레지스트를 마스크로서 사용하여 아래에 있는 층을 에칭하기 위한 방법들 및 장치에 관한 것이다.
도 10을 다시 참조하면, 프로세스 스테이션 (600) 은 분배 샤워헤드 (606) 로 프로세스 가스들을 전달하기 위해 반응 물질 전달 시스템 (601a) 과 유체로 연통한다. 반응 물질 전달 시스템 (601a) 은 샤워헤드 (606) 로의 전달을 위해, 프로세스 가스들을 블렌딩 (blending) 및/또는 컨디셔닝하기 (conditioning) 위한 혼합 용기 (mixing vessel) (604) 를 선택 가능하게 포함한다. 하나 이상의 혼합 용기 유입구 밸브들 (620) 은 프로세스 가스들의 혼합 용기 (604) 로의 도입을 제어할 수도 있다. 플라즈마 노출이 사용되면, 플라즈마는 또한 샤워헤드 (606) 로 전달될 수도 있고 또는 프로세스 스테이션 (600) 에서 생성될 수도 있다. 상기 주지된 바와 같이, 적어도 일부 실시 예들에서, 비플라즈마 열 노출이 유리하다.
도 10은 혼합 용기 (604) 로 공급될 액체 반응 물질을 기화시키기 위한 선택 가능한 기화 지점 (603) 을 포함한다. 일부 실시 예들에서, 기화 지점 (603) 의 업스트림의 LFC (Liquid Flow Controller) 가 기화 및 프로세스 스테이션 (600) 으로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 열적 MFM (thermal Mass Flow Meter) 을 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (Proportional-Integral-Derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다.
샤워헤드 (606) 는 기판 (612) 을 향해 프로세스 가스들을 분배한다. 도 10에 도시된 실시 예에서, 기판 (612) 은 샤워헤드 (606) 밑에 위치되고, 페데스탈 (608) 상에 놓인 것으로 도시된다. 샤워헤드 (606) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (612) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 실시 예들에서, 페데스탈 (608) 은 기판 (612) 과 샤워헤드 (606) 사이의 볼륨에 기판 (612) 을 노출시키도록 상승되거나 하강될 수도 있다. 일부 실시 예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (650) 에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다.
일부 실시 예들에서, 페데스탈 (608) 은 히터 (610) 를 통해 온도 제어될 수도 있다. 일부 실시 예들에서, 페데스탈 (608) 은 개시된 실시 예들에 기술된 바와 같이, HBr, HCl 또는 BCl3와 같은, 수소 할라이드 건식 현상 화학 물질에 대한 포토패터닝된 레지스트의 비플라즈마 열 노출 동안, 0 ℃ 초과 및 최대 300 ℃ 이상, 예를 들어 약 65 내지 80 ℃와 같은, 50 내지 120 ℃의 온도로 가열될 수도 있다.
또한, 일부 실시 예들에서, 프로세스 스테이션 (600) 에 대한 압력 제어가 버터플라이 밸브 (618) 에 의해 제공될 수도 있다. 도 10의 실시 예에 도시된 바와 같이, 버터플라이 밸브 (618) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 스로틀한다 (throttle). 그러나, 일부 실시 예들에서, 프로세스 스테이션 (600) 의 압력 제어는 또한 프로세스 스테이션 (600) 으로 도입된 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조정될 수도 있다.
일부 구현 예들에서, 샤워헤드 (606) 의 위치는 기판 (612) 과 샤워헤드 (606) 사이의 볼륨을 가변하도록 페데스탈 (608) 에 대해 조정될 수도 있다. 또한, 페데스탈 (608) 및/또는 샤워헤드 (606) 의 수직 위치는 본 개시의 범위 내의 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 인식될 것이다. 일부 실시 예들에서, 페데스탈 (608) 은 기판 (612) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시 예들에서, 이들 예시적인 조정들 중 하나 이상이 하나 이상의 적합한 컴퓨터 제어기들 (650) 에 의해 프로그램적으로 수행될 수도 있다는 것이 인식될 것이다.
플라즈마가 사용될 수도 있는 경우, 예를 들어 동일한 챔버에서 수행된 순한 플라즈마-기반 건식 현상 실시 예들 및/또는 에칭 동작들에서, 샤워헤드 (606) 및 페데스탈 (608) 은 플라즈마에 전력을 공급하기 위해 무선 주파수 (Radio Frequency; RF) 전력 공급부 (614) 및 매칭 네트워크 (616) 와 전기적으로 통신한다. 일부 실시 예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (614) 및 매칭 네트워크 (616) 는 목표된 조성의 라디칼 종을 갖는 플라즈마를 형성하도록 임의의 적합한 전력에서 동작될 수도 있다. 적합한 전력들의 예들은 최대 약 500 W이다.
일부 실시 예들에서, 제어기 (650) 에 대한 인스트럭션들은 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 통해 제공될 수도 있다. 일 예에서, 프로세스 페이즈를 위한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 페이즈에 대한 모든 인스트럭션들이 그 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시 예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들은 레시피 페이즈에 포함될 수도 있다. 예를 들어, 레시피 페이즈는 HBr 또는 HCl과 같은 건식 현상 화학 물질 반응 물질 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 일부 실시 예들에서, 제어기 (650) 는 도 11의 시스템 제어기 (750) 에 대해 이하에 기술된 임의의 피처들을 포함할 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들이 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 11은 인바운드 로드록 (702) 및 아웃바운드 로드록 (704) 을 갖는 멀티-스테이션 프로세싱 툴 (700) 의 실시 예의 개략도를 도시하고, 인바운드 로드록 (702) 및 아웃바운드 로드록 (704) 중 하나 또는 모두는 리모트 플라즈마 소스를 포함할 수도 있다. 대기압에서 로봇 (706) 은, 카세트로부터 포드 (pod) (708) 를 통해 로딩된 웨이퍼들을 대기 포트 (710) 를 통해 인바운드 로드록 (702) 으로 이동시키도록 구성된다. 웨이퍼는 인바운드 로드록 (702) 내의 페데스탈 (712) 상에 로봇 (706) 에 의해 배치되고, 대기 포트 (710) 는 폐쇄되고, 로드록은 펌핑 다운된다 (pump down). 인바운드 로드록 (702) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (714) 내로 도입되기 전에 로드록 내에서 실리콘 나이트라이드 표면을 처리하기 위해 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드록 (702) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (714) 로의 챔버 이송 포트 (716) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위한 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 11에 도시된 실시 예는 로드록들을 포함하지만, 일부 실시 예들에서, 프로세스 스테이션 내로 웨이퍼의 직접 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (714) 는 도 11에 도시된 실시 예에서 1부터 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 718로 도시됨), 및 가스 라인 유입구들을 갖는다. 일부 실시 예들에서, 프로세스 스테이션 각각이 상이한 목적들 또는 복수의 목적들을 가질 수도 있다는 것이 인식될 것이다. 예를 들어, 일부 실시 예들에서, 프로세스 스테이션은 건식 현상 모드와 에칭 프로세스 모드 사이에서 스위칭 가능할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시 예들에서, 프로세싱 챔버 (714) 는 건식 현상 스테이션 및 에칭 프로세스 스테이션의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (714) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시 예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시 예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 11은 프로세싱 챔버 (714) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (790) 의 실시 예를 도시한다. 일부 실시 예들에서, 웨이퍼 핸들링 시스템 (790) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 인식될 것이다. 비제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 11은 또한 프로세스 툴 (700) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (750) 의 실시 예를 도시한다. 시스템 제어기 (750) 는 하나 이상의 메모리 디바이스들 (756), 하나 이상의 대용량 저장 디바이스들 (754), 및 하나 이상의 프로세서들 (752) 을 포함할 수도 있다. 프로세서 (752) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (750) 는 프로세스 툴 (700) 의 모든 액티비티들을 제어한다. 시스템 제어기 (750) 는 대용량 저장 디바이스 (754) 에 저장되고 메모리 디바이스 (756) 내로 로딩되어 프로세서 (752) 상에서 실행되는 시스템 제어 소프트웨어 (758) 를 실행한다. 대안적으로, 제어 로직은 제어기 (750) 에 하드코딩될 (hard coded) 수도 있다. ASICs (Applications Specific Integrated Circuits), PLDs (Programmable Logic Devices) (예를 들어, field-programmable gate arrays, 또는 FPGAs) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 비슷한 하드코딩된 로직이 그 자리에 사용될 수도 있다. 시스템 제어 소프트웨어 (758) 는 타이밍, 가스의 혼합물, 가스 플로우 레이트들, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타겟 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 위치, 및 프로세스 툴 (700) 에 의해 수행되는 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어 (758) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 프로세스들을 실행하도록 사용된 프로세스 툴 컴포넌트들의 동작을 제어하도록 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (758) 는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시 예들에서, 시스템 제어 소프트웨어 (758) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (750) 와 연관된 대용량 저장 디바이스 (754) 및/또는 메모리 디바이스 (756) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시 예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (718) 상에 기판을 로딩하고 기판과 프로세스 툴 (700) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램이 수소 할라이드 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같은 HBr 또는 HCl 가스) 및 플로우 레이트들을 제어하고, 선택 가능하게 프로세스 스테이션 내 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한, 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 스로틀 밸브를 조절함으로써, 프로세스 스테이션 내 압력, 프로세스 스테이션 내로 가스 플로우, 등을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하도록 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 (헬륨과 같은) 열 전달 가스의 기판으로의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시 예들에 따라 하나 이상의 프로세스 스테이션들의 프로세스 전극들로 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시 예들에 따라 반응 챔버 내 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (750) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (750) 에 의해 조정된 파라미터들은 프로세스 조건들에 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (750) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (700) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 플로우 제어기들, (마노미터들과 같은) 압력 센서들, 써모커플들, 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터 데이터로 사용될 수도 있다.
시스템 제어기 (750) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시 예들에 따라 건식 현상 및/또는 에칭 프로세스들을 동작시키도록 파라미터들을 제어할 수도 있다.
시스템 제어기 (750) 는 통상적으로 장치가 개시된 실시 예들에 따른 방법을 수행하도록 인스트럭션들을 실행하게 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 개시된 실시 예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션을 포함하는 머신-판독가능 매체가 시스템 제어기 (750) 에 커플링될 수도 있다.
일부 구현 예들에서, 시스템 제어기 (750) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치와 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는, "제어기"로서 지칭될 수도 있다. 시스템 제어기 (750) 는, 시스템의 프로세싱 조건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기 (750) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에 이블하고, 엔드 포인트 측정들을 인에 이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (750) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (750) 는, 일부 구현 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (750) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (750) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 시스템 제어기 (750) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 시스템 제어기 (750) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동되는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, EUV 리소그래피 챔버 (스캐너) 또는 모듈, 건식 현상 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (750) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
특정한 실시 예들에서, 일부 실시 예들의 구현에 적합한 에칭 동작들에 적합할 수도 있는 ICP (Inductively Coupled Plasma) 반응기들이 이제 기술된다. ICP 반응기들이 본 명세서에 기술되었지만, 일부 실시 예들에서, 용량 결합 플라즈마 반응기들이 또한 사용될 수도 있다는 것이 이해되어야 한다.
도 12는 건식 현상 및/또는 에칭과 같은 특정한 실시 예들 또는 실시 예들의 양태들을 구현하기 위해 적절한 유도 결합 플라즈마 장치 (800) 의 단면도를 개략적으로 도시하고, 이의 예는 CA, Fremont 소재의 Lam Research Corp. 에 의해 생산된 Kiyo® 반응기이다. 다른 실시 예들에서, 본 명세서에 기술된 건식 현상 프로세스 및/또는 에칭 프로세스를 수행하기 위한 기능성을 갖는 다른 툴들 또는 툴 타입들이 구현을 위해 사용될 수도 있다.
유도 결합 플라즈마 장치 (800) 는 챔버 벽들 (801) 및 윈도우 (811) 에 의해 구조적으로 규정된 전체 프로세스 챔버 (824) 를 포함한다. 챔버 벽들 (801) 은 스테인리스 스틸 또는 알루미늄으로 제조될 수도 있다. 윈도우 (811) 는 석영 또는 다른 유전체 재료로 제조될 수도 있다. 선택 가능한 내부 플라즈마 그리드 (850) 가 전체 프로세스 챔버를 상부 서브챔버 (802) 및 하부 서브챔버 (803) 로 분할한다. 대부분의 실시 예들에서, 플라즈마 그리드 (850) 는 제거될 수도 있고, 이에 따라 서브챔버들 (802 및 803) 로 이루어진 챔버 공간을 활용한다. 척 (817) 이 하단 내측 표면 근방의 하부 서브챔버 (803) 내에 위치된다. 척 (817) 은 에칭 프로세스 및 증착 프로세스가 수행되는 반도체 웨이퍼 (819) 를 수용하고 홀딩하도록 구성된다. 척 (817) 은 존재한다면 웨이퍼 (819) 를 지지하기 위한 정전 척일 수 있다. 일부 실시 예들에서, 에지 링 (미도시) 이 척 (817) 을 둘러싸고, 척 (817) 위에 존재한다면 웨이퍼 (819) 의 상단 표면과 거의 평면인 상부 표면을 갖는다. 척 (817) 은 또한 웨이퍼 (819) 를 척킹 (chucking) 및 디척킹하기 (dechucking) 위한 정전 전극들을 포함한다. 필터 및 DC 클램프 전력 공급부 (미도시) 가 이 목적을 위해 제공될 수도 있다. 척 (817) 으로부터 웨이퍼 (819) 를 리프팅하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (817) 은 RF 전력 공급부 (823) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (823) 는 연결부 (827) 를 통해 매칭 회로 (821) 에 접속된다. 매칭 회로 (821) 는 연결부 (825) 를 통해 척 (817) 에 접속된다. 이러한 방식으로, RF 전력 공급부 (823) 는 척 (817) 에 연결된다. 다양한 실시 예들에서, 정전 척의 바이어스 전력은 약 50 V로 설정될 수도 있고, 또는 개시된 실시 예들에 따라 수행된 프로세스에 따라 상이한 바이어스 전력으로 설정될 수도 있다. 예를 들어, 바이어스 전력은 약 20 V 내지 약 100 V, 또는 약 30 V 내지 약 150 V일 수도 있다.
플라즈마 생성을 위한 엘리먼트들은 윈도우 (811) 위에 위치된 코일 (833) 을 포함한다. 일부 실시 예들에서, 코일은 개시된 실시 예들에서 사용되지 않는다. 코일 (833) 은 전기적으로 전도성 재료로 제조되고, 적어도 하나의 완전한 턴을 포함한다. 도 12에 도시된 코일 (833) 의 예는 3 개의 턴들을 포함한다. 코일 (833) 의 단면들은 심볼들로 도시되고, "X"를 갖는 코일들은 페이지 내로 회전하여 연장하는 한편, "●"를 갖는 코일들은 페이지 밖으로 회전하여 연장한다. 플라즈마 생성을 위한 엘리먼트들은 또한 코일 (833) 에 RF 전력을 공급하도록 구성된 RF 전력 공급부 (841) 를 포함한다. 일반적으로, RF 전력 공급부 (841) 는 연결부 (845) 를 통해 매칭 회로 (839) 에 접속된다. 매칭 회로 (839) 는 연결부 (843) 를 통해 코일 (833) 에 접속된다. 이러한 방식으로, RF 전력 공급부 (841) 는 코일 (833) 에 연결된다. 선택 가능한 패러데이 차폐부 (849a) 가 코일 (833) 과 윈도우 (811) 사이에 위치된다. 패러데이 차폐부 (849a) 는 코일 (833) 에 대해 이격된 관계로 유지될 수도 있다. 일부 실시 예들에서, 패러데이 차폐부 (849a) 는 윈도우 (811) 바로 위에 배치된다. 일부 실시 예들에서, 패러데이 차폐부 (849a) 는 윈도우 (811) 와 척 (817) 사이에 있다. 일부 실시 예들에서, 패러데이 차폐부 (849a) 는 코일 (833) 에 대해 이격된 관계로 유지되지 않는다. 예를 들어, 패러데이 차폐부 (849b) 는 갭 없이 윈도우 (811) 바로 아래에 있을 수도 있다. 코일 (833), 패러데이 차폐부 (849a), 및 윈도우 (811) 는 각각 서로 실질적으로 평행하도록 구성된다. 패러데이 차폐부 (849a) 는 금속 또는 다른 종이 프로세스 챔버 (824) 의 윈도우 (811) 상에 증착되는 것을 방지할 수도 있다.
프로세스 가스들은 상부 서브챔버 (802) 내에 위치된 하나 이상의 주 가스 플로우 유입구들 (860) 을 통해 그리고/또는 하나 이상의 측면 가스 플로우 유입구들 (870) 을 통해 프로세스 챔버 내로 흐를 수도 있다. 유사하게, 명시적으로 도시되지 않지만, 유사한 가스 플로우 유입구들이 용량 결합 플라즈마 프로세싱 챔버에 프로세스 가스들을 공급하도록 사용될 수도 있다. 진공 펌프, 예를 들어, 1 단계 또는 2 단계 기계적 건조 펌프 및/또는 터보분자 펌프 (840) 가, 프로세스 챔버 (824) 밖으로 프로세스 가스들을 인출하고 프로세스 챔버 (824) 내의 압력을 유지하도록 사용될 수도 있다. 예를 들어, 진공 펌프는 ALD의 퍼지 동작 동안 하부 서브챔버 (803) 를 배기하도록 사용될 수도 있다. 밸브-제어된 도관이 진공 펌프에 의해 제공된 진공 분위기의 적용을 선택적으로 제어하기 위해 진공 펌프를 프로세스 챔버 (824) 에 유체적으로 연결하도록 (fluidically connect) 사용될 수도 있다. 이는 동작 중인 (operational) 플라즈마 프로세싱 동안 스로틀 밸브 (미도시) 또는 펜듈럼 (pendulum) 밸브 (미도시) 와 같은 폐루프-제어된 플로우 제한 디바이스를 채용하여 이루어질 수도 있다. 유사하게, 용량 결합된 플라즈마 프로세싱 챔버로의 진공 펌프 및 밸브 제어된 유체 연결이 또한 채용될 수도 있다.
장치 (800) 의 동작 동안, 하나 이상의 프로세스 가스들은 가스 플로우 유입구들 (860 및/또는 870) 을 통해 공급될 수도 있다. 특정한 실시 예들에서, 프로세스 가스는 주 가스 플로우 유입구 (860) 를 통해서만, 또는 측면 가스 플로우 유입구 (870) 를 통해서만 공급될 수도 있다. 일부 경우들에서, 도면에 도시된 가스 플로우 유입구들은 보다 복잡한 가스 플로우 유입구들, 예를 들어 하나 이상의 샤워헤드들로 대체될 수도 있다. 패러데이 차폐부 (849a) 및/또는 선택 가능한 그리드 (850) 는 프로세스 챔버 (824) 로의 프로세스 가스들의 전달을 허용하는 내부 채널들 및 홀들을 포함할 수도 있다. 패러데이 차폐부 (849a) 및 선택 가능한 그리드 (850) 중 하나 또는 모두는 프로세스 가스들의 전달을 위한 샤워헤드로서 역할할 수도 있다. 일부 실시 예들에서, 액체 기화 및 전달 시스템은 액체 반응 물질 또는 전구체가 기화되면, 기화된 반응 물질 또는 전구체가 가스 플로우 유입구 (860 및/또는 870) 를 통해 프로세스 챔버 (824) 내로 도입되도록, 프로세스 챔버 (824) 의 업스트림에 놓일 수도 있다.
RF 전류로 하여금 코일 (833) 을 통해 흐르게 하도록, RF 전력 공급부 (841) 로부터 코일 (833) 로 무선 주파수 전력이 공급된다. 코일 (833) 을 통해 흐르는 RF 전류는 코일 (833) 주위에 전자기장을 생성한다. 전자기장은 상부 서브챔버 (802) 내에 유도 전류를 생성한다. 웨이퍼 (819) 와 다양한 생성된 이온들 및 라디칼들의 물리적 상호작용 및 화학적 상호작용은 웨이퍼 (819) 의 피처들을 에칭하고 웨이퍼 (819) 상에 층들을 선택적으로 증착한다.
상부 서브챔버 (802) 및 하부 서브챔버 (803) 모두가 있도록 플라즈마 그리드 (850) 가 사용된다면, 유도 전류는 상부 서브챔버 (802) 내에 전자-이온 플라즈마를 생성하기 위해 상부 서브챔버 (802) 내에 존재하는 가스에 작용한다. 선택 가능한 내부 플라즈마 그리드 (850) 는 하부 서브챔버 (803) 내의 핫 (hot) 전자들의 양을 제한한다. 일부 실시 예들에서, 장치 (800) 는 하부 서브챔버 (803) 내에 존재하는 플라즈마가 이온-이온 플라즈마이도록 설계되고 동작된다.
상부 전자-이온 플라즈마 및 하부 이온-이온 플라즈마 모두는 양이온 및 음이온을 함유할 수도 있지만, 이온-이온 플라즈마는 보다 큰 음이온들 대 양이온들 비를 가질 것이다. 휘발성 에칭 및/또는 증착 부산물들은 포트 (822) 를 통해 하부 서브챔버 (803) 로부터 제거될 수도 있다. 본 명세서에 개시된 척 (817) 은 약 10 ℃ 내지 약 250 ℃ 범위의 상승된 온도들에서 동작할 수도 있다. 온도는 프로세스 동작 및 특정한 레시피에 종속될 것이다.
장치 (800) 는 클린 룸 또는 제조 설비 내에 설치될 때 설비들 (미도시) 에 커플링될 수도 있다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 및 분위기 입자 제어를 제공하는 배관을 포함한다. 이들 설비들은 타깃 제조 설비 내에 설치될 때 장치 (800) 에 커플링된다. 부가적으로, 장치 (800) 는 로봇들로 하여금 통상적인 자동화를 사용하여 장치 (800) 내외로 반도체 웨이퍼들을 이송하게 하는 이송 챔버에 커플링될 수도 있다.
일부 실시 예들에서, (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기 (830) 가 프로세스 챔버 (824) 의 일부 또는 모든 동작들을 제어한다. 시스템 제어기 (830) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 일부 실시 예들에서, 장치 (800) 는 개시된 실시 예들이 수행될 때 플로우 레이트들 및 지속 기간들을 제어하기 위한 스위칭 시스템을 포함한다. 일부 실시 예들에서, 장치 (800) 는 최대 약 500 ㎳, 또는 최대 약 750 ㎳의 스위칭 시간을 가질 수도 있다. 스위칭 시간은 플로우 화학 물질, 선택된 레시피, 반응기 아키텍처, 및 다른 인자들에 종속될 수도 있다.
일부 구현 예들에서, 시스템 제어기 (830) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치와 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는, 시스템 제어기 (830) 로 통합될 수도 있다. 시스템 제어기는, 시스템의 프로세싱 파라미터들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기 (830) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에 이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 또는 제거 동안 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (830) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (830) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 시스템 제어기 (830) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동되는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD 챔버 또는 모듈, CVD 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 챔버 또는 모듈, EUV 리소그래피 챔버 (스캐너) 또는 모듈, 건식 현상 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
EUVL 패터닝은 종종 스캐너로 지칭되는 임의의 적합한 툴, 예를 들어 NL, Veldhoven 소재의 ASML에 의해 공급된 TWINSCAN NXE: 3300B® 플랫폼을 사용하여 수행될 수도 있다. 건식 증착, PAB, EUV 노출, 및 습식 현상을 위한 프로세싱은 별도의 프로세스 챔버들에서 수행될 수도 있고, 그리고/또는 프로세스 챔버들은 본 명세서에 기술된 실시 예들의 구현에 적합한 진공-통합된 증착 및 패터닝 모듈들의 클러스터 툴 아키텍처의 모듈로서 구성될 수도 있다. 일부 실시 예들에서, 특정한 프로세싱 기능들은 동일한 챔버 또는 모듈에서, 예를 들어 건식 증착 및 PAB이 연속적으로 수행될 수 있다.
EUVL 패터닝 툴은 기판이 본 명세서에 기술된 바와 같이 증착 및 에칭을 위해 내외로 이동되는 독립형 디바이스일 수도 있다. 또는 이하에 기술된 바와 같이, EUVL 패터닝 툴은 보다 큰 멀티-컴포넌트 툴 상의 모듈일 수도 있다. 도 13은 본 명세서에 기술된 프로세스들의 구현에 적합한, 진공 이송 모듈과 인터페이싱하는 진공-통합된 증착, EUV 패터닝 및 건식 현상/에칭 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 프로세스들이 이러한 진공 통합된 장치 없이 수행될 수도 있지만, 이러한 장치는 일부 구현 예들에서 유리할 수도 있다.
도 13은 본 명세서에 기술된 프로세스들의 구현에 적합한, 진공 이송 모듈과 인터페이싱하는 진공-통합된 증착 및 패터닝 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 복수의 저장 설비들 및 프로세싱 모듈들 사이에서 웨이퍼들을 "이송"하기 위한 이송 모듈들의 배열은 "클러스터 툴 아키텍처" 시스템으로 지칭될 수도 있다. 증착 및 패터닝 모듈들은 특정한 프로세스의 요건들에 따라 진공-통합된다. 에칭을 위한 것과 같은 다른 모듈들이 또한 클러스터 상에 포함될 수도 있다.
진공 이송 모듈 (Vacuum Transport Module; VTM) (938) 이 다양한 제조 프로세스들을 수행하도록 개별적으로 최적화될 수도 있는 4 개의 프로세싱 모듈들 (920a 내지 920d) 과 인터페이싱한다. 예로서, 프로세싱 모듈들 (920a 내지 920d) 은 증착, 증발, ELD, 건식 현상, 에칭, 스트립 (strip), 및/또는 다른 반도체 프로세스들을 수행하도록 구현될 수도 있다. 예를 들어, 모듈 (920a) 은 본 명세서에 기술된 바와 같이 비플라즈마, 열적 원자 층 증착들을 수행하도록 동작될 수도 있는, CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 Vector 툴과 같은 ALD 반응기일 수도 있다. 그리고 모듈 (920b) 은 Lam Vector®와 같은 PECVD 툴일 수도 있다. 도면이 반드시 축척대로 도시된 것은 아니라는 것이 이해되어야 한다.
로드록들 또는 이송 모듈들로 또한 공지된 에어록들 (airlocks) (942 및 946) 은 VTM (938) 및 패터닝 모듈 (940) 과 인터페이싱한다. 예를 들어, 상기 주지된 바와 같이, 적합한 패터닝 모듈은 NL, Veldhoven 소재의 ASML에 의해 공급된 TWINSCAN NXE: 3300B® 플랫폼일 수도 있다. 이 툴 아키텍처는 반도체 기판들 또는 웨이퍼들과 같은 워크피스들로 하여금 노출 전에 반응하지 않도록 진공 하에서 이송되게 한다. 리소그래피 툴과 증착 모듈들의 통합은 EUVL가 또한 H2O, O2, 등과 같은 주변 가스들에 의한 입사 광자들의 강한 광 흡수를 고려하면 상당히 감소된 압력을 필요로 한다는 사실에 의해 용이해진다.
상기 주지된 바와 같이, 이 통합된 아키텍처는 단지 기술된 프로세스들의 구현을 위한 툴의 일 가능한 실시 예이다. 프로세스들은 또한 예를 들어, 도 13를 참조하여 기술된 바와 같지만 통합된 패터닝 모듈 없는 모듈들과 같이, 독립형 또는 다른 툴들, 예컨대 에칭, 스트립, 등 (예를 들어, Lam Kiyo 또는 Gamma 툴들) 과 함께 클러스터 아키텍처에 통합된, Lam Vector 툴과 같은 보다 통상적인 독립형 EUVL 스캐너 및 증착 반응기로 구현될 수도 있다.
에어록 (942) 은 증착 모듈 (920a) 을 서비스하는 VTM (938) 으로부터 패터닝 모듈 (940) 로의 기판의 이송을 지칭하는 "인출 (outgoing)" 로드록일 수도 있고, 에어록 (946) 은 패터닝 모듈 (940) 로부터 VTM (938) 으로 다시 기판의 이송을 지칭하는 "인입 (ingoing)" 로드록일 수도 있다. 인입 로드록 (946) 은 또한 기판들의 액세스 및 진출 (egress) 을 위해 툴의 외부로의 인터페이스를 제공할 수도 있다. 프로세스 모듈 각각은 모듈을 VTM (938) 에 인터페이싱하는 패싯 (facet) 을 갖는다. 예를 들어, 증착 프로세스 모듈 (920a) 은 패싯 (936) 을 갖는다. 패싯 각각의 내부에서, 센서들, 예를 들어, 도시된 바와 같이 센서 1 내지 센서 18은 각각의 스테이션들 사이에서 이동할 때 웨이퍼 (926) 의 통과를 검출하도록 사용된다. 패터닝 모듈 (940) 및 에어록들 (942 및 946) 은 도시되지 않은 부가적인 패싯들 및 센서들을 유사하게 구비할 수도 있다.
메인 VTM 로봇 (922) 은 에어록들 (942 및 946) 을 포함하는 모듈들 사이에서 웨이퍼 (926) 를 이송한다. 일 실시 예에서, 로봇 (922) 은 하나의 암을 갖고, 또 다른 실시 예에서, 로봇 (922) 은 2 개의 암들을 갖고, 암 각각은 이송을 위해 웨이퍼 (926) 와 같은 웨이퍼들을 픽킹하기 (pick) 위한 엔드 이펙터 (end effector) (924) 를 갖는다. 프론트 엔드 로봇 (944) 은 인출 에어록 (942) 으로부터 패터닝 모듈 (940) 내로, 패터닝 모듈 (940) 로부터 인입 에어록 (946) 내로 웨이퍼들 (926) 을 이송하도록 사용된다. 프론트 엔드 로봇 (944) 은 또한 기판들의 액세스 및 진출을 위해 인입 로드록과 툴의 외부 사이에서 웨이퍼들 (926) 을 이송할 수도 있다. 인입 에어록 모듈 (946) 이 대기와 진공 사이의 분위기를 매칭하는 능력을 갖기 때문에, 웨이퍼 (926) 는 손상되지 않고 2 개의 압력 분위기들 사이에서 이동할 수 있다.
EUVL 툴이 통상적으로 증착 툴보다 높은 진공에서 동작한다는 것을 주의해야 한다. 이것이 사실이라면, 기판이 패터닝 툴 내로 진입하기 전에 탈기되게 하도록 EUVL 툴로의 증착 사이의 이송 동안 기판의 진공 분위기를 상승시키는 것이 바람직하다. 인출 에어록 (942) 은 패터닝 툴 (940) 의 광학계 (optics) 가 기판으로부터 가스 배출 (off-gassing) 에 의해 오염되지 않도록, 일정 기간 동안 패터닝 모듈 (940) 내의 압력보다 높지 않은, 보다 낮은 압력으로 이송된 웨이퍼들을 홀딩하고 모든 가스 배출을 배기함으로써 이 기능을 제공할 수도 있다. 인출, 가스 배출 에어록을 위한 적합한 압력은 1E-8 Torr 이하이다.
일부 실시 예들에서, (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기가 클러스터 툴 및/또는 이의 분리된 모듈들의 일부 또는 모든 동작들을 제어한다. 제어기가 클러스터 아키텍처에 국부적일 수 있거나, 제작 현장에서 클러스터 아키텍처 외부에, 또는 원격 위치에 위치될 수 있고, 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것을 주의해야 한다. 시스템 제어기는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 프로세서는 CPU (Central Processing Unit) 또는 컴퓨터, 아날로그 입력/출력 연결부들 및/또는 디지털 입력/출력 연결부들, 스텝퍼 (stepper) 모터 제어기 보드들, 및 다른 유사한 컴포넌트들을 포함할 수도 있다. 적절한 제어 동작들을 구현하기 위한 인스트럭션들이 프로세서 상에서 실행된다. 이들 인스트럭션들은 제어기와 연관된 메모리 디바이스들 상에 저장될 수도 있고, 또는 이들이 네트워크를 통해 제공될 수도 있다. 특정한 실시 예들에서, 시스템 제어기는 시스템 제어 소프트웨어를 실행한다.
시스템 제어 소프트웨어는 툴 또는 모듈 동작의 임의의 양태의 적용의 타이밍 및/또는 크기를 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 (subroutines) 또는 제어 객체들은 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작들을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어가 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다. 일부 실시 예들에서, 시스템 제어 소프트웨어는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 포함한다. 예를 들어, 반도체 제조 프로세스의 페이즈 (phase) 각각은 시스템 제어기에 의한 실행을 위한 하나 이상의 인스트럭션들을 포함할 수도 있다. 응결, 증착, 증발, 패터닝 및/또는 에칭 페이즈를 위한 프로세스 조건들을 설정하기 위한 인스트럭션들은 예를 들어, 대응하는 레시피 페이즈에 포함될 수도 있다.
웨이퍼 이동을 제어하는 컴퓨터는 클러스터 아키텍처에 국부적일 수 있거나, 제작 현장에서 클러스터 아키텍처 외부에, 또는 원격 위치에 위치될 수 있고 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것을 주의해야 한다. 도 10, 도 11, 도 12 또는 도 14 중 임의의 도면에 대해 상기 기술된 바와 같은 제어기가 도 13의 툴을 사용하여 구현될 수도 있다.
도 14는 (예를 들어, 증기-기반 증착, 예컨대 이미징 층 및/또는 방사선 흡수 층을 위한) 증착 챔버의 예를 도시한다. 알 수 있는 바와 같이, 리드 (1008) 를 포함하는 프로세싱 챔버 (1002) 를 갖는 장치 (1000) 가 도시된다. 기판 (1022) 이 웨이퍼 지지부 (1024) 상에 배치될 수도 있는, 프로세싱 챔버 (1002) 는 기판 (1022) 이 통과하게 하고 프로세싱 챔버 (1002) 의 내부로 들어가게 하도록 사이징되는 프로세싱 챔버 (1002) 의 벽들 중 하나를 통한 웨이퍼 이송 통로 (1004) 를 포함할 수도 있다. 웨이퍼 이송 통로 (1004) 는 웨이퍼 이송 통로를 시일링하거나 시일링 해제하도록 (unseal) 동작될 수도 있는 게이트 밸브 (1006) 또는 유사한 도어 메커니즘을 가질 수도 있고, 이에 따라 프로세싱 챔버 (1002) 내 분위기로 하여금 게이트 밸브 (1006) 의 다른 측면 상의 분위기로부터 격리되게 한다. 예를 들어, 프로세싱 챔버 (1002) 는 인접한 이송 챔버 내에 위치된 웨이퍼 핸들링 로봇을 통해 기판들 (1022) 이 제공될 수도 있다. 이러한 이송 챔버는 예를 들어, 주변부 둘레에 배치된 복수의 프로세싱 챔버들 (1002) 을 가질 수도 있고, 이러한 프로세싱 챔버 (1002) 각각은 대응하는 게이트 밸브 (1006) 를 통해 이송 챔버와 연결된다.
웨이퍼 지지부 (1024) 는 예를 들어, 기판 (1022) 을 지지하기 위해 웨이퍼 지지 표면을 제공하도록 사용될 수도 있는 정전 척 (ESC) (1026) 을 포함할 수도 있다. ESC (1026) 는 예를 들어, 베이스 플레이트 (1034) 상단에 배치되는 상단 플레이트 (1028) 에 결합되는 베이스 플레이트 (1034) 를 포함할 수도 있다. 상단 플레이트 (1028) 는 예를 들어, 세라믹 재료로 이루어질 수도 있고 그 내부에 몇몇 다른 컴포넌트들을 임베딩할 (embed) 수도 있다. 도시된 예에서, 상단 플레이트 (1028) 는 내부에 임베딩된 2 개의 분리된 전기 시스템들을 갖는다. 하나의 이러한 시스템은 기판 (1022) 으로 하여금 상단 플레이트 (1028) 의 웨이퍼 지지 표면에 대해 인출되게 하는 기판 (1022) 내에 전하를 생성하도록 사용될 수도 있는 하나 이상의 클램핑 전극들 (1032) 을 가질 수도 있는 정전 클램핑 전극 시스템이다. 도 14의 구현 예에서, 쌍극성 정전 클램핑 시스템을 제공하는 2 개의 클램핑 전극들 (1032) 이 있지만, 일부 구현 예들은 단극성 정전 클램핑 시스템을 제공하기 위해 단일 클램핑 전극 (1032) 만을 사용할 수도 있다.
다른 시스템은 프로세싱 조건들 동안 기판 (1022) 의 온도를 제어하기 위해 사용될 수도 있는 열 제어 시스템 (thermal control system) 이다. 도 14에서, 열 제어 시스템은 서로 동심이고 클램핑 전극들 (1022) 밑에 위치된 4 개의 환형 저항 히터 트레이스들 (1030a, 1030b, 1030c, 및 1030d) 을 특징으로 하는 멀티-존 열 제어 시스템이다. 중심 저항 히터 트레이스들 (1030a) 은, 일부 구현 예들에서, 일반적으로 원형 영역을 채울 수도 있고, 그리고 저항 히터 트레이스 (1030a/1030b/1030c/1030d) 각각은 대응하는 환형 영역 내에서 일반적으로 구불 구불한 (serpentine) 경로 또는 그렇지 않으면 구불 구불한 (meander) 경로를 따를 수도 있다. 저항 히터 트레이스 (1030a, 1030b, 1030c, 및 1030d) 각각은 상단 플레이트 (1028) 내에 다양한 방사상 가열 프로파일들을 제공하도록 개별적으로 제어될 수도 있고; 일부 경우들에서, 이러한 4-존 가열 시스템은 예를 들어, ±0.5 ℃의 온도 균일성을 갖도록 기판 (1022) 을 유지하기 위해 제어될 수도 있다. 도 14의 장치 (1000) 는 ESC (1026) 내의 4-존 가열 시스템을 특징으로 하지만, 다른 구현 예들은 단일-존 또는 4 개보다 많거나 보다 적은 존들을 갖는 멀티-존 가열 시스템들을 사용할 수도 있다.
예를 들어, 상기 논의된 온도 제어 메커니즘들의 일부 구현 예들에서, 열 펌프들이 저항 가열 트레이스들 대신 사용될 수도 있다. 예를 들어, 일부 구현 예들에서, 저항 히터 트레이스들은 일 측면으로부터 또 다른 측면으로 열을 "펌핑"하도록 제어될 수도 있는 펠티에 (Peltier) 접합부들 또는 다른 유사한 디바이스들에 의해 대체되거나 증가될 수도 있다. 이러한 메커니즘들은, 예를 들어, 상단 플레이트 (1028) (및 따라서 기판 (1022)) 로부터 열을 인출하고 베이스 플레이트 (1034) 및 열 교환 통로들 (1036) 내로 열을 지향시키도록 사용될 수도 있고, 이에 따라, 원한다면 기판 (1022) 이 보다 신속하고 보다 효과적으로 냉각되게 한다.
ESC (1026) 는 또한 예를 들어, 상단 플레이트 (1028) 의 아랫면에 구조적 지지를 제공하도록 사용될 수도 있고, 또한 열 분산 시스템으로서 작용할 수도 있는 베이스 플레이트 (1034) 를 포함할 수도 있다. 예를 들어, 베이스 플레이트 (1034) 는 베이스 플레이트 (1034) 전체에 걸쳐 일반적으로 분포된 방식으로 배치되는 하나 이상의 열 교환 통로들 (1036) 을 포함할 수도 있고, 예를 들어, 열 교환 통로들 (1036) 은 베이스 플레이트 (1034) 의 중심 주변의 구불 구불한, 원형 스위치 백 (switchback), 또는 나선형 패턴을 따를 수도 있다. 열 교환 매체, 예를 들어, 물 또는 불활성 플루오르화된 액체는 사용 동안 열 교환 통로들 (1036) 을 통해 순환될 수도 있다. 열 교환 매체의 플로우 레이트 및 온도는 베이스 플레이트 (1034) 에서 특정한 가열 또는 냉각 거동을 발생시키도록 외부적으로 제어될 수도 있다.
ESC (1026) 는 예를 들어, 웨이퍼 지지 컬럼 (1044) 과 연결되고 웨이퍼 지지 컬럼 (1044) 에 의해 지지되는 웨이퍼 지지 하우징 (1042) 에 의해 지지될 수도 있다. 웨이퍼 지지 컬럼 (1044) 은 예를 들어, 베이스 플레이트 (1034) 및/또는 상단 플레이트 (1028) 의 아랫면으로 케이블링, 유체 플로우 도관들, 및 다른 장비를 라우팅하기 위한 다른 패스-쓰루들 (pass-throughs) 이외의 라우팅 통로 (1048) 를 가질 수도 있다. 예를 들어, 도 14에는 도시되지 않지만, 저항 히터 트레이스들 (1030a/1030b/1030c/1030d) 에 전력을 제공하기 위한 케이블 링은 클램핑 전극들 (1032) 에 전력을 제공하기 위한 케이블 링일 수도 있는 것처럼 라우팅 통로 (1048) 를 통해 라우팅될 수도 있다. 다른 케이블들, 예를 들어, 온도 센서들을 위한 케이블들은 또한 라우팅 통로 (1048) 를 통해 웨이퍼 지지부 (1024) 의 내부의 위치들로 라우팅될 수도 있다. 온도-제어 가능한 베이스 플레이트 (1034) 를 사용한 구현 예들에서, 베이스 플레이트 (1034) 로 그리고 베이스 플레이트 (1034) 로부터 열 교환 매체를 전달하기 위한 도관들은 또한 라우팅 통로 (1048) 를 통해 라우팅될 수도 있다. 과도한 혼란 (clutter) 을 방지하기 위해, 이러한 케이블들 및 도관들은 도 14에 도시되지 않지만, 그럼에도 불구하고, 이들이 존재할 것이라는 것이 이해되어야 한다.
도 14의 장치 (1000) 는 또한 웨이퍼 지지 컬럼 (1044) 에 이동식 지지부를 제공할 수도 있는 웨이퍼 지지부 z-액추에이터 (1046) 를 포함한다. 웨이퍼 지지부 z-액추에이터 (1046) 는 웨이퍼 지지 컬럼 (1044) 및 이에 의해 지지된 웨이퍼 지지부 (1024) 로 하여금 프로세싱 챔버 (1002) 의 반응 공간 (1020) 내에서 수직으로, 예를 들어, 수 인치까지 위로 또는 아래로 이동하게 하도록 작동될 수도 있다. 그렇게 함에 있어서, 기판 (1022) 과 샤워헤드 (1010) 의 아랫면 사이의 갭 거리 X는 다양한 프로세스 조건들에 따라 튜닝될 수도 있다.
웨이퍼 지지부 (1024) 는 또한, 일부 구현 예들에서, 다양한 프로세스 조건들을 제어 및/또는 미세-튜닝하도록 사용될 수도 있는 하나 이상의 에지 링들을 포함할 수도 있다. 도 14에서, 예를 들어, 하부 에지 링들 (1040a 및 1040b) 의 상단 상에 놓이는 상부 에지 링 (1038) 이 제공되고, 이는 결국 웨이퍼 지지 하우징 (1042) 및 제 3 하부 에지 링 (1040c) 에 의해 지지된다. 상부 에지 링 (1038) 은 일반적으로 기판 (1022) 과 동일한 프로세싱 분위기를 겪을 수도 있는 한편, 하부 에지 링들 (1040a/1040b/1040c) 은 일반적으로 프로세싱 분위기로부터 차폐될 수도 있다. 상부 에지 링 (1038) 의 증가된 노출로 인해, 상부 에지 링 (1038) 은 제한된 수명을 가질 수도 있고 하부 에지 링들 (1040a/1040b/1040c) 과 비교하여 보다 빈번한 교체 또는 세정을 필요로 할 수도 있다.
장치 (1000) 는 또한 프로세싱이 종료되는 동안 그리고 프로세싱이 종료된 후 프로세싱 챔버 (1002) 로부터 프로세스 가스들을 제거하기 위한 시스템을 포함할 수도 있다. 예를 들어, 프로세싱 챔버 (1002) 는 웨이퍼 지지 컬럼 (1044) 을 둘러싸는 환형 플레넘 (1056) 을 포함할 수도 있다. 환형 플레넘 (1056) 은 결국, 예를 들어 장치 (1000) 아래의 서브 플로어 밑에 위치될 수도 있는 것과 같이, 진공 펌프와 연결될 수도 있는 진공 포어라인 (1052) 과 유체적으로 연결될 수도 있다. 레귤레이터 밸브 (1054) 가 진공 포어라인 (1052) 과 프로세싱 챔버 (1002) 사이에 제공될 수도 있고 진공 포어라인 (1052) 내로의 플로우를 제어하도록 작동될 수도 있다. 일부 구현 예들에서, 웨이퍼 지지 컬럼 (1044) 의 원주 둘레에 보다 고르게 분포된 환형 플레넘 (1056) 내로의 플로우를 만들도록 기능할 수도 있는 배플 (1050), 예를 들어, 환형 플레이트 또는 다른 구조체가 기판 (1022) 을 가로 질러 흐르는 반응 물질들에서 플로우 불균일도들의 발생 기회들을 감소시키도록 제공될 수도 있다.
도시된 바와 같이, 샤워헤드 (1010) 는 듀얼-플레넘 샤워헤드 (1010) 이고 제 1 유입구 (1016) 를 통해 프로세스 가스가 제공되는 제 1 플레넘 (1012) 및 제 2 유입구 (1018) 를 통해 프로세스 가스가 제공되는 제 2 플레넘 (1014) 을 포함한다. 일반적으로, 2 개의 플레넘들이 전구체와 대응-반응 물질의 릴리즈 전에 전구체(들)와 대응-반응 물질(들) 사이의 분리를 유지하도록 채용될 수 있다. 샤워헤드 (1010) 는 일부 구현 예들에서, 2 개보다 많은 플레넘들을 가질 수도 있다. 일부 예들에서, 프로세싱 챔버 (1002) 의 반응 공간 (1020) 내로 전구체(들)를 전달하기 위해 단일 플레넘이 사용된다. 플레넘 각각은 샤워헤드 (1010) 의 대면 플레이트를 통해 반응 공간 (1020) 과 각각의 플레넘을 유체적으로 연결하는 가스 분배 포트들의 대응하는 세트를 가질 수도 있다 (대면 플레이트는 최하부 플레넘과 반응 공간 (1020) 사이에 개재되는 샤워헤드 (1010) 의 부분이다).
샤워헤드 (1010) 의 제 1 유입구 (1016) 및 제 2 유입구 (1018) 는 본 명세서에 논의된 바와 같이, 하나 이상의 전구체(들) 및/또는 대응-반응 물질(들)을 제공하도록 구성될 수도 있는, 가스 공급 시스템을 통해 프로세싱 가스들이 제공될 수도 있다. 도시된 장치 (1000) 는 복수의 전구체들 및 복수의 대응-반응 물질들을 제공하도록 구성된다. 예를 들어, 제 1 밸브 매니폴드 (1068a) 는 제 1 유입구 (1016) 에 전구체(들)를 제공하도록 구성될 수도 있는 한편, 제 2 밸브 매니폴드 (1068b) 는 다른 전구체(들) 또는 다른 대응-반응 물질을 제 2 유입구 (1018) 에 제공하도록 구성될 수도 있다.
제 1 밸브 매니폴드 (1068a) 는 제 1 유입구 (1016) 에 하나 이상의 전구체(들)를 제공하도록 구성될 수도 있는 한편, 제 2 밸브 매니폴드 (1068b) 는 다른 전구체(들) 또는 다른 반응 물질을 제 2 유입구 (1018) 에 제공하도록 구성될 수도 있다. 이 예에서, 제 1 밸브 매니폴드 (1068a) 는 예를 들어, 복수의 밸브들 (A1 내지 A5) 을 포함한다. 밸브 A2는, 예를 들어, 제 1 기화기 (1072a) 와 유체적으로 연결된 일 포트, 바이패스 라인 (1070a) 과 유체적으로 연결된 또 다른 포트, 및 또 다른 3-웨이 (three-way) 밸브 A3 상의 포트와 유체적으로 연결된 제 3 포트를 갖는 3-웨이 밸브일 수도 있다. 유사하게, 밸브 A4는 제 2 기화기 (1072b) 와 유체적으로 연결된 일 포트, 바이패스 라인 (1070a) 과 유체적으로 연결된 또 다른 포트, 및 또 다른 3-웨이 밸브 A5 상의 포트와 유체적으로 연결된 제 3 포트를 갖는 또 다른 3-웨이 밸브일 수도 있다. 밸브 A5 상의 다른 포트들 중 하나는 제 1 유입구 (1016) 와 유체적으로 연결될 수도 있는 한편, 밸브 A5 상의 나머지 포트는 밸브 A3 상의 나머지 포트들 중 하나와 유체적으로 연결될 수도 있다. 밸브 A3 상의 나머지 포트는 결국 밸브 A3와 퍼지 가스 소스 (1074), 예를 들어 질소, 아르곤, 또는 (전구체(들) 및/또는 대응-반응 물질(들)에 대해) 다른 적합하게 불활성인 가스 사이에 유체적으로 개재될 수도 있는 밸브 A1와 유체적으로 연결될 수도 있다. 일부 실시 예들에서, 제 1 밸브 매니폴드만이 채용된다.
본 개시의 목적들을 위해, 용어 "유체적으로 연결된 (fluidically connected)"은 용어 "전기적으로 접속된"이 전기적 접속을 형성하도록 함께 연결되는 컴포넌트들에 대해 사용되는 방법과 유사하게, 유체 연결을 형성하기 위해 서로 연결될 수도 있는, 볼륨들, 플레넘들, 홀들, 등에 대해 사용된다. 용어 "유체적으로 개재된 (fluidically interposed)"은, 사용된다면, 적어도 2 개의 다른 컴포넌트들, 볼륨들, 플레넘들, 또는 홀들과 유체적으로 연결된 컴포넌트, 볼륨, 플레넘, 또는 홀을 지칭하도록 사용될 수도 있어서, 이들 다른 컴포넌트들, 볼륨들, 플레넘들, 또는 홀들 중 하나로부터 이들 컴포넌트들, 볼륨들, 플레넘들, 또는 홀들 중 다른 것 또는 또 다른 것으로 흐르는 유체가 이들 컴포넌트들, 볼륨들, 플레넘들, 또는 홀들 중 다른 것 또는 또 다른 것에 도달하기 전에 "유체적으로 개재된" 컴포넌트를 통해 먼저 흐를 것이다. 예를 들어, 펌프가 저장부와 유출구 사이에 유체적으로 개재된다면, 저장부로부터 유출구로 흐르는 유체는 유출구에 도달하기 전에 먼저 펌프를 통해 흐를 것이다.
제 1 밸브 매니폴드 (1068a) 는, 예를 들어, 기화기들 (1072a 및 1072b) 중 하나 또는 모두로부터의 증기들로 하여금 프로세싱 챔버 (1002) 로 또는 제 1 바이패스 라인 (1070a) 을 통해 그리고 진공 포어라인 (1052) 내로 흐르게 하도록 제어 가능할 수도 있다. 제 1 밸브 매니폴드 (1068a) 는 또한 퍼지 가스로 하여금 퍼지 가스 소스 (1074) 로부터 제 1 유입구 (1016) 내로 흐르게 하도록 제어 가능할 수도 있다.
예를 들어, 제 1 기화기 (1072a) 로부터 반응 공간 (1020) 내로 증기를 흘리기 위해, 밸브 A2는 제 1 기화기 (1072a) 로부터 증기로 하여금 제 1 바이패스 라인 (1070a) 내로 먼저 흐르게 하도록 작동될 수도 있다. 이 플로우는 증기의 플로우가 정상 상태 플로우 조건들에 도달하게 하기에 충분한 시간 기간 동안 유지될 수도 있다. 충분한 시간이 경과한 후 (또는 사용된다면, 유량계가 플로우 레이트가 안정하다는 것을 나타낸 후), 밸브들 (A2, A3, 및 A5) 은 제 1 기화기 (1072a) 로부터 증기 플로우가 제 1 유입구로 지향되게 하도록 작동될 수도 있다. 밸브들 (A4 및 A5) 을 사용한 유사한 동작들이 제 2 기화기 (1072b) 로부터 제 1 유입구 (1016) 로 증기를 전달하도록 수행될 수도 있다. 일부 예들에서, 퍼지 가스 소스 (1074) 로부터의 퍼지 가스가 제 1 유입구 (1016) 내로 흐르게 하도록 밸브들 (A1, A3, 및 A5) 을 작동시킴으로써 제 1 플레넘 (1012) 으로부터 증기들 중 하나를 퍼지하는 것이 바람직할 수도 있다. 일부 부가적인 구현 예들에서, 퍼지 가스로부터 제 1 유입구 (1016) 내로 가스를 흘리는 것과 연계하여 (in tandem with) 기화기들 중 하나 (1072a 또는 1072b) 로부터 증기를 동시에 흘리는 것이 바람직할 수도 있다. 이러한 구현 예들은 이러한 증기(들)에 함유된 반응 물질(들)의 농도를 희석하도록 사용될 수도 있다.
제 2 밸브 매니폴드 (1068b) 는 유사한 방식으로, 예를 들어, 기화기들 (1072c 및 1072d) 로부터 제 2 유입구 (1018) 또는 제 2 바이패스 라인 (1070b) 으로 증기들을 제공하도록 밸브들 (B1 내지 B5) 을 제어함으로써 제어될 수도 있다는 것이 이해될 것이다. 제 1 유입구 (1016) 및 제 2 유입구 (1018) 로의 전구체(들), 대응-반응 물질(들), 또는 다른 반응 물질들의 플로우를 제어하기 위한 밸브들을 포함하는, 단일 일체형 (unitary) 매니폴드를 포함하여, 상이한 매니폴드 배열들이 또한 활용될 수도 있다는 것이 또한 인식될 것이다.
앞서 언급된 바와 같이, 일부 장치들 (1000) 은 보다 적은 수의 증기 소스들, 예를 들어, 단지 2 개의 기화기들 (1072) 을 특징으로 할 수도 있고, 이 경우 밸브 매니폴드(들) (1068) 는 보다 적은 수의 밸브들, 예를 들어, 밸브들 (A1 내지 A3) 만을 갖도록 수정될 수도 있다.
상기 논의된 바와 같이, 막들의 건식 증착을 제공하도록 사용될 수도 있는 장치 (1000) 와 같은 장치들은 프로세싱 챔버 (1002) 내에서 특정한 온도 프로파일들을 유지하도록 구성될 수도 있다. 특히, 이러한 장치들 (1000) 은 전구체(들) 및/또는 대응-반응 물질(들)과 직접적으로 콘택트하게 되는 챔버 (1002) 의 대부분의 장비보다 낮은 온도, 예를 들어, 적어도 25 ℃ 내지 50 ℃ 낮은 온도로 기판 (1022) 을 유지하도록 구성될 수도 있다. 부가적으로, 전구체(들) 및/또는 대응-반응 물질(들)과 직접적으로 콘택트하게 되는 장치 (1000) 의 장비의 온도는 이러한 장비의 표면들 상에서 기화된 반응 물질들의 응결을 못하게 되는 충분히 높은 상승된 레벨로 유지될 수도 있다. 동시에, 기판 (1022) 온도는 기판 (1022) 상의 반응 물질들의 응결, 또는 적어도 증착을 촉진하는 레벨로 제어될 수도 있다.
이러한 온도 제어를 제공하기 위해, 다양한 가열 시스템들이 장치 (1000) 에 포함될 수도 있다. 예를 들어, 프로세싱 챔버 (1002) 는 카트리지 히터들 (1058) 을 수용하기 위한 리셉터클들 (receptacles) 을 가질 수도 있고, 예를 들어, 일반적으로 실린더형 내부 볼륨을 갖지만 정사각형 또는 직사각형 외부 형상을 갖는 프로세싱 챔버 (1002) 를 위해, 카트리지 히터들 (1058) 을 수용하기 위한 수직 홀들이 챔버 (1002) 하우징의 4 개의 코너들 내로 천공될 (bore) 수도 있다. 일부 구현 예들에서, 샤워헤드 (1010) 는 상승된 샤워헤드 온도를 유지하기 위해 샤워헤드 (1010) 의 노출된 상부 표면에 걸쳐 열을 인가하도록 사용될 수도 있는, 히터 블랭킷들 (1060) 로 커버될 수도 있다. 기화기들 (1072) 로부터 샤워헤드 (1010) 로 기화된 반응 물질들을 보내도록 (conduct) 사용되는 다양한 가스 라인들을 가열하는 것이 또한 유리할 수도 있다. 예를 들어, 저항성 히터 테이프는 이러한 가스 라인들 둘레에 감겨져 (wound) 이들을 상승된 온도로 가열하도록 사용될 수도 있다. 도 14에 도시된 바와 같이, 전구체(들) 및/또는 이들을 통해 흐르는 대응-반응 물질(들)을 잠재적으로 갖는 모든 가스 라인들은 바이패스 라인들 (1070) 을 포함하여 가열되는 것으로 도시된다. 유일한 예외들은 밸브 매니폴드들 (1068) 로부터 제 1 유입구 (1016) 및 제 2 유입구 (1018) 로의 가스 라인들이며, 이는 매우 짧을 수도 있고 샤워헤드 (1010) 에 의해 간접적으로 가열될 수도 있다. 물론, 이들 가스 라인들까지도 원한다면, 능동적으로 가열될 수도 있다. 일부 구현 예들에서, 히터들은 또한 게이트 밸브에 열을 제공하도록 게이트 밸브 (1006) 에 근접하게 제공될 수도 있다.
장치 (1000) 의 다양한 동작 시스템들은 제어기 (1084) 에 의해 제어될 수도 있고, 제어기 (1084) 는 서로 동작 가능하게 연결되고 장치 (1000) 의 다양한 시스템들 및 서브 시스템들과 통신 가능하게 연결되어 이들 시스템들에 대한 제어 기능을 제공하는, 하나 이상의 프로세서들 (1086) 및 하나 이상의 메모리 디바이스들 (1088) 을 포함할 수도 있다. 예를 들어, 제어기 (1084) 는 밸브들 (A1 내지 A5 및 B1 내지 B5), 다양한 히터들 (1058, 1060), 기화기들 (1072), 레귤레이터 밸브 (1054), 게이트 밸브 (1006), 웨이퍼 지지부 z-액추에이터, 등을 제어하도록 구성될 수도 있다.
일단 막 층이 기판 (1022) 상에 증착되면, 기판 (1022) 은 상기 주지된 바와 같이, 부가적인 동작들 (예를 들어, 본 명세서에 기술된 임의의 동작) 을 위해 하나 이상의 후속 프로세싱 챔버들 또는 툴로 이송될 수도 있다. 추가의 증착 장치들은 전체가 참조로서 본 명세서에 인용된, 명칭이 "APPARATUS FOR PHOTORESIST DRY DEPOSITION"인 2020 년 6 월 22 일 출원된 국제 특허 출원 번호 PCT/US2020/038968에 기술된다.
본 개시의 실시 예들은 이러한 프로세싱 및 프로세싱 장치에 관한 것이다.
정의들
본 명세서에서 상호 교환 가능하게 사용된 바와 같이, "아실옥시 (acyloxy)" 또는 "알카노일옥시 (alkanoyloxy)"는 옥시기를 통해 모 분자기에 부착된, 본 명세서에 정의된 바와 같은 아실 또는 알카노일기를 의미한다. 특정한 실시 예들에서, 알카노일옥시는 -O-C(O)-Ak이고, 여기서 Ak는 본 명세서에 정의된 바와 같은 알킬기이다. 일부 실시 예들에서, 치환되지 않은 알카노일옥시는 C2-7 알카노일옥시기이다. 예시적인 알카노일옥시기들은 아세톡시를 포함한다.
"알케닐 (alkenyl)"은 하나 이상의 이중 결합들을 갖는 선택 가능하게 치환된 C2-24 알킬기를 의미한다. 알케닐기는 고리형 (예를 들어, C3-24 사이클로알케닐) 또는 비고리형일 수 있다. 알케닐기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 알케닐기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다. 비제한적인 치환되지 않은 알케닐기들은 알릴 및 비닐을 포함한다. 일부 실시 예들에서, 치환되지 않은 알케닐기는 C2-6, C2-8, C2-10, C2-12, C2-16, C2-18, C2-20, C2-24, C3-8, C3-10, C3-12, C3-16, C3-18, C3-20, 또는 C3-24 알케닐기이다.
"알케닐렌 (alkenylene)"은 하나 이상의 이중 결합을 갖는 선택 가능하게 치환된 C2-24 알킬기인, 알케닐기의 다가 (예를 들어, 2가) 형태를 의미한다. 알케닐렌기는 고리형 (예를 들어, C3-24 사이클로알케닐) 또는 비고리형일 수 있다. 알케닐렌기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 알케닐렌기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다. 예시적인, 비제한적인 알케닐렌기들은 -CH=CH- 또는 -CH=CHCH2-를 포함한다.
"알콕시 (alkoxy)"는 -OR를 의미하고, 여기서 R은 본 명세서에 기술된 바와 같이 선택 가능하게 치환된 알킬기이다. 예시적인 알콕시기들은 메톡시, 에톡시, 부톡시, 트리할로알콕시, 예컨대 트리플루오로메톡시, 등을 포함한다. 알콕시기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 알콕시기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다. 예시적인 치환되지 않은 알콕시기들은 C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, 또는 C1-24 알콕시기들을 포함한다.
"알킬 (alkyl)" 및 접두사 "alk"는 1 내지 24 개의 탄소 원자들의 분지형 또는 비분지형 포화 하이드로카본기, 예컨대 메틸 (Me), 에틸 (Et), n-프로필 (n-Pr), 이소프로필 (i-Pr), 사이클로프로필, n-부틸 (n-Bu), 이소부틸 (i-Bu), s-부틸 (s-Bu), t-부틸 (t-Bu), 사이클로부틸, n-펜틸, 이소펜틸, s-펜틸, 네오펜틸, 헥실, 헵틸, 옥틸, 노닐, 데실, 도데실, 테트라데실, 헥사데실, 에이코실, 테트라코실, 등을 의미한다. 알킬기는 고리형 (예를 들어,C3 -24 사이클로알킬) 또는 비고리형일 수 있다. 알킬기는 분지되거나 분지되지 않을 수 있다. 알킬기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 알킬기는 본 명세서에 기술된 바와 같이, 알킬기가 하나 이상의 할로기들에 의해 치환된 할로알킬을 포함할 수 있다. 또 다른 예에서, 알킬기는 1, 2, 3 또는 2 개 이상의 탄소들의 알킬기들의 경우, 다음으로 구성된 그룹으로부터 독립적으로 선택된 4 개의 치환기들로 치환될 수 있다: (1) C1-6 알콕시 (예를 들어, -O-Ak, 여기서 Ak는 선택 가능하게 치환된 C1-6 알킬임); (2) 아미노 (예를 들어, -NRN1RN2, 여기서 RN1 및 RN2 각각은 독립적으로 H 또는 선택 가능하게 치환된 알킬이거나, RN1 및 RN2는 각각이 부착된 질소 원자와 함께, 헤테로사이크릴기를 형성함); (3) 아릴; (4) 아릴알콕시 (예를 들어, -O-Lk-Ar, 여기서 Lk는 선택 가능하게 치환된 알킬의 2가 형태이고 Ar은 선택 가능하게 치환된 아릴이다); (5) 아릴로일 (예를 들어, -C(O)-Ar, 여기서 Ar은 선택 가능하게 치환된 아릴); (6) 시아노 (예를 들어, -CN); (7) 카르복시알데하이드 (예를 들어, -C(O)H); (8) 카르복실 (예를 들어, -CO2H); (9) C3-8 사이클로알킬 (예를 들어, 1가 포화 또는 불포화 비방향족 고리형 C3-8 하이드로카본기); (10) 할로 (예를 들어, F, Cl, Br, 또는 I); (11) 헤테로사이크릴 (예를 들어, 달리 명시되지 않는 한, 질소, 산소, 인, 황, 또는 할로와 같은 1, 2, 3 또는 4 개의 비탄소 헤테로 원자를 함유하는, 5-원 (membered) 고리, 6-원 고리 또는 7-원 고리); (12) 헤테로사이크릴옥시 (예를 들어, -O-Het, 여기서 Het는 본 명세서에 기술된 바와 같이, 헤테로사이크릴임); (13) 헤테로사이크릴로일 (예를 들어, -C(O)-Het, 여기서 Het는 본 명세서에 기술된 바와 같이, 헤테로사이크릴임); (14) 하이드록실 (예를 들어, -OH); (15) n-보호된 아미노; (16) 니트로 (예를 들어, -NO2); (17) 옥소 (예를 들어, =O); (18) -CO2RA, 여기서 RA는 (a) C1-6 알킬, (b) C4-18 아릴, 및 (c) (C4-18 아릴) C1-6 알킬 (예를 들어, -Lk-Ar, 여기서 Lk는 선택 가능하게 치환된 알킬기의 2가 형태이고 Ar은 선택 가능하게 치환된 아릴) 로 구성된 그룹으로부터 선택됨; (19) -C(O)NRBRC, 여기서 RB 및 RC 각각은 독립적으로 (a) 수소, (b) C1-6 알킬, (c) C4-18 아릴, 및 (d) (C4-18 아릴) C1-6 알킬 (예를 들어, -Lk-Ar, 여기서 Lk는 선택 가능하게 치환된 알킬기의 2가 형태이고 Ar은 선택 가능하게 치환된 아릴) 로 구성된 그룹으로부터 선택됨; 및 (20) -NRGRH, 여기서 RG 및 RH 각각은 독립적으로, (a) 수소, (b) N-보호기, (c) C1-6 알킬, (d) C2-6 알케닐 (예를 들어, 하나 이상의 이중 결합들을 갖는 선택 가능하게 치환된 알킬), (e) C2-6 알키닐 (예를 들어, 하나 이상의 삼중 결합들을 갖는 선택 가능하게 치환된 알킬), (f) C4-18 아릴, (g) (C4-18 아릴) C1-6 알킬 (예를 들어, Lk-Ar, 여기서 Lk는 선택 가능하게 치환된 알킬기의 2가 형태이고 Ar은 선택 가능하게 치환된 아릴임), (h) C3-8 사이클로알킬, 및 (i) (C3-8 사이클로알킬) C1-6 알킬 (예를 들어, -Lk-Cy, 여기서 Lk는 본 명세서에 기술된 바와 같이, 선택 가능하게 치환된 알킬기의 2가 형태이고, Cy는 선택 가능하게 치환된 사이클로알킬임) 로 구성된 그룹으로부터 선택되고, 일 실시 예에서, 2 개의 기가 카르보닐기를 통해 질소 원자에 바인딩되지 않는다. 알킬기는 하나 이상의 치환기들 (예를 들어, 하나 이상의 할로 또는 알콕시) 로 치환된 1 차, 2 차, 또는 3 차 알킬기일 수 있다. 일부 실시 예들에서, 치환되지 않은 알킬기는 C1-3, C1-6, C1-8, C1-10, C1-12, C1-16, C1-18, C1-20, C1-24, C2-6, C2-8, C2-10, C2-12, C2-16, C2-18, C2-20, C2-24, C3-8, C3-10, C3-12, C3-16, C3-18, C3-20, 또는 C3-24 알킬기이다.
"알킬렌 (alkylene)"은 본 명세서에 기술된 바와 같이, 알킬기의 다가 (예를 들어, 2가) 형태를 의미한다. 예시적인 알킬렌기들은 메틸렌, 에틸렌, 프로필렌, 부틸렌, 등을 포함한다. 일부 실시 예들에서, 알킬렌기는 C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, C1-24, C2-3, C2-6, C2-12, C2-16, C2-18, C2-20, 또는 C2-24 알킬렌기이다. 알킬렌기는 분지되거나 분지되지 않을 수 있다. 알킬렌기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 알킬렌기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"알키닐 (alkynyl)"은 하나 이상의 삼중 결합들을 갖는 선택 가능하게 치환된 C2-24 알킬기를 의미한다. 알키닐기는 고리형 또는 비고리형일 수 있고 에티닐, 1-프로피닐, 등으로 예시된다. 알키닐기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 알키닐기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다. 일부 실시 예들에서, 치환되지 않은 알키닐기는 C2-6, C2-8, C2-10, C2-12, C2-16, C2-18, C2-20, C2-24, C3-8, C3-10, C3-12, C3-16, C3-18, C3-20, 또는 C3-24 알키닐기이다.
"알키닐렌 (alkynylene)"은 하나 이상의 삼중 결합들을 갖는 선택 가능하게 치환된 C2-24 알킬기인, 알키닐기의 다가 (예를 들어, 2가) 형태를 의미한다. 알키닐렌기는 고리형 또는 비고리형일 수 있다. 알키닐렌기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 알키닐렌기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다. 예시적인, 비제한적인 알키닐렌기들은 -C≡C- 또는 -C≡CH2-를 포함한다.
"아미노 (amino)"는 -NRN1RN2를 의미하고, 여기서 RN1 및 RN2 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 또는 선택 가능하게 치환된 아릴이고, 또는 RN1 및 RN2는 각각이 부착된 질소 원자와 함께 본 명세서에 정의된 바와 같이 헤테로사이클릴기를 형성한다.
"아릴 (aryl)"은 이로 제한되는 것은 아니지만, 예를 들어, 인다닐, 테트라하이드로나프틸, 플루오레닐, 등과 같은 융합된 벤조-C4-8 사이클로알킬 라디칼들 (예를 들어, 본 명세서에 정의된 바와 같은) 을 포함하는, 페닐, 벤질, 안트라세닐, 안트릴, 벤조사이클로부테닐, 벤조사이클로옥테닐, 비페닐릴, 크리세닐, 디하이드로인데닐, 플루오란테닐, 인다세닐, 인데닐, 나프틸, 페난트릴, 페녹시벤질, 피세닐, 피레닐, 터페닐, 등을 포함하는 임의의 탄소계 방향족기를 포함하는 기를 의미한다. 용어 아릴은 또한 방향족기의 고리 내에 혼입된 적어도 하나의 헤테로 원자를 갖는 방향족기를 함유하는 기로서 정의되는, 헤테로아릴 (heteroaryl) 을 포함한다. 헤테로 원자들의 예들은 이로 제한되는 것은 아니지만, 질소, 산소, 황, 및 인을 포함한다. 유사하게, 용어 아릴에 또한 포함되는 용어 비헤테로 아릴 (non-heteroaryl) 은 헤테로 원자를 포함하지 않는 방향족기를 포함하는 기를 정의한다. 아릴기는 치환되거나 치환되지 않을 수 있다. 아릴기는 알킬에 대해 본 명세서에 기술된 임의의 것과 같은 1, 2, 3, 4, 또는 5 개의 치환기들로 치환될 수 있다.
"아릴렌 (arylenearylene)"은 본 명세서에 기술된 바와 같이, 아릴기의 다가 (예를 들어, 2가) 형태를 의미한다. 예시적인 아릴렌기들은 페닐렌, 나프틸렌, 비페닐렌, 트리페닐렌, 디페닐 에테르, 아세나프테닐렌, 안트릴렌, 또는 페난트릴렌을 포함한다. 일부 실시 예들에서, 아릴렌기는 C4-18, C4-14, C4-12, C4-10, C6-18, C6-14, C6-12, 또는 C6-10 아릴렌기이다. 아릴렌기는 분지되거나 분지되지 않을 수 있다. 아릴렌기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 아릴렌기는 알킬 또는 아릴에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"(아릴)(알킬)엔 ((aryl)(alkyl)ene)"은 본 명세서에 기술된 바와 같이 알킬렌 또는 헤테로알킬렌기에 부착된, 본 명세서에 기술된 바와 같은 아릴렌기를 포함하는 2가 형태를 의미한다. 일부 실시 예들에서, (아릴)(알킬)엔기는 -L-Ar- 또는 -L-Ar-L- 또는 -Ar-L-이고, 여기서 Ar은 아릴렌기이고 L은 각각 독립적으로, 선택 가능하게 치환된 알킬렌기 또는 선택 가능하게 치환된 헤테로알킬렌기이다.
"카르보닐 (carbonyl)"은 또한 >C=O로 나타낼 수 있는 -C(O)-기, 또는 -CO기를 의미한다.
"카르복실 (carboxyl)"은 -CO2H기를 의미한다.
"카르복시알킬 (carboxyalkyl)"은 본 명세서에 정의된 바와 같은 하나 이상의 카르복시기들에 의해 치환된, 본 명세서에 정의된 바와 같은 알킬기를 의미한다.
"카르복시아릴 (carboxyaryl)"은 본 명세서에 정의된 바와 같은 하나 이상의 카르복시기들에 의해 치환된 본 명세서에 정의된 바와 같은 아릴기를 의미한다.
"사이클릭 안하이드라이드 (cyclic anhydride)"는 달리 명시되지 않는 한, 고리에 -C(O)-O-C(O)-기를 갖는, 3 원, 4 원, 5 원, 6 원 또는 7 원 고리 (예를 들어, 5 원, 6 원 또는 7 원 고리) 를 의미한다. 용어 "사이클릭 안하이드라이드"는 또한 상기 고리들 중 임의의 고리가 아릴 고리, 사이클로헥산 고리, 사이클로헥센 고리, 사이클로펜탄 고리, 사이클로펜텐 고리, 및 또 다른 모노사이클릭 헤테로사이클릭 고리로 구성된 그룹으로부터 독립적으로 선택된 1, 2, 또는 3 개의 고리에 융합된 바이사이클릭 (bicyclic), 트리사이클릭 (tricyclic) 및 테트라사이클릭 (tetracyclic) 기들을 포함한다. 예시적인 사이클릭 안하이드라이드기들은, 하나 이상의 수소를 제거함으로써 숙신산 안하이드라이드 (succinic anhydride), 글루타르산 안하이드라이드 (glutaric anhydride), 말레산 안하이드라이드 (maleic anhydride), 프탈산 안하이드라이드 (phthalic anhydride), 이소크로만-1,3-디온 (isochroman-1,3-dione), 옥세판디온 (oxepanedione), 테트라하이드로프탈산 안하이드라이드 (tetrahydrophthalic anhydride), 헥사하이드로프탈산 안하이드라이드 (hexahydrophthalic anhydride), 피로멜리트산 디안하이드라이드 (pyromellitic dianhydride), 나프탈산 안하이드라이드 (naphthalic anhydride), 1,2-사이클로헥산디카복실산 안하이드라이드 (1,2-cyclohexanedicarboxylic anhydride), 등으로부터 형성된 라디칼을 포함한다. 다른 예시적인 사이클릭 안하이드라이드기들은 디옥소테트라하이드로푸라 닐, 디옥소디하이드로이소벤조푸라닐, 등을 포함한다. 사이클릭 안하이드라이드기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 사이클릭 안하이드라이드기는 헤테로사이크릴에 대해 본 명세서에 기술된 것들을 포함하는 하나 이상의 기들로 치환될 수 있다.
"사이클로알케닐 (cycloalkenyl)"은 달리 명시되지 않는 한, 하나 이상의 이중 결합들을 갖는, 3 내지 8 개의 탄소들의 1가 불포화 비방향족 또는 방향족 사이클릭 하이드로카본기를 의미한다. 사이클로알케닐기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 사이클로알케닐기는 알킬에 대해 본 명세서에 기술된 것들을 포함하는 하나 이상의 기들로 치환될 수 있다.
"사이클로알킬 (cycloalkyl)"은 달리 명시되지 않는 한, 3 내지 8 개의 탄소들의 1가 포화 또는 불포화 비방향족 또는 방향족 사이클릭 하이드로카본기를 의미하고, 사이클로프로필, 사이클로부틸, 사이클로펜틸, 사이클로펜타디에닐, 사이클로헥실, 사이클로헵틸, 비사이클로[2.2.1.]헵틸 등으로 예시된다. 사이클로알킬기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 사이클로알킬기는 알킬에 대해 본 명세서에 기술된 것들을 포함하는 하나 이상의 기들로 치환될 수 있다.
"할로 (halo)"는 F, Cl, Br, 또는 I을 의미한다.
"할로알케닐 (haloalkenyl)"은 하나 이상의 할로로 치환된, 본 명세서에 정의된 바와 같은 알케닐기를 의미한다.
"할로알킬 (haloalkyl)"은 하나 이상의 할로로 치환된, 본 명세서에 정의된 바와 같은 알킬기를 의미한다.
"할로알키닐 (haloalkynyl)"은 하나 이상의 할로로 치환된, 본 명세서에 정의된 바와 같은 알키닐기를 의미한다.
"할로아릴 (haloaryl)"은 하나 이상의 할로로 치환된, 본 명세서에 정의된 바와 같은 아릴기를 의미한다.
"헤테로알킬 (heteroalkyl)"은 1, 2, 3 또는 4 개의 비탄소 헤테로 원자들 (예를 들어, 질소, 산소, 인, 황, 셀레늄, 또는 할로로 구성된 그룹으로부터 독립적으로 선택됨) 을 함유하는, 본 명세서에 정의된 바와 같은 알킬기를 의미한다.
"헤테로알킬렌 (heteroalkylene)"은 1, 2, 3 또는 4 개의 비탄소 헤테로 원자들 (예를 들어, 질소, 산소, 인, 황, 셀레늄, 또는 할로로 구성된 그룹으로부터 독립적으로 선택됨) 을 함유하는, 본 명세서에 정의된 바와 같은 알킬렌기의 2가 형태를 의미한다. 헤테로알킬렌기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 헤테로알킬렌기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"헤테로사이크릴 (heterocyclyl)"은 달리 명시되지 않는 한, (예를 들어, 질소, 산소, 인, 황, 셀레늄, 또는 할로로 구성된 그룹으로부터 독립적으로 선택된) 1, 2, 3, 또는 4 개의 비탄소 헤테로 원자들을 포함하는 3 원, 4 원, 5 원, 6 원 또는 7 원 고리 (예를 들어, 5 원, 6 원 또는 7 원 고리) 를 의미한다. 3 원 고리는 0 내지 1 개의 이중 결합들을 갖고, 4 원 및 5 원 고리는 0 내지 2 개의 이중 결합들을 갖고, 6 원 및 7 원 고리는 0 내지 3 개의 이중 결합들을 갖는다. 용어 "헤테로사이클릴"은 또한 상기 헤테로사이클릴 고리들 중 임의의 고리가 아릴 고리, 사이클로헥산 고리, 사이클로헥센 고리, 사이클로펜탄 고리, 사이클로펜텐 고리, 및 또 다른 모노사이클릭 헤테로사이클릭 고리, 예컨대 인돌릴, 퀴놀릴, 이소퀴놀릴, 테트라하이드로퀴놀릴, 벤조푸릴, 벤조티에닐, 등으로 구성된 그룹으로부터 독립적으로 선택된 1, 2, 또는 3 개의 고리들에 융합된 바이사이클릭 (bicyclic), 트리사이클릭 (tricyclic) 및 테트라사이클릭 (tetracyclic) 기를 포함한다. 헤테로사이클릭들은 아크리디닐, 아데닐, 알록사지닐, 아자아다만타닐, 아자벤즈이미다졸릴, 아자바이사이클로노닐, 아자사이클로헵틸, 아자사이클로옥틸, 아자사이클로노닐, 아자히폭산티닐, 아자인다졸릴, 아자인돌릴, 아제시닐, 아제파닐, 아제피닐, 아제티디닐, 아제틸, 아지리디닐, 아지리닐, 아조카닐, 아조시닐, 아조나닐, 벤즈이미다졸릴, 벤즈이소티아졸릴, 벤즈이속사졸릴, 벤조디아제피닐, 벤조디아조디아조시닐, 벤조디하이드로푸릴, 벤조디옥세피닐, 벤조디옥시닐, 벤조디옥사닐, 벤조디옥소시닐, 벤조디옥솔릴, 벤조디티에피닐, 벤조디티이닐, 벤조디옥소시닐, 벤조푸라닐, 벤조페나지닐, 벤조피라노닐, 벤조피라닐, 벤조피레닐, 벤조피로닐, 벤조퀴놀리닐, 벤조퀴놀리지닐, 벤조티아디아제피닐, 벤조티아디아졸릴, 벤조티아제피닐, 벤조티아조시닐, 벤조티아졸릴, 벤조티에닐, 벤조티오페닐, 벤조티아지노닐, 벤조티아지닐, 벤조티오피라닐, 벤조티오피로닐, 벤조트리아제피닐, 벤조트리아지노닐, 벤조트리아지닐, 벤조트리아졸릴, 벤족사티이닐, 벤조트리옥세피닐, 벤족사디아제피닐, 벤족사티아제피닐, 벤족사티아에피닐, 벤족사티오시닐, 벤족사제피닐, 벤족사지닐, 벤족사조시닐, 벤족사졸리노닐, 벤족사졸리닐, 벤족사졸릴, 벤질술타밀 벤질술티밀, 비피라지닐, 비피리디닐, 카르바졸릴 (예를 들어, 4H-카르바졸릴), 카르볼리닐 (예를 들어, β-카르볼리닐), 크로마노닐, 크로마닐, 크로메닐, 시놀리닐, 코우마리닐, 시트디닐, 사이토시닐, 데카하이드로이소퀴놀리닐, 데카하이드로퀴놀리닐, 디아자바이사이클로옥틸, 디아제틸, 디아지리디네티오닐, 디아지리디노닐, 디아지리디닐, 디아지리닐, 디벤즈이소퀴놀리닐, 디벤조아크리디닐, 디벤조카르바졸릴, 디벤조푸라닐, 디벤조페나지닐, 디벤조피라노닐, 디벤조피로닐 (잔토닐 (xanthonyl)), 디벤조퀴녹살리닐, 디벤조티아제피닐, 디벤조티에피닐, 디벤조티오페닐, 디벤조제피닐, 디하이드로아제피닐, 디하이드로아제틸, 디하이드로푸라닐, 디하이드로푸릴, 디하이드로이소퀴놀리닐, 디하이드로피라닐, 디하이드로피리디닐, 디하이드로이피리딜 (dihydroypyridyl), 디하이드로퀴놀리닐, 디하이드로티에닐, 디하이드로인돌릴, 디옥사닐, 디옥사지닐, 디옥신돌릴, 디옥시라닐, 디옥세닐 (dioxenyl), 디옥시닐 (dioxinyl), 디옥소벤조푸라닐, 디옥소릴 (dioxolyl), 디옥소테트라하이드로푸라닐, 디옥소티오모르폴리닐, 디티아닐, 디티아졸릴, 디티에닐, 디티이닐, 푸라닐, 푸라자닐, 푸로일, 푸릴, 구아니닐, 호모피페라지닐, 호모피페리디닐, 하이포잔티닐, 하이단토이닐, 이미다졸리디닐, 이미다졸리닐, 이미다졸릴, 인다졸릴 (예를 들어, 1H-인다졸릴), 인돌레닐, 인돌리닐, 인돌리지닐, 인돌릴 (예를 들어, 1H-인돌릴 또는 3H-인돌릴), 이사티닐, 이사틸, 이소벤조푸라닐, 이소크로마닐, 이소크로메닐, 이소인다조일 (isoindazoyl), 이소인돌리닐, 이소인돌릴, 이소피라졸로닐, 이소피라졸릴, 이속사졸리디닐, 이속사졸릴, 이소퀴놀리닐, 이소티아졸리디닐, 이소티아졸릴, 모르포리닐, 나프트인다졸릴, 나프트인돌일, 나프트이리디닐, 나프토피라닐, 나프토티아졸릴, 나프토티옥솔릴, 나프토트리아졸릴, 나프톡신돌릴, 나프트이리디닐, 옥타하이드로이소퀴놀리닐, 옥사바이사이클로헵틸, 옥사우라실, 옥사디아졸릴, 옥사지닐, 옥사지리디닐, 옥사졸리디닐, 옥사졸리도닐, 옥사졸리닐, 옥사졸로닐, 옥사졸릴, 옥세파닐 (oxepanyl), 옥세타노닐 (oxetanonyl), 옥세타닐, 옥세틸, 옥스테나일 (oxtenayl), 옥스인돌릴 (oxindolyl), 옥시라닐, 옥소벤조이소티아졸릴, 옥소크로메닐, 옥소이소퀴놀리닐, 옥소퀴놀리닐, 옥소티올라닐, 페난트리디닐, 페난트롤리닐, 페나지닐, 페노티아지닐, 페노티에닐 (벤조티오푸라닐 (benzothiofuranyl)), 페녹사티이닐, 페녹사지닐, 프탈라지닐, 프탈라조닐, 프탈리딜, 프탈이미디닐, 피페라지닐, 피페리디닐, 피페리도닐 (예를 들어, 4-피페리도닐), 프테리디닐 (pteridinyl), 퓨리닐, 피라닐, 피라지닐, 피라졸리디닐, 피라졸리닐, 피라졸로피리미디닐, 피라졸릴, 피리다지닐, 피리디닐, 피리도피라지닐, 피리도피리미디닐, 피리딜, 피리미디닐, 피리미딜, 피로닐, 피롤리디닐, 피롤리도닐 (예를 들어, 2-피롤리도닐), 피롤리닐, 피롤리지디닐, 피롤릴 (예를 들어, 2H-피롤릴), 피릴리움, 퀴나졸리닐, 퀴놀리닐, 퀴놀리지닐 (예를 들어, 4H-퀴놀리지닐), 퀴녹살리닐, 퀴누클리디닐, 셀레나지닐, 셀레나졸릴, 셀레노페닐, 숙신이미딜, 설포라닐, 테트라하이드로푸라닐, 테트라하이드로푸릴, 테트라하이드로이소퀴놀리닐, 테트라하이드로이소퀴놀릴, 테트라하이드로피리디닐, 테트라하이드로피리딜 (피페리딜), 테트라하이드로피라닐, 테트라하이드로피로닐, 테트라하이드로퀴놀리닐, 테트라하이드로퀴놀릴, 테트라하이드로티에닐, 테트라하이드로티오페닐, 테트라지닐, 테트라졸릴, 티아디아지닐 (예를 들어, 6H-1,2,5-티아디아지닐 또는 2H, 6H-1,5,2-디티아지닐), 티아디아졸릴, 티안트레닐, 티아닐, 티아나프테닐, 티아제피닐, 티아지닐, 티아졸리딘디오닐, 티아졸리디닐, 티아졸릴, 티에닐, 티에파닐, 티에피닐, 티에타닐, 티에틸, 티라닐, 티오카닐, 티오크로마노닐, 티오크로마닐, 티오크로메닐, 티오디아지닐, 티오디아졸릴, 티오인독실, 티오모르폴리닐, 티오페닐, 티오피라닐, 티오피로닐, 티오트리아졸릴, 티오우라졸릴, 티옥사닐, 티옥소릴, 타이미디닐, 타이미닐, 트리아지닐, 트리아졸릴, 트리티아닐, 우라지닐, 우라졸릴, 우레티디닐, 우레티닐, 우리실, 우리디닐, 잔테닐 (xanthenyl), 잔티닐, 잔티오닐, 등, 뿐만 아니라 이들의 개질된 형태들 (예를 들어, 하나 이상의 옥소 및/또는 아미노를 포함함) 및 이들의 염들을 포함한다. 헤테로사이클릴기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 헤테로사이크릴기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"하이드로카빌 (hydrocarbyl)"은 하이드로카본으로부터 수소 원자를 제거함으로써 형성된 1가 기를 의미한다. 비제한적인 치환되지 않은 하이드로카빌기들은 본 명세서에 정의된 바와 같이 알킬, 알케닐, 알키닐, 및 아릴을 포함하고, 이들 기들은 탄소 및 수소 원자만을 포함한다. 하이드로카빌기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 하이드로카빌기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다. 다른 실시 예들에서, 본 명세서의 임의의 알킬기 또는 아릴기는 본 명세서에 정의된 바와 같이 하이드로카빌기로 대체될 수 있다.
"하이드록실 (hydroxyl)"은 -OH를 의미한다.
"하이드록시알킬 (hydroxyalkyl)"은 단 하나의 하이드록실기는 알킬기의 단일 탄소 원자에 부착될 수도 있다는 단서로, 1 내지 3 개의 하이드록실기에 의해 치환된, 본 명세서에 정의된 바와 같은 알킬기를 의미하고, 하이드록시메틸, 디하이드록시프로필, 등으로 예시된다.
"하이드록시아릴 (hydroxyaryl)"은 단 하나의 하이드록실기는 아릴기의 단일 탄소 원자에 부착될 수도 있다는 단서로, 1 내지 3 개의 하이드록실기에 의해 치환된, 본 명세서에 정의된 바와 같은 아릴기를 의미하고, 하이드록시페닐, 디하이드록시페닐, 등으로 예시된다.
"이소시아네이토 (isocyanato)"는 -NCO를 의미한다.
"옥시도 (oxido)"는 -O-기를 의미한다.
"옥소 (oxo)"는 =O기를 의미한다.
"포스핀 (phosphine)"은 하이드로카빌 모이어티들을 갖는 3가 또는 4가 인을 의미한다. 일부 실시 예들에서, 포스핀은 -PRP 3 기이고, 여기서 RP 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 또는 선택 가능하게 치환된 아릴이다. 포스핀기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 포스핀기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"셀레놀 (selenol)"은 -SeH기를 의미한다.
"텔루롤 (tellurol)"은 -TeH기를 의미한다.
"티오이소시아네이토 (thioisocyanato)"는 -NCS를 의미한다.
"티올 (thiol)"은 -SH기를 의미한다.
본 명세서에 사용된 바와 같이, 용어들 "상단 (top)", "하단 (bottom)", "상부 (upper)", "하부 (lower)", "위 (above)" 및 "아래 (below)"는 구조체들 간의 상대적인 관계를 제공하도록 사용된다. 이들 용어들의 사용은 특정한 구조체가 장치의 특정한 위치에 위치되어야 한다는 것을 나타내거나 요구하지 않는다.
결론
(예를 들어, CVD (chemical vapor deposition) 에 의한) 건식 증착된 EUV 포토패터닝 가능 레지스트 막들의 포지티브 톤 현상을 위한 프로세스 및 장치가 개시된다.
본 명세서에 기술된 예들 및 실시 예들은 단지 예시적인 목적들을 위한 것이고, 이 관점에서 다양한 수정들 또는 변화들이 당업자들에게 제안될 것이라는 것이 이해된다. 명확성을 위해 다양한 상세들이 생략되었지만, 다양한 설계 대안들이 구현될 수도 있다. 따라서, 본 예들은 제한적이지 않고 예시적인 것으로 간주되어야 하고, 개시는 본 명세서에 제공된 상세들로 제한되지 않고, 본 개시의 범위 내에서 수정될 수도 있다.
이하의 샘플 청구항들은 본 개시의 특정한 실시 예들의 추가 예시를 위해 제공된다. 본 개시는 이들 실시 예들로 제한되어야 하는 것은 아니다.

Claims (21)

  1. 패턴을 수용하는 기판을 제공하는 단계;
    상기 기판의 표면 상에 방사선-감응 (radiation-sensitive) 레지스트 막을 도포하는 단계;
    상기 방사선-감응 레지스트 막의 도포-후 소성 (post-application bake; PAB) 또는 도포-후 처리를 수행하여, 경화된 레지스트 막을 제공하는 단계;
    상기 경화된 레지스트 막을 패터닝 방사선 소스에 노출시켜, 노출된 레지스트 막을 제공하는 단계; 및
    포지티브 톤 습식 현상 (positive tone wet development) 프로세스에 의해 패턴을 형성하도록 상기 노출된 레지스트 막을 현상하는 단계를 포함하는, 방법.
  2. 제 1 항에 있어서,
    상기 방사선-감응 막은 극 자외선 (Extreme Ultraviolet; EUV)-감응 막을 포함하고, 그리고 상기 패터닝 방사선 소스는 EUV 방사선 소스인, 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 수행하는 단계는 금속-산소-금속 결합들의 함량을 증가시키고 그리고/또는 금속-하이드록실 결합들의 함량을 감소시킴으로써 상기 방사선-감응 레지스트 막을 응결하는 단계를 포함하는, 방법.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 도포하는 단계는 건식 증착 프로세스를 포함하는, 방법.
  5. 제 4 항에 있어서,
    상기 도포하는 단계는,
    화학식 (I) 또는 화학식 (II) 를 갖는 구조를 포함하는 하나 이상의 전구체들을 상기 기판의 상기 표면에 제공하는 단계를 포함하고,
    MaRb (I),
    여기에서:
    M은 고 EUV 흡수 단면적 (absorption cross-section) 을 갖는 금속 또는 원자이고;
    R 각각은 독립적으로 H, 할로, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 사이클로알킬, 선택 가능하게 치환된 사이클로알케닐, 선택 가능하게 치환된 알케닐, 선택 가능하게 치환된 알키닐, 선택 가능하게 치환된 알콕시, 선택 가능하게 치환된 알카노일옥시, 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 비스(트리알킬실릴)아미노, 선택 가능하게 치환된 트리알킬실릴, 옥소, 음이온성 리간드, 중성 리간드, 또는 여러 자리 리간드 (multidentate ligand) 이고;
    a ≥ 1; 그리고 b ≥ 1이고, 또는
    MaRbLc (II),
    여기에서:
    M은 고 EUV 흡수 단면적 (absorption cross-section) 을 갖는 금속 또는 원자이고;
    R 각각은 독립적으로 할로, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 알콕시, 또는 L이고;
    L 각각은 독립적으로, 리간드, 음이온성 리간드, 중성 리간드, 여러 자리 리간드, 이온, 또는 대응-반응 물질 (counter-reactant) 과 반응성인 다른 모이어티 (moiety) 이고, 여기서 R 및 L은 M과 함께 취해져 선택 가능하게 헤테로사이크릴기 (heterocyclyl group) 를 형성할 수 있거나 R 및 L은 함께 취해져 선택 가능하게 헤테로사이크릴기를 형성할 수 있고;
    a ≥ 1; b ≥ 1; 그리고 c ≥ 1인, 방법.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 수행하는 단계는,
    산소-함유 가스의 부재시 약 190 ℃ 내지 약 350 ℃의 온도에서 약 10 초 내지 5 분 동안 상기 방사선-감응 레지스트 막을 가열하는 단계를 포함하는, 방법.
  7. 제 6 항에 있어서,
    상기 수행하는 단계는, 상기 가열하는 단계 후에,
    약 10 초 내지 5 분의 시간 기간 동안 약 0 ℃ 내지 약 350 ℃의 온도에서 상기 방사선-감응 레지스트 막을 진공, 불활성 가스, 또는 이산화탄소 (CO2) 에 노출시키는 단계를 더 포함하는, 방법.
  8. 제 1 항 또는 제 2 항에 있어서,
    상기 수행하는 단계는,
    약 10 초 내지 5 분의 시간 기간 동안 약 0 ℃ 내지 약 350 ℃의 온도에서 상기 방사선-감응 레지스트 막을 진공, 불활성 가스, 또는 CO2에 노출시키는 단계를 포함하는, 방법.
  9. 제 1 항 또는 제 2 항에 있어서,
    상기 경화된 레지스트 막은 금속-산소-금속 종, 금속 카보네이트 종, 또는 금속 옥시카보네이트 종을 포함하는, 방법.
  10. 제 1 항 또는 제 2 항에 있어서,
    상기 노출하는 단계 후,
    상기 노출된 레지스트 막을 산소-함유제 (oxygen-containing agent) 로 처리하는 단계를 더 포함하는, 방법.
  11. 제 10 항에 있어서,
    상기 산소-함유제는 산소 (O2), 오존 (O3), 또는 과산화수소 (H2O2) 인, 방법.
  12. 제 1 항 또는 제 2 항에 있어서,
    상기 노출하는 단계 후,
    상기 노출된 레지스트 막을 불활성 분위기에 저장하는 단계를 더 포함하는, 방법.
  13. 제 1 항 또는 제 2 항에 있어서,
    상기 현상하는 단계는 알칼리 현상액, 산성 현상액, 및 탈보호 용매 (deprotecting solvent) 로 구성된 그룹으로부터 선택된 현상액의 사용을 포함하는, 방법.
  14. 제 13 항에 있어서,
    상기 현상액은 4 차 알킬암모늄 하이드록사이드, 테트라메틸암모늄 하이드록사이드 (TMAH), 콜린 (choline), 할라이드, 수소 클로라이드 (HCl), 수소 플루오라이드 (HF), 유기산, 포름산, 아세트산, 옥살산, 또는 구연산을 포함하는, 방법.
  15. 제 14 항에 있어서,
    상기 현상액은 0.5 wt.% 내지 10 wt.% 용액이고 선택 가능하게 산화제, 비이온성 계면 활성제, 염, 및/또는 킬레이트제를 포함하는, 방법.
  16. 제 1 항 또는 제 2 항에 있어서,
    상기 노출하는 단계는,
    상기 방사선-감응 레지스트 막을 패터닝된 방사선 노출에 노출시켜, 방사선 노출 영역 및 방사선 비노출 영역을 갖는 상기 노출된 레지스트 막을 제공하는 단계를 포함하는, 방법.
  17. 제 16 항에 있어서,
    상기 현상하는 단계는,
    상기 패턴을 제공하기 위해 상기 방사선 노출 영역을 제거하는 단계를 포함하고, 상기 방사선 비노출 영역은 카보네이트 종을 포함하는, 방법.
  18. 제 1 항 또는 제 2 항에 있어서,
    상기 기판은 하드 마스크 및/또는 하부층을 포함하는, 방법.
  19. 제 1 항 또는 제 2 항에 있어서,
    상기 방사선-감응 레지스트 막은 유기 금속 옥사이드 막 또는 유기 금속 옥사이드 하이드록사이드 막을 포함하는, 방법.
  20. 제 1 항 또는 제 2 항에 있어서,
    상기 방사선-감응 레지스트 막은 주석 (Sn), 인듐 (In), 비스무트 (Bi), 안티몬 (Sb), 텔루륨 (Te), 이들의 옥사이드, 이들의 합금, 또는 이들의 조합을 포함하는, 방법.
  21. 기판을 프로세싱하기 위한 장치에 있어서,
    ⒜ 하나 이상의 프로세스 챔버들로서,
    프로세스 챔버 각각은 척 또는 페데스탈; 및
    상기 프로세스 챔버들 및 연관된 플로우 제어 하드웨어 내로의 하나 이상의 가스 유입구들을 포함하는, 상기 프로세스 챔버들; 및
    ⒝ 적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하고,
    상기 적어도 하나의 프로세서 및 상기 메모리는 서로 통신 가능하게 연결되고,
    상기 적어도 하나의 프로세서는 적어도 상기 플로우 제어 하드웨어와 동작 가능하게 연결되고, 그리고
    상기 메모리는 적어도 상기 플로우 제어 하드웨어를 제어하도록 상기 적어도 하나의 프로세서를 제어하기 위해 그리고 제 1 항 내지 제 20 항 중 어느 한 항을 유발하기 위한 컴퓨터 실행 가능 인스트럭션들을 저장하는, 기판 프로세싱 장치.
KR1020227014896A 2019-10-08 2020-10-08 Cvd euv 레지스트 막들의 포지티브 톤 현상 (positive tone development) KR20220076498A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962912330P 2019-10-08 2019-10-08
US62/912,330 2019-10-08
PCT/US2020/054730 WO2021072042A1 (en) 2019-10-08 2020-10-08 Positive tone development of cvd euv resist films

Publications (1)

Publication Number Publication Date
KR20220076498A true KR20220076498A (ko) 2022-06-08

Family

ID=75437726

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227014896A KR20220076498A (ko) 2019-10-08 2020-10-08 Cvd euv 레지스트 막들의 포지티브 톤 현상 (positive tone development)

Country Status (4)

Country Link
US (1) US20220299877A1 (ko)
KR (1) KR20220076498A (ko)
TW (1) TW202132621A (ko)
WO (1) WO2021072042A1 (ko)

Families Citing this family (184)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
KR102678588B1 (ko) 2018-11-14 2024-06-27 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
CN114200776A (zh) 2020-01-15 2022-03-18 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
US20230031955A1 (en) * 2020-02-04 2023-02-02 Lam Research Corporation Post application/exposure treatments to improve dry development performance of metal-containing euv resist
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
JP2023515693A (ja) * 2020-03-02 2023-04-13 インプリア・コーポレイション 無機レジストパターニング用のプロセス環境
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11621172B2 (en) 2020-07-01 2023-04-04 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11886120B2 (en) 2020-07-21 2024-01-30 Applied Materials, Inc. Deposition of semiconductor integration films
US11562904B2 (en) 2020-07-21 2023-01-24 Applied Materials, Inc. Deposition of semiconductor integration films
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230143629A1 (en) * 2021-11-09 2023-05-11 Tokyo Electron Limited EUV Active Films for EUV Lithography
US20230154750A1 (en) * 2021-11-12 2023-05-18 Taiwan Semiconductor Manufacturing Co.,Ltd. Photoresist and Method
TW202340858A (zh) * 2021-12-13 2023-10-16 美商蘭姆研究公司 混合有機錫氧化物光阻的顯影
WO2023114730A1 (en) * 2021-12-16 2023-06-22 Lam Research Corporation Aqueous acid development or treatment of organometallic photoresist
WO2023158848A2 (en) * 2022-02-18 2023-08-24 The Research Foundation For The State University Of New York Lithography compositions and methods for forming resist patterns and/or making semiconductor devices
US20240210821A1 (en) * 2022-12-22 2024-06-27 Intel Corporation Precursors and methods for producing bismuth-oxy-carbide-based photoresist

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10074544B2 (en) * 2013-04-23 2018-09-11 Massachusetts Institute Of Technology Developer free positive tone lithography by thermal direct write
US9310684B2 (en) * 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
KR101989707B1 (ko) * 2014-07-08 2019-06-14 도쿄엘렉트론가부시키가이샤 네거티브톤 현상제 겸용 포토레지스트 조성물 및 이용 방법
JP6603115B2 (ja) * 2015-11-27 2019-11-06 信越化学工業株式会社 ケイ素含有縮合物、ケイ素含有レジスト下層膜形成用組成物、及びパターン形成方法
US20180308687A1 (en) * 2017-04-24 2018-10-25 Lam Research Corporation Euv photopatterning and selective deposition for negative pattern mask

Also Published As

Publication number Publication date
TW202132621A (zh) 2021-09-01
WO2021072042A1 (en) 2021-04-15
US20220299877A1 (en) 2022-09-22

Similar Documents

Publication Publication Date Title
US20220299877A1 (en) Positive tone development of cvd euv resist films
US20220365434A1 (en) Substrate surface modification with high euv absorbers for high performance euv photoresists
US20230259025A1 (en) Dry deposited photoresists with organic co-reactants
US20230152701A1 (en) Structure and method to achieve positive tone dry develop by a hermetic overlayer
US20230266664A1 (en) Photoresists from sn(ii) precursors
US20230266670A1 (en) Metal chelators for development of metal-containing photoresist
US20230314946A1 (en) Method of forming photo-sensitive hybrid films
US20230288798A1 (en) Photoresists containing tantalum
KR20230148424A (ko) 할로겐-함유 유기주석 포토레지스트 및 지방족-함유 유기주석 포토레지스트 및 이의 방법들
US20240192590A1 (en) Apparatus and process for euv dry resist sensitization by gas phase infusion of a sensitizer
US20240231224A9 (en) Halogen-and aliphatic-containing organotin photoresists and methods thereof
TW202344921A (zh) 有機金屬光阻的水性酸顯影或處理
TW202340879A (zh) 高吸收性含金屬光阻的顯影策略
WO2023114724A1 (en) Development of hybrid organotin oxide photoresists
JP2024506160A (ja) 量子効率の良いフォトレジストおよびその方法