KR101989707B1 - 네거티브톤 현상제 겸용 포토레지스트 조성물 및 이용 방법 - Google Patents

네거티브톤 현상제 겸용 포토레지스트 조성물 및 이용 방법 Download PDF

Info

Publication number
KR101989707B1
KR101989707B1 KR1020177003523A KR20177003523A KR101989707B1 KR 101989707 B1 KR101989707 B1 KR 101989707B1 KR 1020177003523 A KR1020177003523 A KR 1020177003523A KR 20177003523 A KR20177003523 A KR 20177003523A KR 101989707 B1 KR101989707 B1 KR 101989707B1
Authority
KR
South Korea
Prior art keywords
photoresist
photoresist film
layer
patterned photoresist
topographically patterned
Prior art date
Application number
KR1020177003523A
Other languages
English (en)
Other versions
KR20170029574A (ko
Inventor
안톤 제이. 데빌리어스
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20170029574A publication Critical patent/KR20170029574A/ko
Application granted granted Critical
Publication of KR101989707B1 publication Critical patent/KR101989707B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal

Abstract

여기에 개시하는 조성물과 방법은 네거티브톤 현상제 겸용 포토레지스트 조성물과 이러한 조성물을 이용하는 방법을 포함한다. 이것은 포지티브톤 포토레지스트의 미노광 부분이 하나 이상의 네거티브톤 현상제 용매에 의해 용해 가능하다는 점에서 네거티브톤 현상제를 이용하여 현상될 수 있는 포지티브톤 포토레지스트를 포함한다. 일 실시형태는 에칭 저항이 약하거나 없는 네거티브톤 현상제 겸용 포토레지스트를 포함한다. 여기에 기술하는 비저항성 포토레지스트 재료는 이들 재료가 사실상 에칭 저항이 없다는 점을 제외하면, 하나 이상의 방사선 민감성 속성을 포함할 수 있다(예컨대, 포토레지스트는 패터닝되고, 탈보호되며, 용해성이 변하고, 광화학과 상호작용하며, 노광량에 반응할 수 있다). 이러한 조성물은 습식 또는 건식 에칭 공정에 대한 에칭 저항성을 제공하거나 증가시키는 성분, 작용기, 또는 첨가제가 실제로 없을 수 있다.

Description

네거티브톤 현상제 겸용 포토레지스트 조성물 및 이용 방법{NEGATIVE TONE DEVELOPER COMPATIBLE PHOTORESIST COMPOSITION AND METHODS OF USE}
<관련 출원과의 교차 참조>
본원은 2014년 7월 8일에 출원한 발명의 명칭이 "Negative Tone Developer Compatible Photoresist Composition and Methods of Use"인 미국 특허 가출원번호 제62/021,756호의 이익을 주장하며, 이 우선권 주장 출원은 그 전체가 참조로 본 명세서에 포함된다.
<발명의 배경>
여기에 개시하는 기술은 미세가공(microfabrication)에 관한 것이며, 구체적으로 포토리소그래피에 관한 것이다.
재료 처리 방법론(포토리소그래피 등)에 있어서, 패터닝된 층의 생성은, 통상 포토레지스트와 같은, 방사선에 민감한 재료의 얇은 층을 기판의 표면에 도포하는 것을 포함한다. 이 방사선 민감성 재료는 패터닝된 마스크로 변하고, 이 마스크는 패턴을 기판 상의 하부층에 전사하거나 에칭하는데 이용될 수 있다. 방사선 민감성 재료의 패터닝은 일반적으로, 예를 들어 포토리소그래피 시스템을 이용해 방사선 민감성 재료에 대한, 레티클(및 연관된 광학계)을 통과한 방사선 소스의 노광을 수반한다. 이 노광은 방사선 민감성 재료 내에, 이어서 현상될 수 있는 잠재 패턴(latent pattern)을 형성한다. 현상은, 토포그래픽(topographic) 또는 물리적 패턴을 만들어 내기 위해 방사선 민감성 재료의 일부를 용해하고 제거하는 것을 지칭한다. 예컨대, 현상은, 현상 용매를 이용하여 (포지티브 레지스트의 경우에는) 방사선 민감성 재료의 조사 영역의 제거 또는 (네거티브 레지스트의 경우에는) 비조사 영역의 제거를 포함할 수 있다. 그런 다음 그 포토그래픽 패턴은 후속 공정을 위한 마스크층으로서 기능할 수 있다.
미세가공 산업에서 사용하는 것인 "레지스트" 조성물 및 막은 일반적으로 에칭 공정에 저항하는 재료를 가리킨다. 이것은 습식 에칭 공정과, 또 플라즈마 기반의 건식 에칭 공정에 대한 저항을 포함한다. 이러한 막은 특정 방사선 파장 또는 파장들에 대한 노광에 반응하여 용해성 변화(solubility shift)를 가질 수 있는 특징 때문에 포토레지스트라고 주로 기술된다.
현재의 포토리소그래피 경향은 네거티브톤 현상제 겸용 포토레지스트의 사용을 포함하고 있다. 이러한 포토레지스트는 포지티브톤 포토레지스트이지만 네거티브톤 현상 기술을 이용하여 현상된다. 통상의 포지티브톤 포토레지스트는 현상 용매에 대해 노광 영역을 탈보호함으로써 화학 방사선(actinic radiation)에 반응한다. 다시 말해, 방사선에 노광된 포지티브톤 포토레지스트의 부분은 용해성이 포지티브톤 현상제에 대해 증가하는 용해성 변화를 가진다. 그런데, 네거티브톤 현상의 경우, 포지티브 포토레지스트가 이용되지만 그 레지스터는 네거티브톤 현상제 용매에 의해 용해되지 않는 미노광부(보호되는 영역)이다. 이에 네거티브톤 현상 방식을 이용하는 것이 유리하다. 그럼에도, 네거티브톤 현상 방식을 이용하여 형성된 릴리프 패턴(relief pattern) 및 라인은 만족할 수 없는 라인 에지 또는 라인 폭 거칠기를 가질 수 있다. 이에 이 거칠기는 후속 패턴 전사 및 미세가공 공정을 제한할 수도 있다.
여기에 개시하는 기술은 네거티브톤 현상제 겸용 조성물과 이러한 조성물을 이용하는 방법을 포함한다. 이것은 포지티브톤 포토레지스트의 미노광 부분이 하나 이상의 네거티브톤 현상제 용매에 의해 용해 가능하다는 점에서 네거티브톤 현상제를 이용하여 현상될 수 있는 포지티브톤 포토레지스트를 포함한다. 일 실시형태는 에칭 저항이 약하거나 없는 네거티브톤 현상제 겸용 포토레지스트를 포함한다. 다시 말해, 조성물은 네거티브톤 현상과 양립 가능한 비저항성(non-resistive) 포토레지스트를 포함할 수 있다. 여기에 개시하는 비저항성 포토레지스트 재료는 이들 재료가 사실상 에칭 저항이 없다는 점을 제외하면, 하나 이상의 방사선 민감성 속성을 포함한다(예컨대, 포토레지스트는 패터닝되고, 탈보호되며, 용해성이 변하고, 광화학과 상호작용하며, 노광량(exposure dose)에 반응할 수 있다). 미세가공의 상황에서, 이러한 조성물은 레지스트의 용도가 하부층을 에칭하여 패턴을 하부층에 전사시키는데 이용될 수 있는 패터닝된 마스크를 제공하는 것이기 때문에, 통상의 역사적인 포토리소그래피 기법에 역행한다. 예를 들면, 이러한 비저항성 포토레지스트를 이용한 릴리프 패턴은 릴리프 패턴의 현상 직후 소정의 에칭 공정을 받았다면, 릴리프 패턴이 빠르게 에칭되어 없어지거나, 하부층에 릴리프 패턴을 에칭 전사하기 전에 에칭되어 없어질 것이다. 그럼에도, 네거티브톤 현상과 양립 가능한 이러한 비저항성 포지티브톤 포토레지스트가 유리하며, 특히 미세가공 공정에 유리하다.
여기에서 설명하는 조성물은 하나 이상의 현상후(post-development) 기술을 포함하는 본 명세서에 기재하는 방법과 함께 이용될 수 있다. 본 명세서 내의 기술은 소정의 릴리프 패턴이 에칭 전사 전에 반전되는 다양한 유형의 이미지 반전 기술을 포함할 수 있다. 또한 본 명세서 내의 기술은 포토레지스트 현상 후에 에칭 저항성을 생성하는 다양한 유형의 포토레지스트 강화 기술을 포함할 수 있다.
본 명세서에 개시하는 바와 같이, 실질적으로 에칭 저항성이 없는 네거티브톤 현상제 겸용 포토레지스트는 다양한 효과를 제공한다. 네거티브톤 현상제와 양립 가능한 포지티브톤 포토레지스트는 통상적으로, 에칭 저항성을 제공하거나 증진시키는 하나 이상의 성분을 포함한다. 예를 들면, 이러한 첨가제는 케이지(cage group), 아다만틸기(adamantyl group), 락톤기(lactone group), 또는 에칭 저항을 제공하는 기타 첨가제를 포함할 수 있다. 이들 에칭 저항성 성분을 제거함으로써, 비용면에서 더 효율적인 포토레지스트가 생성될 수 있다. 게다가, 이들 저항성 성분은 통상 소정의 포토레지스트에 벌크성(bulk)을 추가하고, 에지 또는 표면 거칠기를 증가시키는 것을 담당할 수 있다. 에칭 저항성기(etch-resistive group)가 포함되지 않는다면, 소정의 포지티브톤 포토레지스트는 최대 1 나노미터 이상까지 저감된 거칠기를 가질 수 있다.
물론, 여기에서 설명하는 상이한 단계들의 설명 순서는 명확함을 위해 제시된 것이다. 일반적으로, 이들 단계는 임의의 적합한 순서로 수행될 수 있다. 또, 본 발명의 상이한 특징, 기술, 구성 등의 각각이 본 개시내용의 상이한 곳에서 설명될 수도 있지만, 그 개념들 각각은 서로 독립적으로 또는 서로 조합으로 실행될 수 있는 것이 의도된다. 따라서, 본 발명은 다수의 상이한 방식으로 구현되어 보여질 수 있다.
이 [발명의 내용] 부분에서는 본 개시내용 또는 청구하는 발명의 모든 실시형태 및/또는 점차적으로 새로운 양태를 명시하지 않는다는 것을 알아야 한다. 대신에, 이 [발명의 내용]은 상이한 실시형태들의 예비 설명 및 종래의 기술에 능가하는 대응하는 새로운 점을 제공할 뿐이다. 본 발명 및 실시형태의 추가 상세 및/또는 가능한 견지에 대해서는, 이하에서 더 설명하는 본 개시내용의 구체적인 설명 부분 및 대응하는 도면을 참조하면 된다.
본 발명의 다양한 실시형태에 대한 보다 완전한 이해 및 뒤따르는 많은 장점들은 첨부 도면과 함께 이어지는 상세한 설명을 참조함으로써 쉽게 명백해질 것이다. 도면은 반드시 일정한 축적으로 되어 있지 않으며, 대신에 특징, 원리 및 개념을 예시할 때에 강조되어 있다.
도 1a 내지 도 1e는 본 명세서에 개시하는 실시형태에 따른 공정 흐름을 보여주는 예시적인 기판 부분의 개략 단면도이다.
도 2a 내지 도 2f는 본 명세서에 개시하는 실시형태에 따른 공정 흐름을 보여주는 예시적인 기판 부분의 개략 단면도이다.
도 3a 내지 도 3e는 본 명세서에 개시하는 실시형태에 따른 공정 흐름을 보여주는 예시적인 기판 부분의 개략 단면도이다.
도 4a 내지 도 4f는 본 명세서에 개시하는 실시형태에 따른 공정 흐름을 보여주는 예시적인 기판 부분의 개략 단면도이다.
도 5a 내지 도 5j는 본 명세서에 개시하는 실시형태에 따른 공정 흐름을 보여주는 예시적인 기판 부분의 개략 단면도이다.
도 6a 내지 도 6g는 본 명세서에 개시하는 실시형태에 따른 공정 흐름을 보여주는 예시적인 기판 부분의 개략 단면도이다.
여기에 개시하는 기술은 네거티브톤 현상제 겸용 조성물과 이러한 조성물을 이용하는 방법을 포함한다. 이것은 포지티브톤 포토레지스트의 미노광 부분이 하나 이상의 네거티브톤 현상제 용매에 의해 용해 가능하다는 점에서 네거티브톤 현상제를 이용하여 현상될 수 있는 포지티브톤 포토레지스트를 포함한다. 일 실시형태는 에칭 저항이 약하거나 없는 네거티브톤 현상제 겸용 포토레지스트를 포함한다. 다시 말해, 조성물은 네거티브톤 현상과 양립 가능한 비저항성(non-resistive) 포토레지스트를 포함할 수 있다. 여기에 개시하는 비저항성 포토레지스트 재료는 이들 재료가 사실상 에칭 저항이 없다는 점을 제외하면, 하나 이상의 방사선 민감성 속성을 포함한다(예컨대, 포토레지스트는 패터닝되고, 탈보호되며, 용해성이 변하고, 광화학과 상호작용하며, 노광량에 반응할 수 있다).
일 예시적인 실시형태는, 네거티브톤 현상제와 양립 기능하고 습식 또는 건식 에칭 공정에 대한 에칭 저항성을 제공하거나 증가시키는 성분, 작용기, 또는 첨가제가 실질적으로 또는 사실상 없는 포지티브톤 포토레지스트를 포함한다. 포지티트톤 포토레지스트는 방사선에의 노광에 반응하여, 하나 이상의 포지티브톤 현상제에 대한 자신의 용해성을 증가시키는 포토레지스트이다. 다시 말해, 광에 노광되는 영역은 포지티브톤 현상제에 탈보호되어 포지티브톤 현상제가 노광 부분을 용해시킬 수 있다. 네거티브톤 현상제는 소정의 포지티브톤 포토레지스트막의 미노광 부분을 용해하는 현상제 화학물(developer chemistry)이다. 이에, 본 명세서에 사용하는 바와 같이, 네거티브톤 현상제 겸용 포토레지스트는, 노광 부분은 네거티브톤 현상제에 용해시키지 않고 미노광 부분은 네거티브톤 현상제에 용해시키기 위해, (대개 특정 광 파장에서의) 화학 방사선에의 노광에 반응하여 용해성 변화를 갖도록 조제되는 포지티브톤 포토레지스트이다. 노광 부분은 포지티브톤 현상제 화학물에 용해될 수 있다.
포지티브톤 현상제 화학물은 통상 이용되고 있다. 포지티브톤 현상(PTD, positive tone development)을 이용하여 패턴을 현상하는 것은 수성 TMAH(tetramethylammonium hydroxide) 등의 수용액 현상제(aqueous base developer)의 작용으로 포토레지스막에서 잠재 패턴의 미노광 영역을 제거하는 것을 수반한다. 예시적인 포티지브톤 현상제는 0.26N TMAH(aq.)이다. 대안적으로, 포토레지스트막 내의 동일한 잠재 패턴은 그 잠재 패턴의 미노광 영역이 네거티브톤 현상제의 작용으로 제거되는 네거티브톤 현상(NTD, negative tone development)을 제공하는 유기 용매 현상제를 이용하여 현상될 수 있다. 네거티브톤 현상에 유용한 용매는 용해, 분배, 및 코팅에도 유용한 것들을 포함한다. 예시적인 네거티브톤 현상제 용매는 메틸 2-히드록시부티레이트(HBM), 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA), 메톡시에톡시프로피오네이트(methoxyethoxypropionate), 에톡시에톡시프로피오네이트(ethoxyethoxypropionate), 및 감마-부티로락톤(butyrolactone), 사이클로헥사논(cyclohexanone), 2-헵타논(heptanone), 및 이들 용매 중 적어도 하나를 포함하는 조합을 포함한다.
다른 실시형태는 폴리머 성분을 포함하는 포지티브톤 포토레지스트 조성물을 포함할 수 있다. 수지 성분이 포함될 수 있고, 이 수지 성분은 산의 작용 하에서 증가한 알칼리 용해성을 발휘하는 것이 선택될 수 있다. 화학 방사선에의 노광에 반응하여 산을 생성하는 산 발생제 성분(광 산 발생제)이 포함될 수 있다. 조성물은 또한 유기 용매도 포함할 수 있다. 유기 용매는 기판 상에서의 분배 및 스핀 코팅을 도울 수 있다. 유기 용매는 포토레지스트의 기능층의 생성을 돕도록 베이킹 아웃될 수 있다. 포지티브톤 포토레지스트 조성물은 에칭 저항성을 증가시키는 작용기가 실질적으로 없다(또는 완전히 없다).
다른 실시형태는 하나 이상의 폴리머 성분과, 하나 이상의 수지 성분과, 미리 정해진 파장의 광에의 노광에 반응하여 광 산(photo acid)을 생성하는 하나 이상의 광 산 발생제 화합물과, 생성된 광 산에 반응하여 폴리머 성분을 네거티브톤 현상제에 용해되지 않게 하는 하나 이상의 용해성 변화기(solubility-changing group)를 포함하는 포토레지스트 조성물을 포함할 수 있다. 이 포토레지스트 조성물은 건식 또는 습식 에칭 공정에 대한 에칭 저항성이 거의 없다.
다른 실시형태는 산의 작용 하에서 용해성 변화를 발휘하는 하나 이상의 수지 성분과, 방사선에의 노광에 반응하여 광 산을 생성하는 하나 이상의 광 산 발생제 화합물과, 생성된 광 산에 반응하여 폴리머 성분을 네거티브톤 형상제에 용해되지 않게 하는 하나 이상의 용해성 변화기를 포함하는 포토레지스트 조성물을 포함한다. 이 포토레지스트 조성물은 플라즈마 기반의 에칭 화학작용 등의 건식 또는 습식 에칭 공정에 대한 에칭 저항성이 거의 없다.
일 실시형태는 포지티브톤 레지스트 폴리머 성분과, 수지 성분과, 화학 방사선에의 노광에 반응하여 산을 생성하는 산 발생제 성분과, 용매와, 화학 방사선에 노광된 포토레지스트 조성물의 영역을 포지티브톤 현상제에 용해시키는 용해성 변화 성분(solubility-shifting component)을 포함하는 포토레지스트 조성물을 포함한다. 이 조성물은 화학 방사선에 노광되지 않는 영역이 네거티브톤 현상제에 용해될 수 있도록 조제된다. 이 포토레지스트 조성물은 또한, 습식 에칭 또는 건식 에칭 공정에 대한 에칭 저항을 증가시키는, 포토레지스트 조성물에 포함된 작용기의 양이 이 포토레지스트 조성물 내의 고체 함량의 총 중량에 기초하여 0.0 중량% 내지 10 중량%의 범가 되도록 조제된다. 이에, 이러한 작용기가 조성물에 포함되지 않거나, 어떤 유효한 에칭 저항을 제공하기에는 너무 소량의 작용기가 존재할 수 있다. 다른 실시형태에 있어서, 상기 에칭 저항 증진 작용기는 고체 함량의 총 중량에 기초하여 10 중량% 미만 또는 5 중량% 미만이다. 다시 말해, 에칭 저항 증진 첨가제 또는 성분은 특히, 에칭되는 타겟층의 에칭 저항과 비교해서 실질적으로 에칭 저항을 제공하지 않을 정도로 충분히 적다.
다른 실시형태는 포지티브톤 포토레지스트 폴리머 성분과, 수지 성분과, 화학 방사선에의 노광에 반응하여 산을 생성하는 산 발생제 성분과, 용매와, 화학 방사선에 노광된 포토레지스트 조성물의 영역을 포지티브톤 현상제에 용해시키는 용해성 변화 성분을 포함하는 포토레지스트 조성물이며, 화학 방사선에 노광되지 않는 영역은 네거티브 현상제에 용해되게 된다. 습식 에칭 또는 건식 에칭 공정에 대한 에칭 저항을 증가시키는, 포토레지스트 폴리머 성분에 포함된 작용기의 양은, 상기 포토레지스트 폴리머 성분의 총 중량에 기초하여 0.0 중량% 내지 15 중량%의 범위이다. 다른 실시형태에 있어서, 습식 에칭 또는 건식 에칭 공정에 대한 에칭 저항을 증가시키는, 포토레지스트 폴리머 성분에 포함된 작용기의 양은 10% 또는 15% 미만이다.
일 실시형태는 포지티브톤 레지스트 폴리머 성분과, 수지 성분과, 화학 방사선에의 노광에 반응하여 산을 생성하는 산 발생제 성분과, 용매와, 화학 방사선에 노광된 포토레지스트 조성물의 영역을 포지티브톤 현상제에 용해시키는 용해성 변화 성분을 포함하는 포토레지스트 조성물을 포함한다. 이 조성물은 화학 방사선에 노광되지 않는 영역이 네거티브톤 현상제에 용해될 수 있도록 조제된다. 이 포토레지스트 조성물은 포토레지스트 조성물(또는 포토레지스트 조성물로 제조된 막)은 약 3.0보다 큰 오니시 파라미터(Ohnishi parameter) 값을 갖는다. 다른 실시형태에서는 오니시 파라미터 값이 4.0 또는 심지어 2.7보다 클 수도 있다.
다른 실시형태는 구조 단위(structural unit)를 포함하는 폴리머와, 광에 노광될 때에 산을 생성하는 방사선 민감성 산 발생제와, 산의 존재에 반응하여 폴리머의 용해성을 변화시키는 산 불안정기(acid-labile group)를 포함하는 포토레지스트 조성물을 포함하고, 폴리머는 그 폴리머의 미노광 부분이 네거티브톤 현상제의 존재 하에서 용해 가능하도록 네거티브톤 현상제와 양립 가능하다. 산 불안정기는 폴리머의 미노광 부분이 네거티브톤 현상제에 용해되지 않게 하는 것이 선택된다. 이 포토레지스트 조성물은 약 3.1보다 큰 오니시 파라미터 값을 갖는다.
다른 실시형태는 유기 용매에 용해 가능한 포지티브톤 포토레지스트 성분을 포함하는 포토레지스트 조성물을 포함한다. 포지티브톤 포토레지스트 성분은 폴리머 및/또는 수지를 포함할 수 있다. 포토레지스트는 또한, 미리 정해진 파장의 광에의 노광에 응답하여 광 산을 생성하는 방사선 민감성 산 발생제와, 광 산의 존재에 반응하여, 포지티브톤 포토레지스트 성분을 유기 용매 현상제에 용해시키지 않는 용해성 변화 성분을 포함하고, 상기 포토레지스트 성분은, 포토레지스트층에 형성될 때에, 포토레지스트층이 3.0보다 큰 오니시 파라미터를 갖도록 조제된다. 다른 실시형태에 있어서, 포지티브톤 포토레지스트 성분은 폴리머, 또는 수지와 폴리머를 포함한다.
소위 오니시 파라미터는 소정의 재료의 에칭 저항의 척도이다. 습식 또는 건식 에칭 저항은 레지스트 조성물의 오니시 파라미터에 의해 추정될 수 있다. 오니시 파라미터는 다음과 같이 정의될 수 있다. (N/(Nc-No)), 여기서 N은 총 원자수를, Nc는 탄소 원자수를, 그리고 No는 산소 원자수를 나타낸다. 따라서, 탄소 함량이 높은 포토레지스트는 산소 플라즈마 반응 이온 에칭(RIE, reactive ion etching) 하에서 산소 함량이 높은 포토레지스트보다 더 우수한 에칭 마스크로서 기능할 수 있다. 오니시 파라미터가 작을 때에 탁월한 건식 에칭 능력이 얻어진다. 예를 들어, 4.0 이하의 오니시 파라미터를 갖는 레지스트 조성물은 에칭 저항에 매우 바람직한 2.5 미만의 값으로 양호한 에칭 저항을 갖는다. 예를 들어, 폴리(하이드록시-스티렌) 등의 탄소 고함량 폴리머는 약 2.5의 오니시 파라미터(에칭률)을 갖지만, 폴리(메타크릴산메틸) 등의 산소 함유 폴리머는 약 5.0의 오니시 파라미터를 갖는다. 존재하는 모든 고리 구조(ring structure)는 또한 고 에칭 저항에 기여할 수 있다. 따라서, 오니시 파라미터가 약 3.0 이상인 재료는 에칭 저항이 거의 없거나 없다.
다른 예시적인 실시형태는 포토레지스트 폴리머 성분과, 수지 성분과, 화학 방사선에의 노광에 반응하여 산을 생성하는 산 발생제 성분과, 용매와, 화학 방사선에 노광된 포토레지스트 조성물의 영역을 포지티브톤 현상제에 용해시키는 용해성 변화 성분을 포함하는 포토레지스트 조성물이며, 화학 방사선에 노광되지 않는 영역은 네거티브톤 현상제에 용해되게 된다. 이 포토레지스트 조성물은, 포토레지스트막으로서 기판 상에 퇴적될 때에, 소정의 플라즈마 기반의 에칭 공정이, 실리콘 이산화물, 실리콘, 다결정 실리콘, 실리콘 질화물, 테트라에틸 오소실리케이트, 비정질 탄소, 및 실리콘 산질화물로 이루어진 그룹에서 선택된 재료를 에칭하는 에칭률보다 높은 에칭률로 포토레지스트막을 에칭하게 하는 에칭 저항성을 제공한다. 이 포토레지스트막은 포토레지스트 마스크를 이용하여 통상적으로 패터닝된 다른 재료보다 더 낮은 에칭 저항성을 가질 수 있다.
다른 실시형태는 포지티브톤 레지스트 폴리머 성분과, 수지 성분과, 화학 방사선에의 노광에 반응하여 산을 생성하는 산 발생제 성분과, 용매와, 화학 방사선에 노광된 포지티브톤 포토레지스트 조성물의 영역을 포지티브톤 현상제에 용해시키는 용해성 변화 성분을 포함하는 포지티브톤 포토레지스트 조성물을 포함한다. 이 조성물은 화학 방사선에 노광되지 않는 영역이 네거티브톤 현상제에 용해될 수 있도록 조제된다. 이 포지티브톤 포토레지스트 조성물은 습식 또는 건식 에칭 공정에 대한 에칭 저항을 증가시키는 작용기가 없거나 실질적으로 없다.
다른 실시형태는 하나 이상의 폴리머 성분과, 하나 이상의 수지 성분과, 미리 정해진 파장의 광에의 노광에 반응하여 광 산을 생성하는 하나 이상의 광 산 발생제 화합물과, 생성된 광 산에 반응하여 폴리머 성분을 네거티브톤 형상제에 용해되지 않게 하는 하나 이상의 용해성 변화기를 포함하는 포토레지스트 조성물을 포함하고, 포토레지스트 조성물은 건식 또는 습식 에칭 공정에 대한 에칭 저항성이 실질적으로 없다. 일 실시형태는, 습식 또는 건식 에칭 공정에 대한 에칭 저항성을 증가시키는 작용기가 실질적으로 없는 네거티브톤 현상제 겸용 포토레지스트를 포함하는 포토레지스트 조성물을 포함한다.
일 실시형태는 산의 작용 하에서 용해성 변화를 발휘하는 하나 이상의 수지 성분과, 방사선에의 노광에 반응하여 광 산을 생성하는 하나 이상의 광 산 발생제 화합물과, 생성된 광 산에 반응하여 폴리머 성분을 네거티브톤 형상제에 용해되지 않게 하는 하나 이상의 용해성 변화기를 포함하는 포토레지스트 조성물을 포함한다. 이 포토레지스트 조성물은 건식 또는 습식 에칭 공정에 대한 에칭 저항성이 실질적으로 없다. 다른 실시형태는, 유기 용매에 용해 가능한 포지티브톤 포토레지스트 성분과, 미리 정해진 파장의 광에의 노광에 반응하여 광 산을 생성하는 방사선 민감성 산 발생제와, 광 산의 존재에 반응하여, 포지티브톤 포토레지스트 성분을 유기 용매 현상제에 용해시키지 않는 용해성 변화 성분을 포함하는 포토레지스트 조성물을 포함하고, 이 포토레지스트 조성물은 케이지기(cage group), 아다만틸기(adamantyl group), 락톤기(lactone group), 리간드기(ligand group), 또는 에칭 저항을 증진시키도록 주로 설계되는(또는 주로 작용하는) 기타 첨가제가 실질적으로 없다.
다른 실시형태는 폴리머 성분과, 산의 작용 하에서 증가한 알칼리 용해성을 발휘하는 수지 성분과, 화학 방사선에의 노광에 반응하여 산을 생성하는 산 발생제 성분과, 유기 용매를 포함하는 포지티브톤 포토레지스트 조성물을 포함한다. 포지티브톤 포토레지스트 조성물은 에칭 저항성을 증가시키는 작용기가 실질적으로 없다. 다른 포토레지스트 조성물은, (a) 폴리머, (b) 수지, (c) 탈보호기(de-protection group), (d) 용매, 및 (e) 광 산 발생제를 포함하며, 광 산 발생제는 방사선에의 노광 시에 산을 생성하고, 탈보호기는 산 존재에 반응하여, 폴리머가 네거티브톤 현상 용매에 용해되지 않도록 폴리머의 용해성을 변화시키며, 포토레지스트는 에칭 공정에 에칭 저항을 제공하는 구성성분들이 실질적으로 없다. 다른 포토레지스트 조성물은 포지티브톤 포토레지스트를 포함하는데, 이 포지티브톤 포토레지스트는 네거티브톤 현상제에 용해 가능하다. 네거티브톤 포토레지스트는 리소그래픽 방사선에의 노광으로 포지티브톤 포토레지스트의 미노광 부분이 (포토마스크를 통해) 네거티브톤 현상제에 용해되지 않도록 조제된다. 이 포지티브톤 포토레지스트는 습식 에칭 공정에 또는 건식 에칭 공정에 대한 에칭 저항을 제공하는 성분이 없다.
다른 실시형태는 하나 이상의 폴리머 성분과, 하나 이상의 수지 성분과, 미리 정해진 파장의 광에의 노광에 반응하여 광 산을 생성하는 하나 이상의 광 산 발생제 화합물과, 생성된 광 산에 반응하여 폴리머 성분을 네거티브톤 형상제에 용해되지 않게 하는 하나 이상의 용해성 변화기(solubility-changing group)를 포함하는 포토레지스트 조성물을 포함할 수 있다. 이 포토레지스트 조성물은 건식 또는 습식 에칭 공정에 대한 에칭 저항성이 거의 없다.
다른 실시형태는 산의 작용 하에서 용해성 변화를 발휘하는 하나 이상의 수지 성분과, 방사선에의 노광에 반응하여 광 산을 생성하는 하나 이상의 광 산 발생제 화합물과, 생성된 광 산에 반응하여 폴리머 성분을 네거티브톤 형상제에 용해되지 않게 하는 하나 이상의 용해성 변화기를 포함하는 포토레지스트 조성물을 포함한다. 이 포토레지스트 조성물은 건식 또는 습식 에칭 공정에 대한 에칭 저항성이 거의 없다.
상기 조성물은 기판 또는 웨이퍼 상에 포토레지스트막을 형성하기 위하여 스핀 캐스트 가능한 유체(fluid)로서 조제될 수 있음을 알아야 한다. 하나 이상의 조성물은 케이지기, 아다만틸기, 락톤기, 및/또는 리간드기가 실질적으로 없도록 조제될 수 있다. 조성물에는, 리소그래픽 방사선에 대한 레지스트 재료의 감도를 증가시키는 증감제(sensitizer)가 포함될 수 있다. 폴리머 성분, 수지 성분, 산 발생제, 용매, 및 용해성 변화 성분에 대한 선택은, 실질적인 또는 유효한 에칭 저항을 제공하는 일 없이 네거티브톤 현상제 겸용 포토레지스트를 조제하기 위해 소정의 설계 또는 패터닝 사양에 기초해서 통상적으로 이용 가능한 화학물 중에서 선택될 수 있다. 예를 들어, 에칭되는 특정 하부층의 에칭 저항보다 낮거나 대략 동일한 에칭 저항은 유효하지 않게 된다. 통상, 포토레지스트막은 에칭되는 소정의 타겟층보다 수배 더 높은 에칭 저항을 제공한다.
포토레지스트 조성물은 리소그래피 적용분야에 이용되기에 유리할 수 있다. 예를 들어, 여기에 개시하는 조성물은 패터닝 적용분야에 이용될 수 있다. 패턴을 만드는 일 예시적인 방법은, 화학 방사선의 패턴(통상 하나 이상의 미리 정해진 파장의 광)으로 포토레지스트 조성물층(포토레지스트막)을 노광시키는 단계와, 유기 용매 현상제(네거티브톤 현상제)를 이용한 처리로 패턴을 현상하여 네거티브톤 릴리프 이미지, 즉 토포그래픽 패터닝된(topographically patterned) 포토레지스트층을 형성하는 단계를 포함한다. 그러나, (이 현상 단계 직후의) 이 토포그래픽 패터닝된 포토레지스트층은 에칭 저항을 제공 또는 증진시키도록 설계된 성분이 없다는 것을 알아야 한다. 에칭 저항을 제공하는 작용기가 없는 포토레지스트의 이점은 패터닝된 포토레지스트가 매우 매끄러운(smooth) 라인을 갖는다는 것이다. 에칭 저항을 제공하는 작용기의 한가지 단점은 이들 첨가제가 비교적 벌크성이라서 현상된 포토레지스트의 표면 거칠기를 증가시킨다는 것이다. 여기에 개시하는 포토레지스트로 패터닝한 결과는 라인 에지 거칠기 또는 라인 폭 거칠기가 매우 낮은 릴리프 패턴 레지스트층을 형성하는 것이다. 그러나, 이 특정 릴리프 패턴은 포토레지스트층이 에칭 저항을 거의 제공하지 않거나 에칭 저항이 없기 때문에, 통상의 에칭 기술을 통해 하부층에 전사될 수 없다.
여기에 개시하는 다른 기술은 패터닝 방법의 이용을 비롯해, 전술한 조성물 중 어느 것을 이용하는 방법을 제공한다. 이들 방법은 일반적으로, 이러한 조성물로 막을 형성하는 단계와, 그런 다음 그 막을 리소그래피 패터닝하여 릴리프 또는 토포그래픽 패턴을 생성하는 단계를 포함한다. 예를 들어, 패턴 형성 방법은 기판 상에 포토레지스트 조성물을 퇴적하여 포토레지스트막을 형성하는 단계를 포함할 수 있다. 포토레지스트 조성물은 전술한 조성물 중 임의의 것을 포함할 수 있다. 예를 들어, 이 포토레지스트 조성물은 유기 용매에 용해 가능한 포지티브톤 포토레지스트 성분과, 미리 정해진 파장의 광에의 노광에 반응하여 광 산을 생성하는 방사선 민감성 산 발생제와, 광 산의 존재에 반응하여, 포지티브톤 포토레지스트 성분을 유기 용매 현상제에 용해시키지 않는 용해성 변화 성분을 포함한다. 이 포토레지스트막은 예컨대 스캐너 또는 스텝퍼 툴(stepper tool)을 이용하여 리소그래픽 방사선에 노광된다. 그런 다음, 상기 포토레지스트막의 미노광 부분이 상기 유기 용매 현상제에 의해 용해되어 토포그래픽 패터닝된 포토레지스트막이 형성되도록 네거티브톤 현상을 이용하여 포토레지스트막이 현상되며, 이 토포그래픽 패터닝된 포토레지스트막은 3.0보다 큰 오니시 파라미터를 갖는다.
다른 실시형태에 있어서, 습식 에칭 또는 건식 에칭 공정에 대한 에칭 저항을 증가시키는, 포토레지스트 조성물에 포함된 작용기의 양은, 이 포토레지스트 조성물 내의 고체 함유량의 총 중량에 기초하여 0.0 중량% 내지 15 중량%의 범위이다. 다른 실시형태에 있어서, 포토레지스트 조성물은, 포토레지스트막으로서 기판 상에 퇴적될 때에, 소정의 플라즈마 기반의 에칭 공정이 실리콘 이산화물, 실리콘, 다결정 실리콘, 실리콘 질화물, 테트라에틸 오소실리케이트, 비정질 탄소, 및 실리콘 산질화물로 이루어진 그룹에서 선택된 재료를 에칭하는 에칭률보다 높은 에칭률로 포토레지스트막을 에칭하게 하는 에칭 저항성을 제공한다. 다시 말해, 포토레지스트막은 하부의 타겟층 또는 기억층(memorization layer)(희생성 전사층)의 에칭 저항보다 더 낮은 에칭을 갖거나 제공한다.
다른 실시형태는 하나 이상의 처리후(post-processing) 기술을 이용하여 포토레지스트막을 현상한 후에 토포그래픽 패터닝된 포토레지스트막의 에칭 저항성을 생성하거나 증가시키는 것을 포함한다. 여기에 개시하는 조성물을 이용하여 잠재 패턴을 현상하는 것의 한가지 이점은, 근본적으로 레지스트 증진 첨가제(resist-promoting additive)가 없기 때문에, 실질적으로 표면 거칠기 또는 라인 에지/라인 폭 거칠기가 저감된다는 것이다. 그러나, 여기에 개시하는 이러한 조성물은, 여기에 개시하는 릴리프 패턴막이 에칭 마스크로서 비효율적으로 작용하기 때문에, 통상적 기법에 역행한다.
또한 여기에 개시하는 기술은 하나 이상의 하부층에 패턴을 전사하는 처리후 처리 기술(현상후 기술)을 포함한다. 처리후 기술의 한 유형은 에칭 전사 전에 토포그래픽 패터닝된 포토레지스트층을 물리적 또는 화학적으로 강화시키는 것을 수반한다. 처리후 기술의 다른 유형은 에칭 전사 전에 토포그래픽 패터닝된 포토레지스트층을 반전시키는 다수의 상이한 방법을 수반한다.
패터닝된 포토레지스트층을 강화시키는 한 기술은 탄도형 전자(ballistic electron)에의 노출을 포함한다. 이러한 처리는 상부 전극을 포함하는 플라즈마 처리 챔버에서 일어날 수 있다. 여기에 개시하는 포토레지스트막을 구비한 기판은 용량 결합형(capacitively-coupled) 플라즈마 처리 챔버 등의 플라즈마 처리 챔버의 기판 홀더 상에 배치된다. 이 챔버에서, 상부 전극은 실리콘 또는 실리콘 산화물 코팅 또는 판(plate)을 갖는다. 플라즈마는 상부 전극 또는 하부 전극 중 한쪽에 전파된 고주파 전력(radiofrequency power)을 이용해서 처리 챔버 내에 생성된다. 그런 다음 음전압의 직류가 상부 전극에 결합된다. 상부 전극 내의 이 음전하는 상부 전극 탈출 실리콘 및 전자에 충돌하는 양전하 이온을 끌어당긴다. 음전압이 존재하기 때문에, 전자는 기판을 향해 가속화된다. 포토레지스층에 충돌하는 이들 전자는 포토레지스트층 내의 하나 이상의 폴리머를 경화시키거나 하나 이상의 에칭제가 되게 한다.
얇은 실리콘층이 스퍼터 퇴적(sputter deposition)을 통해 포토레지스트층 상에 동시에 그리고 등각으로 퇴적될 수 있다. 이 탄도형 전자 처리는 직류 중첩(direct current superposition)으로 분류될 수 있다. 포토레지스트층이 탄도형 전자에의 노출로 충분히 에칭 저항성을 가진 후에, 토포그래픽 패터닝된 포토레지스트층은 하나 이상의 에칭 공정을 통해 하부층에 직접 전사될 수 있다. 이러한 에칭 공정은 하부층과 물리적 및/또는 화학적으로 반응할 수 있는 하나 이상의 가스 혼합물을 이용한 플라즈마 기반의 건식 에칭 공정 또는 습식 에칭 공정(불산)을 포함할 수 있다.
도 1a 내지 도 1e는 탄도형 전자를 이용한 포토레지스트 강화 공정의 개략적인 단면 기판 부분을 보여준다. 도 1a에서는, 포토마스크(172)를 이용하거나 하여일정 패턴의 방사선(화학 방사선(175))에 포토레지스트 층(110) 또는 막이 노광되고 있다. 이 층 또는 막은 네거티브톤 현상과 양립 가능하다. 포토레지스트층(110)이 타겟층(107) 상에 위치한다. 따라서, 이 포토레지스트층 내의 잠재 패턴이 현상되고, 도 1b에 도시하는 바와 같이, 방사선에 노광되지 않은 영역은 제거된다. 이에, 포토레지스트층(110)이 릴리프 패턴(111)이 된다. 도 1c는 플라즈마 처리 챔버를 통해 기판이 탄도형 전자에 노출되는 것을 보여준다. 음극성의 직류 전력이 플라즈마 처리 시스템의 상부 전극(163)에 결합된다. 전자 플러스(161)가 충분한 에너지를 갖는 상부 전극(163)으로부터 가속화되어 플라즈마(165)를 통과해 기판과 충돌함으로써, 릴리프 패턴(111)의 노출면은 용해성 변화에 반응이 느리게 되는 것을 포함해 물리적 특성이 변화하게 된다. 도 1d에서는, 릴리프 패턴(111)에 의해 형성된 패턴을 타겟층(107)이나 다른 하부층에 전사시키는 에칭 단계가 실행된다. 그런 다음 포토레지스트 재료가 완전히 제거되어 도 1d에 도시하는 바와 같이 기판 상의 타겟층이 패터닝된 다음에, 도 1e의 패터닝된 하부층이 된다.
토포그래픽 패터닝된 포토레지스트층(topographically patterned photoresist layer)의 에칭 저항성을 증가시키는 다른 기술은 원자층 퇴적 공정에 이어지는 에칭 공정을 포함한다. 도 2a 내지 도 2f가 이 공정을 개괄적으로 묘사하고 있다. 도 2a와 도 2b는 도 1a와 도 1b와 같은 것임을 알아야 한다. 예를 들어, 하나 이상의 재료층이 도 2c에 막(131)으로 표시하는 바와 같이 원자층 퇴적을 통해 패터닝된 포토레지스트층 상에 등각으로 퇴적된다. 원자층 퇴적은 고도의 등각 층, 즉 통상적으로 하나의 원자층 또는 분자층을 한번에 퇴적하는 알려진 퇴적 기술이다. 패터닝된 포토레지스트 상의 이러한 등각층으로, 통상의 에칭 공정(통상 비등방성)이 실행된다. 등각층이 하부층만 덮는 영역에서는, 에칭 공정이 등각층을 통해 에칭하고 하부층에 계속된다. 포토레지스트 재료가 존재하는 영역에서는, 등각층이 포토레지스트 재료와 상호작용하고, 에칭 메커니즘(예컨대, 엉킨 리간드기(tangling ligand group))은 레지스트 재료를 사실상 경화시켜 에칭 저항을 제공하고(도 2d), 그럼으로써, 패턴 전사를 가능하게 하여(도 2e) 도 2f에 도시하는 패터닝된 타겟층이 되게 한다.
여기에 개시하는 다른 실시형태는 패턴 반전 기술(도 3a 내지 도 3e)을 포함한다. 이 반전 기술은, 기판 상에 등각의 보호층을 퇴적하여, 이 등각의 보호층으로토포그래픽 패터닝된 포토레지스트막의 노출 표면과 하부 타겟층의 노출 표면을 덮는 단계를 포함할 수 있다. 도 3a와 도 3b는 도 1a와 도 1b와 같은 것임을 알아야 한다. 이것의 일례의 개략도를 도 3c에 나타낸다. 다음으로, 화학적 기계 연마(CMP) 공정이 실행된다. 이 CMP 공정은 도 3d에 도시하는 바와 같이 토포그래픽 패터닝된 포토레지스트막은 제거하지만 타겟층 상에 등각의 보호층은 남겨둔다. 따라서, 등각의 보호층을 위한 유리한 선택은, 양호한 CMP 정지층을 제공하고 하부층에 대해 에칭 저항성을 지닌 재료를 포함한다. 비제한적인 예로, 실리콘 질화물은 등각의 보호층에 사용되도록 선택될 수 있다. 이 단계에서는, 연마 패드(abrasive pad)가, 포토레지스트 및 등각 보호층 둘다를 포함하는 수직 구조(upright structure)를 물리적으로 제거하고, 하부 기판의 기판 상에는 등각의 보호층을 남겨둔다. 포토레지스트가 사실상 제거되고, 에칭 단계는 등각의 보호층에 의해 형성된 패턴을 하부층에 전사할 수 있다(도 3e).
여기에 개시하는 다른 실시형태는 패턴 반전 기술(pattern reversal technique)을 포함한다. 이 기술에 대한 예시적인 시퀀스를 도 4a 내지 도 4f에 나타낸다. 도 4a와 도 4b는 도 1a와 도 1b와 같은 것임을 알아야 한다. 패턴 반전은, 기판 상에 평탄화층(117)을 퇴적하여, 이 평탄화층으로 토포그래픽 패터닝된 포토레지스트막에 의해 형성된 개구를 충전하고, 하부 타겟층의 노출된 표면을 적어도 덮는 단계를 포함할 수 있다(도 4c). 실제로는, 포토레지스트막이 덮여져 있는 상태에서도, 형성된 개구가 대개 충전될 것이지만, 형성된 개구를 완전히 충전하는 것이 반드시 필요한 것은 아님을 알아야 한다. 이것은 평탄화층 재료를 위한 스핀온 적층을 이용할 경우의 통상의 퇴적 결과일 수 있다. 스핀온 퇴적은 통상 개구를 충전하고 포토레지스트층을 덮는다. 이 평탄화층은 예컨대 산화물층일 수 있다. 그 다음에, 평탄화층은 남겨두고, 토포그래픽 패터닝된 포토레지스트막이 제거된다(도 4d). 이러한 제거는 에칭 공정을 통해 달성될 수 있다. 예를 들어, 플라즈마 기반의 건식 에칭과 같은 소정의 화학작용이 선택되고, 이어서 기판이 미리 정해진 거리만큼 또는 포토레지스트층이 덮이지 않을 때까지 에칭된다. 포토레지스층이 덮여지지 않은 상태에서는, 이 포토레지스트층이 곧 에칭되어 없어질 수 있다. 포토레지스트층이 제거되고, 평탄화층에 의해 형성된 패턴이 이어서 에칭 공정을 통해 하부층에 전사된다(도 4e 내지 도 4f). 이 에칭 공정은 포토레지스트층을 덮지 않는데 이용되는 에칭 공정과 화학작용이 상이하거나 동일할 수 있다.
다른 실시형태에 있어서, 도 5a 내지 도 5j에 도시하는 바와 같이 패턴 반전 기술은 산 확산(acid diffusion)을 기반으로 하여 이용될 수도 있다. 도 5a와 도 5b는 도 1a와 도 1b와 같은 것임을 알아야 한다. 평탄화층(117)은 릴리프 패턴(111)에 의해 형성된 개구를 충전하고 릴리프 패턴(111)을 덮도록 기판 상에 퇴적된다. 이 실시형태에 있어서, 평탄화층으로서 선택된 재료는 용해성 변화를 지닐 수 있는 재료이다. 예시적인 재료는 다른 포토레지스트 재료이다. 이 포토레지스트 재료는 에칭 저항 특성을 지닐 수 있다. 도 5c는 평탄화층 재료의 오버코트의 예시적인 결과를 보여준다. 다음으로, 도 5d에 도시하는 바와 같이 산(119)이 평탄화층 상에 퇴적될 수 있다. 그런 다음 산(119)이 평탄화층(117)의 상부로 확산된다. 이 상부는 평탄화층의 상부면으로부터 릴리프 패턴(111)의 상부면까지 연장된다. 이 평탄화층으로의 산 확산은 평탄화층의 상부를 미리 정해진 용매에 용해시킨다. 도 5e는 평탄화층의 상부가 미리 정해진 용매에 용해되는 것을 보여준다. 평탄화층의 상부는 그런 다음 미리 정해진 용매를 이용하여 제거되고, 그 결과가 도 5f에 묘사된다. 이 도면에서는 릴리프 패턴(111)이 덮여지지 않았음을 볼 수 있다. 그 다음에, 평탄화층(117)은 남겨두고 릴리프 패턴(111)이 제거된다(도 5g). 제거는 에칭 공정을 통해 달성될 수 있다. 토포그래픽 패터닝된 포토레지스트막은 에칭 저항을 제공하는 작용기를 포함하지 않지만, 평탄화층(포토레지스트일 수도 있음)은 에칭 저항성을 가질 수 있다. 평탄화층에 의해 형성된 패턴은 에칭 공정을 통해 하부층에 전사될 수 있다(도 5h와 도 5j).
비저항성 포토레지스트층을 이용하는 다른 기술은 셀프얼라인 더블 패터닝 기술(self-aligned double patterning technique)을 이용하여 패터닝된 포토레지스트를 반전시키는 것이다. 예시적인 공정 시퀀스를 도 6a 내지 도 6g에 나타낸다. 도 6a와 도 6b는 도 1a와 도 1b와 같은 것임을 알아야 한다. 일반적으로 셀프얼라인 더블 패터닝은 알려져 있다. 이 기술에 있어서, 등각 막(144) 또는 반등각 막(semi-conformal film)이 릴리프 패턴(111) 상에 퇴적되어 릴리프 패턴(111)을 덮는다(도 6c). 릴리프 패턴(111)의 측벽 상에 측벽 스페이스(145)가 남겨진 기판 상에서 수평 표면으로부터 등각 막을 제거하기 위해 비등방성 에칭 공정이 실행된다(도 6d). 측벽 스페이서(145)가 형성되어 있어, 최초 패턴은 밀도가 사실상 증배된다. 에칭 공정 후에 토포그래픽 패터닝된 포토레지스트의 일부가 남아 있다면, 그 잔여부는 애싱 공정 또는 상이한 에칭 공정을 이용해서 제거될 수 있다(도 6e). 타겟층(107) 상에 남아 있는 측벽 스페이서는 그런 다음 에칭 공정을 통해 패턴을 타겟층(107)에 전사하기 위한 에칭 마스크로서 이용될 수 있고(도 6f), 이어서, 임의의 잔여 측벽 스페이서 재료가 기판으로부터 제거될 수 있다(도 6g).
여기에 개시하는 조성물 및 이용 방법은 EVU(극자외선) 포토리소그래피를 가능하게 할 수도 있다. 일부 EUV 적용 분야에서는, 통상의 포토레지스트 재료가 의도한 대로 기능하지 못한다. EUV 방사선에 노출될 때에, 이러한 통상의 레지스트 재료는 이 방사선을 견디는 것이 불가능하여, 대량으로 또는 전체가 없어진다. 그 결과, 패턴을 전사시킬 수 있는 포토레지스트가 불충분하고, 그렇기 때문에 포토레지스트막 내에서 에칭 저항을 갖는 것이 중요하지 않다는 것을 여기에서 깨닫게 된다. 여기에 개시하는 조성물에서는, 에칭 저항을 증진시키는 작용기가 제거되고(작용기의 개재를 생략), EUV 방사선을 견딜 수 있는 다른 작용기가 추가될 수 있다.
여기에 개시하는 조성물과 방법은 EUV 노광과 연관된 결함을 제거하는 데에도 이용될 수 있다. 예를 들면, 비저항성 포토레지스트막은 EUV 포토마스크를 이용하여 노광되고, 그 다음에 네거티브톤 현상제를 이용하여 현상될 수 있다. 그리고 나서 이 이미지는 전술한 바와 같은 하나 이상의 기술을 이용하여 반전된 다음, 제2 층의 비저항성 포토레지스트막이 동일한 EUV 포토마스크와 함께 이용될 수 있다. 동일한 EUV 레티클을 다시 노광시킴으로써 동일한 장소에 두번 부딪히더라도 결함이 거의 없어, 결함이 제거될 수 있다.
통상적 포토리소그래피에서 발견되는 다른 과제는 통상적 리소그래피와 EUV 리소그래피 둘다에 이용되는 레지스트막이 적절한 전사를 가능하게 하기에 사실상 너무 얇다는 것이다. 레지스트 조제(resist formulation)에 따른 경향은 라인 에지 거칠기가 더 심해지더라도 에칭 저항을 증진시키는 기타 작용기와 더 많은 케이지기를 추가하는 것이다. 저항성을 증가시키는 첨가제는 벌크성을 가질 뿐만 아니라 고가이다. 이에, 여기에 개시하는 조성물과 방법은 통상의 포토레지스트보다 더 매끄럽고 보다 비용 효율적인 패터닝된 레지스트를 제공할 수 있다.
이상의 설명에 있어서, 처리 시스템의 특정 기하학적 지오메트리(geometry) 및 거기에 사용되는 다양한 구성요소와 공정의 설명과 같은 특정 상세를 설명하였다. 그러나, 본 발명에 따른 기술은 이들 특정 상세와는 상이한 다른 실시형태로도 실시될 수 있으며, 이러한 상세는 설명을 위한 것이지 제한용이 아님이 물론이다. 본 명세서에 개시하는 실시형태는 첨부 도면을 참조하여 설명되었다. 마찬가지로, 설명의 목적상, 면밀한 이해를 제공하기 위해 특정 수, 재료, 및 구성요소를 설명하였다. 그럼에도, 실시형태들은 이들 특정 상세 없이도 실시될 수 있다. 실질적으로 동일한 기능의 구성을 구비하는 구성요소들은 같은 참조 번호로 표시되며, 그래서 임의의 뒤따르는 설명은 생략될 수 있다.
다양한 실시형태의 이해를 돕기 위해 다양한 기술들이 다수의 분리된 동작들로 설명되었다. 설명의 순서는 이들 동작들이 반드시 순서에 종속되는 것을 암시하도록 해석되어서는 안 된다. 사실상, 이들 동작은 반드시 제시 순서로 수행될 필요가 없다. 설명하는 동작들은 설명하는 실시형태와는 상이한 순서로 수행될 수도 있다. 다양한 추가 동작들이 수행될 수도 있고/있거나, 설명하는 동작들이 추가 실시형태에서는 생략될 수도 있다.
본 명세서에서 사용되는 "기판(substrate)" 또는 "타겟 기판"은 일반적으로 본 발명에 따라 처리되는 대상을 지칭한다. 기판은 디바이스, 구체적으로 반도체 또는 기타 전자 디바이스의 임의의 재료의 부분 또는 구조를 포함할 수 있으며, 예컨대 박막 등의 베이스 기판 구조 상에 있는 또는 그 위를 덮는 반도체 웨이퍼, 레티클, 또는 층과 같은 베이스 기판 구조일 수 있다. 이에, 기판은 임의의 특정 베이스 구조에, 하부층 또는 상부층에, 패터닝 또는 비패터닝되는 것에 한정되지 않거나, 오히려 임의의 그러한 층 또는 베이스 구조, 및 층 및/또는 베이스 구조의 임의의 조합을 포함하는 것으로 간주된다. 설명은 특정 타입의 유형의 기판을 언급하지만, 이것은 예시 목적일 뿐이다.
당업자라면 본 발명의 동일한 목표를 여전히 달성하면서 전술한 지침의 동작에 다양한 변형이 있을 수 있음도 이해할 것이다. 이러한 변형은 본 발명의 범위 내에 포함되는 것이 의도된다. 이 경우에도, 본 발명의 실시형태에 대한 앞의 설명은 제한적인 것으로 의도되지 않는다. 그보다는, 본 발명의 실시형태에 대한 임의의 제한은 다음의 청구범위 내에 있다.

Claims (22)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 패턴 형성 방법에 있어서,
    기판 상에 포토레지스트 조성물을 퇴적하여 포토레지스트막을 형성하는 단계로서, 상기 포토레지스트 조성물은, 유기 용매에 용해 가능한 포지티브톤 포토레지스트 성분과, 미리 정해진 파장의 광에의 노광에 반응하여 광 산(photo acid)을 생성하는 방사선 민감성 산 발생제와, 광 산의 존재에 반응하여 상기 포지티브톤 포토레지스트 성분이 유기 용매 현상제에 대해 불용성(insoluble)이 되게 하는 용해성 변화 성분(solubility-shifting component)을 포함하는 것인, 상기 포토레지스트막 형성 단계와,
    상기 포토레지스트막을 리소그래픽 방사선(lithographic radiation)에 노광시키는 단계와,
    상기 포토레지스트막의 미노광 부분이 상기 유기 용매 현상제에 의해 용해되어 토포그래픽 패터닝된 포토레지스트막(topographic patterned photoresist film) 이 형성되도록 네거티브톤 현상을 이용하여 상기 포토레지스트막을 현상하는 단계와 - 상기 토포그래픽 패터닝된 포토레지스트막은 3.0보다 큰 오니시 파라미터를 가짐 -,
    상기 기판 상에 평탄화층을 퇴적하여, 이 평탄화층으로 상기 토포그래픽 패터닝된 포토레지스트막에 의해 규정된 개구를 충전하고, 하부층의 노출된 표면을 덮고, 상기 토포그래픽 패터닝된 포토레지스트막을 덮는 단계와,
    상기 평탄화층의 상부면으로부터 상기 토포그래픽 패터닝된 포토레지스트막의 상부면까지 상기 평탄화층의 상부로 산을 확산시키는 단계로서, 상기 산은 상기 평탄화층의 상부를 미리 정해진 용매에 가용성(soluble)이 되게 하는 것인, 상기 산 확산 단계와,
    상기 미리 정해진 용매를 이용하여 상기 평탄화층의 상부를 제거하는 단계와,
    상기 평탄화층은 남겨두고 상기 토포그래픽 패터닝된 포토레지스트막을 제거하는 단계와,
    상기 평탄화층에 의해 규정된 패턴을 에칭 공정을 통해 상기 하부층에 전사시키는 단계
    를 포함하는 패턴 형성 방법.
  13. 삭제
  14. 제12항에 있어서, 상기 토포그래픽 패터닝된 포토레지스트막을 제거하는 단계는 에칭 공정을 실행하는 단계를 포함하고, 상기 평탄화층은 상기 에칭 공정에 저항성을 갖는 것인 패턴 형성 방법.
  15. 삭제
  16. 제12항에 있어서,
    상기 토포그래픽 패터닝된 포토레지스트막 상에 반등각(semi-conformal) 또는 등각 막을 퇴적하여 상기 토포그래픽 패터닝된 포토레지스막을 덮는 단계와,
    측벽 스페이서는 남겨두고 수평 표면으로부터 상기 반등각 또는 등각 막을 제거하는 비등방성 에칭 공정을 실행하는 단계와,
    상기 측벽 스페이서에 의해 규정된 패턴을 에칭 공정을 통해 상기 하부층에 전사시키는 단계
    를 더 포함하는 패턴 형성 방법.
  17. 패턴 형성 방법에 있어서,
    기판의 타겟층 상에 포토레지스트 조성물을 퇴적하여 포토레지스트막을 형성하는 단계로서, 상기 포토레지스트 조성물은, 유기 용매에 용해 가능한 포지티브톤 포토레지스트 성분과, 미리 정해진 파장의 광에의 노광에 반응하여 광 산을 생성하는 방사선 민감성 산 발생제와, 광 산의 존재에 반응하여 상기 포지티브톤 포토레지스트 성분이 유기 용매 현상제에 대해 불용성(insoluble)이 되게 하는 용해성 변화 성분을 포함하는 것인, 상기 포토레지스트막 형성 단계와,
    상기 포토레지스트막을 리소그래픽 방사선에 노광시키는 단계와,
    상기 포토레지스트막의 미노광 부분이 상기 유기 용매 현상제에 의해 용해되어 토포그래픽 패터닝된 포토레지스트막이 형성되도록 네거티브톤 현상을 이용하여 상기 포토레지스트막을 현상하는 단계와 - 상기 토포그래픽 패터닝된 포토레지스트막은 상기 타겟층보다 더 낮은 에칭 저항을 가짐 -,
    상기 기판 상에 등각의 보호층을 퇴적하여, 이 등각의 보호층으로 상기 토포그래픽 패터닝된 포토레지스트막의 노출 표면과 상기 타겟층의 노출 표면을 덮는 단계와,
    상기 토포그래픽 패터닝된 포토레지스트막은 제거하지만 상기 타겟층 상에 상기 등각의 보호층은 남겨두는 화학적 기계 연마 공정(chemical-mechanical polishing step)을 실행하는 단계와,
    상기 등각의 보호층에 의해 규정된 패턴을 에칭 공정을 통해 상기 타겟층에 전사시키는 단계
    를 포함하는 패턴 형성 방법.
  18. 제17항에 있어서,
    상기 포토레지스트막을 현상한 후에 상기 토포그래픽 패터닝된 포토레지스트막의 에칭 저항성을 증가시키는 단계를 더 포함하는 패턴 형성 방법.
  19. 제18항에 있어서, 상기 토포그래픽 패터닝된 포토레지스트막의 에칭 저항성을 증가시키는 단계는, 음전압의 직류를 상부 전극에 인가함으로써 플라즈마 챔버에서 상기 토포그래픽 패터닝된 포토레지스트막을 전자 플럭스에 노출시키는 단계를 포함하는 것인 패턴 형성 방법.
  20. 제18항에 있어서, 상기 토포그래픽 패터닝된 포토레지스트막의 에칭 저항성을 증가시키는 단계는, 원자층 퇴적(atomic layer deposition)을 통해 등각의 보호층을 퇴적하는 단계를 포함하는 것인 패턴 형성 방법.
  21. 삭제
  22. 삭제
KR1020177003523A 2014-07-08 2015-06-25 네거티브톤 현상제 겸용 포토레지스트 조성물 및 이용 방법 KR101989707B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462021756P 2014-07-08 2014-07-08
US62/021,756 2014-07-08
PCT/US2015/037588 WO2016007303A1 (en) 2014-07-08 2015-06-25 Negative tone developer compatible photoresist composition and methods of use

Publications (2)

Publication Number Publication Date
KR20170029574A KR20170029574A (ko) 2017-03-15
KR101989707B1 true KR101989707B1 (ko) 2019-06-14

Family

ID=55064687

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177003523A KR101989707B1 (ko) 2014-07-08 2015-06-25 네거티브톤 현상제 겸용 포토레지스트 조성물 및 이용 방법

Country Status (6)

Country Link
US (2) US9921478B2 (ko)
JP (2) JP2017521715A (ko)
KR (1) KR101989707B1 (ko)
CN (1) CN106662816B (ko)
TW (1) TWI613519B (ko)
WO (1) WO2016007303A1 (ko)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI639179B (zh) 2014-01-31 2018-10-21 美商蘭姆研究公司 真空整合硬遮罩製程及設備
US9791779B2 (en) 2014-10-16 2017-10-17 Tokyo Electron Limited EUV resist etch durability improvement and pattern collapse mitigation
WO2016106092A1 (en) * 2014-12-22 2016-06-30 Tokyo Electron Limited Patterning a substrate using grafting polymer material
US20160196968A1 (en) * 2015-01-06 2016-07-07 Macronix International Co., Ltd. Patterning method
CN109313395B (zh) * 2016-05-13 2021-05-14 东京毅力科创株式会社 通过使用光剂来进行的临界尺寸控制
US9947548B2 (en) * 2016-08-09 2018-04-17 International Business Machines Corporation Self-aligned single dummy fin cut with tight pitch
KR102443698B1 (ko) * 2018-03-16 2022-09-15 삼성전자주식회사 집적회로 소자의 제조 방법
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
CN109760320B (zh) * 2018-12-05 2022-01-25 歌尔股份有限公司 光学薄膜转移方法和光学薄膜转移装置
CN109765763A (zh) * 2019-03-21 2019-05-17 深圳先进技术研究院 一种用于感光性聚酰亚胺前体的显影剂及图案化方法
KR20220076498A (ko) * 2019-10-08 2022-06-08 램 리써치 코포레이션 Cvd euv 레지스트 막들의 포지티브 톤 현상 (positive tone development)
US11550220B2 (en) * 2019-10-31 2023-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Negative tone photoresist for EUV lithography
JP7189375B2 (ja) 2020-01-15 2022-12-13 ラム リサーチ コーポレーション フォトレジスト接着および線量低減のための下層
US11557479B2 (en) 2020-03-19 2023-01-17 Tokyo Electron Limited Methods for EUV inverse patterning in processing of microelectronic workpieces
US20220028684A1 (en) * 2020-06-18 2022-01-27 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist layer outgassing prevention
US20220148879A1 (en) * 2020-11-11 2022-05-12 Changxin Memory Technologies, Inc. Method for treating photoresist and self-aligned double patterning method
WO2023076224A1 (en) * 2021-10-26 2023-05-04 Geminatio, Inc. Chemically selective adhesion and strength promotors in semiconductor patterning

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3637717A1 (de) * 1986-11-05 1988-05-11 Hoechst Ag Lichtempfindliches gemisch, dieses enthaltendes aufzeichnungsmaterial und verfahren zur herstellung von positiven oder negativen reliefkopien unter verwendung dieses materials
US4743529A (en) * 1986-11-21 1988-05-10 Eastman Kodak Company Negative working photoresists responsive to shorter visible wavelengths and novel coated articles
DE3711263A1 (de) * 1987-04-03 1988-10-13 Hoechst Ag Lichtempfindliches gemisch, daraus hergestelltes lichtempfindliches kopiermaterial und verfahren zur herstellung von druckformen
DE3716848A1 (de) * 1987-05-20 1988-12-01 Hoechst Ag Verfahren zur bebilderung lichtempfindlichen materials
US5258257A (en) * 1991-09-23 1993-11-02 Shipley Company Inc. Radiation sensitive compositions comprising polymer having acid labile groups
US6503693B1 (en) * 1999-12-02 2003-01-07 Axcelis Technologies, Inc. UV assisted chemical modification of photoresist
US6923920B2 (en) * 2002-08-14 2005-08-02 Lam Research Corporation Method and compositions for hardening photoresist in etching processes
TW200715068A (en) * 2005-09-06 2007-04-16 Koninkl Philips Electronics Nv Lithographic method
JP4554665B2 (ja) 2006-12-25 2010-09-29 富士フイルム株式会社 パターン形成方法、該パターン形成方法に用いられる多重現像用ポジ型レジスト組成物、該パターン形成方法に用いられるネガ現像用現像液及び該パターン形成方法に用いられるネガ現像用リンス液
TW200848935A (en) * 2007-02-08 2008-12-16 Fujifilm Electronic Materials Photosensitive compositions employing silicon-containing additives
JP4899936B2 (ja) * 2007-03-01 2012-03-21 日産自動車株式会社 交差点通過支援装置および交差点通過支援方法
KR100989565B1 (ko) * 2007-06-12 2010-10-25 후지필름 가부시키가이샤 네가티브 톤 현상용 레지스트 조성물 및 이것을 사용한 패턴형성방법
JP5671202B2 (ja) 2007-10-26 2015-02-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated フォトレジストテンプレートマスクを用いて頻度を倍にする方法
WO2009085564A2 (en) 2007-12-21 2009-07-09 Lam Research Corporation Etch with high etch rate resist mask
WO2010032796A1 (ja) * 2008-09-19 2010-03-25 日産化学工業株式会社 サイドウォール形成用組成物
US8492282B2 (en) * 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US7972959B2 (en) * 2008-12-01 2011-07-05 Applied Materials, Inc. Self aligned double patterning flow with non-sacrificial features
JP5663140B2 (ja) * 2009-01-22 2015-02-04 東京応化工業株式会社 被覆パターン形成方法、レジスト被覆膜形成用材料、パターン形成方法
JP2010286618A (ja) * 2009-06-10 2010-12-24 Tokyo Ohka Kogyo Co Ltd パターン形成方法
JP5520590B2 (ja) * 2009-10-06 2014-06-11 富士フイルム株式会社 パターン形成方法、化学増幅型レジスト組成物及びレジスト膜
JP5674375B2 (ja) * 2010-08-03 2015-02-25 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20120122031A1 (en) * 2010-11-15 2012-05-17 International Business Machines Corporation Photoresist composition for negative development and pattern forming method using thereof
US8790867B2 (en) * 2011-11-03 2014-07-29 Rohm And Haas Electronic Materials Llc Methods of forming photolithographic patterns by negative tone development
US20130171429A1 (en) * 2011-12-31 2013-07-04 Rohm And Haas Electronic Materials Llc Cycloaliphatic monomer, polymer comprising the same, and photoresist composition comprising the polymer
US9261786B2 (en) * 2012-04-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of photolithography
JP5829994B2 (ja) * 2012-10-01 2015-12-09 信越化学工業株式会社 パターン形成方法

Also Published As

Publication number Publication date
CN106662816A (zh) 2017-05-10
CN106662816B (zh) 2020-10-23
JP2017521715A (ja) 2017-08-03
WO2016007303A1 (en) 2016-01-14
US20160011516A1 (en) 2016-01-14
US9921478B2 (en) 2018-03-20
TW201621467A (zh) 2016-06-16
US20160011507A1 (en) 2016-01-14
JP6726826B2 (ja) 2020-07-22
TWI613519B (zh) 2018-02-01
KR20170029574A (ko) 2017-03-15
JP2019049747A (ja) 2019-03-28

Similar Documents

Publication Publication Date Title
KR101989707B1 (ko) 네거티브톤 현상제 겸용 포토레지스트 조성물 및 이용 방법
JP5568015B2 (ja) フォトレジスト組成物および多層フォトレジスト系を用いて多重露光する方法
KR102310834B1 (ko) 그래프팅 중합체 물질의 사용으로 기판의 패턴화
US20210103213A1 (en) Extreme Ultraviolet Photolithography Method With Developer Composition
TWI428958B (zh) 形成光微影圖案之方法
TWI387998B (zh) 微影方法
KR101439394B1 (ko) 산 확산을 이용하는 더블 패터닝 공정에 의한 반도체소자의 미세 패턴 형성 방법
US9285682B2 (en) Pre-patterned hard mask for ultrafast lithographic imaging
TW200939300A (en) Double patterning strategy for contact hole and trench in photolithography
TWI595556B (zh) 用於基板圖案化之遮罩的形成方法
TW201604932A (zh) 基板上之接觸窗開口的圖案化方法
CN106325002A (zh) 光刻技术显影成分及用于光刻技术图案化的方法
TW201041011A (en) Substrate planarization with imprint materials and processes
TW202144915A (zh) 在光阻層中形成圖案的方法、製造半導體裝置的方法及光阻組成物
US11682559B2 (en) Method to form narrow slot contacts
TWI790553B (zh) 光阻劑組成物與製造半導體裝置的方法
JPH11242336A (ja) フォトレジストパターンの形成方法
TW202117447A (zh) 負光阻及其顯影的方法
WO2023028244A1 (en) Generation of multiline etch substrates

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant