TWI387998B - 微影方法 - Google Patents

微影方法 Download PDF

Info

Publication number
TWI387998B
TWI387998B TW098113428A TW98113428A TWI387998B TW I387998 B TWI387998 B TW I387998B TW 098113428 A TW098113428 A TW 098113428A TW 98113428 A TW98113428 A TW 98113428A TW I387998 B TWI387998 B TW I387998B
Authority
TW
Taiwan
Prior art keywords
layer
patterned resist
resist layer
water
lithography method
Prior art date
Application number
TW098113428A
Other languages
English (en)
Other versions
TW201011812A (en
Inventor
Hsiao Wei Yeh
Ching Yu Chang
Jian Hong Chen
Chih An Lin
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201011812A publication Critical patent/TW201011812A/zh
Application granted granted Critical
Publication of TWI387998B publication Critical patent/TWI387998B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Description

微影方法
本發明關於一種半導體製程的微影方法,特別是關於雙重圖案化的微影方法。
半導體技術持續地發展至更小的圖案尺寸,65奈米、45奈米或者以下。用於製造此種小圖案尺寸的圖案化光阻層通常具有高深寬比。因為許多因素,難以維持所希望的關鍵尺寸(critical dimension;CD)。例如,因為阻劑層材料容易受到蝕刻製程影響,在光微影圖案製程中,可能會出現圖案崩塌及關鍵尺寸降低。當使用雙重圖案化技術時,可能會產生新的問題,例如圓角、阻劑圖案崩塌、抗蝕刻性、不佳的外形、曝光焦點錯誤、高製程成本、以及低生產量。
本發明提供一種微影方法,包括:在基板上形成第一圖案化阻劑層,上述第一圖案化阻劑層包括至少一個開口;在上述第一圖案化阻劑層及上述基板上形成一水溶性聚合物層,藉以在上述第一圖案化阻劑層及上述水溶性聚合物層的界面發生反應;以及在上述基板上形成第二圖案化阻劑層,其中上述第二圖案化阻劑層的至少一部分設置於上述第一圖案化阻劑層的至少一個開口內或鄰接上述第一圖案化阻劑層的至少一部分。然後使用 上述第一圖案化阻劑層與第二圖案化阻劑層作為罩幕,並蝕刻上述基板。
本發明更提供一種微影方法,包括:在基板上形成第一圖案化阻劑層,上述第一圖案化阻劑層包括至少一個開口;在上述第一圖案化阻劑層及上述基板上沉積一水溶性可交聯材料層,藉以在上述第一圖案化阻劑層及上述水溶性可交聯材料層的界面發生反應,而在上述第一圖案化阻劑層上形成一反應層;以及在上述基板上形成第二圖案化阻劑層,其中上述第二圖案化阻劑層的至少一部分被設置於上述第一圖案化阻劑層的至少一個開口內或鄰接上述第一圖案化阻劑層的至少一部分。然後使用上述第一圖案化阻劑層與第二圖案化阻劑層作為罩幕,並蝕刻上述基板。
本發明揭露一種雙重圖案化的方法,使用水溶性聚合物材料或水溶性可交聯材料取代傳統以醇為基質的材料,產生具有整體強化抗蝕刻性的阻劑圖案,可用於形成次微米半導體裝置所需的細粒度圖形。根據第1-8圖顯示一種微影圖案化方法,說明裝置2在製程的各階段的實施例。
第1圖顯示一具有一矽基板10的半導體裝置2。基板10也可由其他適當的半導體材料構成,例如Ge、SiGe、或者GaAs。再者,基板10可由其他適當元素半導體構成,例如鑽石;或者由適當的化合物半導體構成, 例如碳化矽、砷化銦、或磷化銦;或由適當的合金半導體構成,例如碳化矽鍺、磷化鎵砷、或磷化鎵銦。基板10也包括多種摻雜的區域、介電圖形、及多層內連線。基板10也可以為非半導體材料,例如薄膜電晶體液晶顯示器(TFT-LCD)裝置用的玻璃基板,或者光罩(罩幕或圖幕)用的熔融石英或氟化鈣。基板10也包括一用來圖案化的薄層,例如介電層、半導體層、或者多晶矽層。
在基板10上形成一選擇性的材料層20,其功能為硬罩幕、六甲基二矽氮烷(hexamethyldisilizane;HMDS)、及/或底層抗反射塗佈層(bottom anti-reflective coating;BARC)。一實施例中,材料層20具有約5Å-約9000Å的厚度。例如,材料層20可具有約500Å的厚度。另一實施例中,材料層20具有約500Å-約3500Å的厚度。材料層20也可以有其他參數落在選擇的範圍內。例如材料層20具有折射係數在約1-3範圍內,以及具有消光係數(吸光值)κ在約0.01-約1.0範圍內。在一實施例中,消光係數κ在約0.1-約0.5的範圍。另一實施例中,材料層20可具有折射係數約1.75及消光係數約0.2者。
在此實施例中,材料層20包括一有機聚合物。材料層20可實質上不含矽。材料層20可包括一光阻(或阻劑)為正型或負型、具有或不具有光感材料。材料層20可包括一適當的底層抗反射塗佈(BARC)材料,更可以具有一頂層以覆蓋此底層抗反射塗佈(BARC)材料。此頂層可具有約50Å的厚度,實質上不含有羥基及羧基。材料層20可包括傳統的聚合物材料或阻劑材料。例如材料層20可 為t-丁氧基羰基(t-BOC)阻劑、縮醛阻劑以及傳統的環境安定化學放大型光阻劑(environmentally stabilized chemically amplified photoresist;ESCAP)其中的一種。材料層20由聚合材料所構成,此聚合材料可以進行交聯作用。例如,此聚合材料可以旋轉塗佈或利用化學氣相沉積術(chemical vapor deposition;CVD)沉積在基板10上,然後進行烘烤過程溫度在約90℃-約300℃,形成交聯。在另一實施例中,此溫度可為約100℃-約180℃。此聚合材料也可為非交聯型,這種情形下,材料層20可使用一溶劑,此溶劑不能溶解阻劑層或不會被形成於材料層20上的阻劑層所溶解。例如,材料層20可使用丁醇作為溶劑。
在另一實施例中,材料層20可使用其他不同於保護層的適當材料,此保護層形成於材料層20上,以保護材料層20上的阻劑圖案。例如,材料層20可包括氮化矽或氮氧化矽,以區分含有氧化矽的保護層。此材料層與此保護層在蝕刻過程中具有實質上不同的蝕刻速度。
然後在材料層20上形成第一圖案化阻劑層30。第一圖案化阻劑層30包括多個開口,因此在此開口內,部分材料層20在開口中露出。第一圖案化阻劑層30的開口是根據預定的圖案而設置。第一圖案化阻劑層30具有約50Å-約5000Å的厚度範圍。另一實施例中,第一圖案化阻劑層30具有約500Å-約2000Å的厚度範圍。第一圖案化阻劑層30可為正型阻劑或負型阻劑。在使用極短紫外線(extreme ultraviolet;EUV)入射光束形成先進半導體圖 案化時,第一圖案化阻劑層30可使用化學放大(chemically amplified;CA)阻劑。第一圖案化阻劑層30由微影製程而形成,其可包括阻劑塗佈、阻劑軟烤、罩幕對準、阻劑曝光、曝光後烘烤(post-exposure bake;PEB)、顯影、及阻劑硬烤的製程步驟。此曝光步驟可在入射光束照射下,經由具有預先確定的圖案(或相反的圖案)的光罩,曝照半導體裝置2。此入射光束可為紫外光(UV)或極短紫外光(EUV),例如,來自氪化鉻(KrF)準分子雷射的248nm光束,或是來自氟化氬(ArF)準分子雷射的193nm光束。此微影製程可使用其他曝光模式或技術,例如軸上、離軸、四偶極、或偶極曝光技術。此微影圖案化可由其他適當方法進行或取代,例如無光罩的微影術、浸潤式微影術、電子束寫入、離子束寫入、以及分子壓印技術。
第一圖案化阻劑層30的材料為具有在曝露於熱或光後在阻劑內產生一酸成分的機制。例如,當第一圖案化阻劑層30為正型阻劑時,可包括甲基丙烯酸酯樹脂、丙烯酸酯樹脂、酚醛樹脂、及二偶氮化物(naphthoquinonediazide)光敏劑。而且,利用酸產生機制的化學放大阻劑也可用於第一圖案化阻劑層30。其他形式的阻劑材料也可作為第一圖案化阻劑層30,只要此阻劑材料在適當熱或光處理後,利用反應系統產生酸即可。
第一圖案化阻劑層30可進一步進行硬化製程。硬化製程可包括電漿處理、紫外線(UV)固化、離子植入轟擊、電子束處理、或這些方法的組合。
請參照第2圖,利用傳統的旋轉塗佈法,在材料層20上形成保護層40,例如用以增加第一圖案化阻劑層30的抗蝕刻性及/或不溶解性。保護層40實質上填滿第一圖案化阻劑層30上的開口。保護層40實質上覆蓋材料層20的一部分,被覆蓋的部分為第一圖案化阻劑層30中的開口的露出的部分,且保護層40覆蓋第一圖案化阻劑層30的部分具有一厚度。例如,保護層40具有約100Å-約2000Å的厚度。
在一實施例中,保護層40包括水溶性聚合物層。另一實施例中,保護層40包括水溶性可交聯材料層。傳統使用以醇為基質的保護層用以強化阻劑層的抗蝕刻性。此材料較水更為疏水性,在隨後的顯影製程中,阻劑層更容易溶解,且傾向於損壞而形成圓角、頂面損失、及關鍵尺寸偏斜。本發明的一觀點為使用玻璃轉移溫度(Tg)小於150℃的水溶性保護層。此保護層較以醇為基質的保護層更具親水性,對阻劑層造成較小的損害、較少的頂面損失、較少圓角、及較少的阻劑圖案剝蝕。
保護層40除了包括水溶性聚合物或水溶性可交聯層之外,也可包括酸產生物、鹼產生物、界面活性劑、終止劑、及/或交聯劑。在一實施例中,此交聯劑包括具有選自-OH、-COOH、-(C=O)O-R組成的群組之官能基的材料。
以水為基質的保護層40也可包括富含矽的材料。另一實施例中,保護層40包括一有機聚合物。此有機聚合物可包含例如單鍵、雙鍵、及三鍵的材料,包括芐基或 苯基聚合物、矽分子或矽聚合物、或這些的組合。這些鍵結可增加抗蝕刻性。相較於具有較少雙鍵結構、三級碳結構、或不具有矽的常態聚合物,此有機聚合物及含矽聚合物具有實質上較高的抗蝕刻性。此有機聚合物的聚合材料可以進行交聯作用。保護層40可經過熱烤而交聯。當保護層40包括含矽聚合物時,此聚合物可包括一含矽材料、碳化物、含金屬材料、聚合物、或這些的組合。其他實施例中,含金屬材料包括例如鈦、氮化鈦、鋁、鉭、矽、銅、鎢、氮化鉭、或這些組合的金屬。其他實施例中,含矽材料可包括氧化矽、碳化矽、氮化矽、氧氮化矽、或這些的組合。在塗佈保護層40後,裝置2在例如約80℃-約230℃溫度下烘烤約10秒-約120秒。
藉由發生在第一圖案化阻劑層30與保護層40的界面的反應步驟,例如交聯、擴散、化學鍵結、離子鍵結、及偶極-偶極作用,可使第一圖案化阻劑層30具有更佳抗蝕刻性及/或更佳抗溶劑性,因此第一圖案化阻劑層30在之後的製程步驟中成為不溶解性,此述之後的製程步驟例如在第一圖案化阻劑層30上塗佈第二圖案化阻劑層或顯影步驟。
在交聯的反應過程中,在暴露於熱或光時,酸分子自第一圖案化阻劑層30擴散到保護層40,且交聯反應會發生在第一圖案化阻劑層30與保護層40的界面。另一實施例中,當光阻層本身含有的酸不足夠時,酸可來自選擇性的酸處理步驟或是光處理步驟,以進行交聯反應。第3圖顯示在顯影步驟以移除部分未反應或未交聯 的保護層40之後,在第一圖案化阻劑層30上或其內部形成一反應層或交聯層50。可以理解的是,大部分的水溶性保護層40沒有交聯,不受酸反應的影響,而且可藉由液體顯影劑移除。在第一圖案化阻劑層30與保護層40間的交聯反應,以及在第一圖案化阻劑層30上形成的交聯層50的厚度,可根據希望的條件控制。交聯反應應根據第一圖案化阻劑層30與保護層40間的反應性、第一圖案化阻劑層30的形狀及厚度、以及交聯層50所希望的厚度而最佳化。此交聯反應的有效過程控制可藉由混合烘烤溫度及處理時間而決定。特別是當控制了加熱時間與交聯時間後,交聯層的厚度也可受到控制。此方法確保非常良好的反應控制。在一實施例中,裝置2在約80℃-約250℃的溫度下熱處理約15秒-約300秒。另一實施例中,裝置2在約110℃-約160℃的溫度下熱處理約30秒-約90秒。一實施例中,交聯層50具有約10Å-約400Å的厚度。此加熱處理可使用紫外線、遠紅外線、加熱器、熱板、百萬頻率超音速(mega sonic)、微波、加熱線圈、及未來發展的加熱方法。
在上述熱處理的同時或之前,可施加光照使交聯層50在第一圖案化阻劑層30上形成。此光源可使用包括例如汞燈、KrF準分子雷射、ArF準分子雷射、或類似的光源,其是根據第一圖案化阻劑層30的光感波長而決定。光源並非關鍵,只要曝光後可產生酸即可,適當的光源或曝光是根據第一圖案化阻劑層30的感光波長而決定。
在第一圖案化阻劑層30上形成反應層50的擴散過 程中,保護層40可包括如上述的Si、Ti、Ta,以及單鍵、雙鍵、及三鍵材料,例如芐基或苯基聚合物。保護層40也可包括交聯劑,例如胺、二胺聚合物或三胺聚合物,與第一圖案化阻劑層30形成交聯。上述熱處理可使用紫外線、遠紅外線、加熱器、熱板、百萬頻率超音速(mega sonic)、微波、加熱線圈及未來發展的加熱方法。此熱處理會使聚合物分子、原子、及/或矽原子實質上由保護層40擴散到第一圖案化阻劑層30,因此強化隨後形成的抗蝕刻性,以及使得之後的製程步驟中,第一圖案化阻劑層30實質上為不溶解性。在一實施例中,半導體裝置2在約50℃-約250℃的溫度下熱處理約10秒-約5分鐘。另一實施例中,裝置2在約100℃-約150℃的溫度下熱處理約60秒-約2分鐘。另一實施例中,裝置2經熱處理,由傳統約10-1000Kw/Hz的百萬頻率超音速(mega sonic)電力熱處理約5秒-約5分鐘。
反應層50也可經由化學鍵結步驟,在第一圖案化阻劑層30上形成。根據本發明的觀點,保護層40的官能基與第一圖案化阻劑層30的官能基反應,以形成反應層50。一實施例中,保護層40的官能基包括羥基及胺基,第一圖案化阻劑層30的官能基包括內酯、羧酸、及羥基。此化學反應後,可進行熱處理以增加之後步驟中的阻劑圖案的抗蝕刻性。此熱處理過程可使用硬板烘烤。一實施例中,半導體裝置2在約80℃-約250℃的溫度烘烤約15秒-約2分鐘。另一實施例中,半導體裝置2在約110℃-約150℃的溫度烘烤約60秒-約90秒。
此反應步驟之後,第3圖顯示移除保護層40未反應的部份,留下形成於第一圖案化阻劑層30上或內部的反應層50。保護層40未反應的部份可以傳統溶劑及未來發展的溶劑移除,例如液體顯影劑,例如去離子水、四甲基氫氧化銨(TMAH)、異丁醇溶劑、異戊醇溶劑、異丙醇(IPA)、丙二醇單甲基醚(PGME)、環戊醇、丙二醇單甲基醚乙酯(PGMEA)、及環己醇。應用此液體顯影劑,移除水溶性保護層40的未反應層,但不影響反應層50。在完成顯影後,裝置2可進行一選擇性的顯影後烘烤,以更加強第一圖案化阻劑層30的抗蝕刻性與抗溶劑性。在一實施例中,裝置2在約80℃-約150℃的溫度下熱處理約30秒-約120秒。在顯影後烘烤之後,可對裝置2進行一選擇性的第二次烘烤步驟,以更加強第一圖案化阻劑層30的交聯性及抗溶劑性。在一實施例中,裝置2可以在約100℃-約250℃的溫度下熱處理約30秒-約120秒。
如第4、5圖所示,第二圖案化阻劑層60藉由第二次微影製程在材料層20上形成。如第4圖所示,第二阻劑層在材料層20與第一圖案化阻劑層30上形成,之後可使用例如加熱此阻劑層以蒸發溶劑。然後藉由使用例如浸潤式微影工具使此阻劑層曝光,將所希望的圖案轉移到此阻劑層上。在進行一選擇性曝光後烘烤之後,以顯影劑漂洗使此曝光過的阻劑層而顯影。之後以水漂洗,移除阻劑層上不想要的部分,而分別在材料層20上留下第一及第二圖案化阻劑層30及60,如第5圖所示。本發明之一實施例,如第5圖所示,第二圖案化阻劑層 60的一部分設置於第一圖案化阻劑層30的開口內。另一實施例中,第二圖案化阻劑層60的一部分鄰接第一圖案化阻劑層30的至少一部分(圖中未顯示)。
本發明的觀點可使顯示於第5圖的第一圖案化阻劑層30的關鍵尺寸(CD),與第1圖所示的第一圖案化阻劑層30的關鍵尺寸(CD)保持實質上未改變。在功能上、形成上、及組成上,第二圖案化阻劑層60可實質上相似於第一圖案化阻劑層30。如第一圖案化阻劑層30,第二圖案化阻劑層60包括複數個第二阻劑圖案以及複數個由第二阻劑圖案定義的開口,使得未被第一及第二阻劑圖案覆蓋的部分材料層20暴露出來。此第二阻劑圖案是根據罩幕上定義的IC結構而構成。一實施例中,第二阻劑圖案設置於適當的位置,使得第二阻劑圖案之一介於第一阻劑圖案的兩個相鄰的圖案之間。同樣地,第二阻劑圖案的兩個相鄰的圖案之間插入有第一阻劑圖案之一。
第二圖案化阻劑層60的構成對應於第一圖案化阻劑層30,因此可利用雙重圖案化結構。第一及第二圖案化阻劑層30、60中的開口,可各自配置以達到間距分離。在形成第一及第二阻劑圖案後,間距減半,此間距是由第一阻劑圖案的一圖案到相鄰的第二阻劑圖案,因而形成一減少的最小圖案尺寸。在一實施例中,由第一圖案化阻劑層的一圖案到相鄰的第二圖案化阻劑層間的間距小於約200nm。另一實施例中,由第一圖案化阻劑層的一圖案到相鄰的第二圖案化阻劑層間的間距小於約1μm。第一圖案化阻劑層30與第二圖案化阻劑層60所定 義的開口可形成多種金屬連接的接觸孔或溝槽。
如第6圖所示,使用第一圖案化阻劑層30與第二圖案化阻劑層60分別作為罩幕並施以蝕刻的步驟,分別移除在第一圖案化阻劑層30與第二圖案化阻劑層60中的開口內的材料層20。可使用適當的蝕刻製程,例如濕化學蝕刻或乾電漿剝除。一實施例中,蝕刻步驟可使用CF4、C3F8、C4F8、CHF3、CH2F2的亁蝕刻或是緩衝液氫氟酸(buttered hydrofluoric acid;BHF)、過氧化氨混合物(ammonia peroxide mixture;APM)、氯化氫過氧化物混合物、氨水、氯化氫水、酸溶液、鹼溶液的濕蝕刻。另一實施例中,蝕刻步驟可使用氮電漿、或是氧、氫、氟化碳、溴化碳及氮電漿的混合物。
如第7圖所示,經由適當蝕刻步驟再一次蝕刻基板10,適當的蝕刻步驟包括例如亁蝕刻或濕蝕刻。蝕刻製程中第一圖案化阻劑層30與第二圖案化阻劑層60分別被消耗,而且至少一些材料層20也被消耗。剩下的材料層20接著被移除,如第8圖所示。
第9圖顯示一實施例的方法100的微影圖案化之流程圖,此微影圖案化如上述配合第1-8圖的說明。方法100開始於步驟104,在基板上形成具有至少一個開口的第一圖案化阻劑層。步驟106中,在上述第一圖案化阻劑層與上述基板上形成水溶性聚合物層,藉以在此第一圖案化阻劑層與此水溶性聚合物層的界面發生反應。步驟108中,移除未反應的水溶性聚合物層。步驟110中,在基板上形成第二圖案化阻劑層,此第二圖案化阻劑層 的至少一部分被設置於上述第一圖案化阻劑層的至少一個開口內或鄰接於上述第一圖案化阻劑層的至少一部分。步驟112中,使用上述第一圖案化阻劑層與上述第二圖案化阻劑層作為罩幕,蝕刻上述基板。在此方法中,可有多種改變、增加、取代及替換,皆不脫離本發明的精神與範疇內。
本發明揭示一種雙重圖案化的方法,使用水溶性聚合物材料或水溶性可交聯材料,取代傳統材料,形成出具有整體強化的抗蝕刻性的阻劑圖案,可用於形成次微米半導體裝置所需的細粒度圖形。使用這些材料導致圖案化的阻劑層較不傾向於頂部損失、圓角、以及阻劑圖案損傷。
已說明多種微影圖案化的具體實施例。在不脫離本發明範圍內,可有其他的改良、改變、增加及延伸。例如,在阻劑層上施加硬化過程,使用電漿處理、UV固化、離子植入、或電子束處理。另一實施例中,阻劑層、含矽晶層、或含金屬層可使用不同溶劑,避免混合或相互擴散。上述所有技術,包括硬化及利用不同溶劑,皆可有替代的實施或結合,根據表面配置與製程過程而定。
前述說明已勾勒出數個具體實施例的輪廓,熟知此項技術者可更加了解上述的詳細說明。熟知此項技術者應了解可容易使用本揭露之發明作為基礎,用以設計或改良其他步驟及結構,進行相同的目的及/或達到相同於此述具體實施例的效果。熟知此項技術者也應了解,此等同的構造不脫離本案發明的精神與範圍,而且在不偏 離本案發明的精神與範圍內,上述實施例可有多種變化、取代及替換。
雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何熟悉此項技藝者,在不脫離本發明之精神和範圍內,當可做些許更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
2‧‧‧半導體裝置
10‧‧‧基板
20‧‧‧材料層
30‧‧‧第一圖案化阻劑層
40‧‧‧保護層
50‧‧‧水溶性聚合物層
60‧‧‧第二圖案化阻劑層
第1-8圖顯示本發明一實施例的剖面圖,顯示在不同製程階段中的裝置。
第9圖顯示本發明的一實施例的微影圖案化方法的流程圖。
2‧‧‧半導體裝置
10‧‧‧基板
20‧‧‧材料層
30‧‧‧第一圖案化阻劑層
50‧‧‧水溶性聚合物層
60‧‧‧第二圖案化阻劑層

Claims (18)

  1. 一種微影方法,包括:在基板上形成第一圖案化阻劑層,上述第一圖案化阻劑層包括至少一個開口,其中該第一圖案化阻劑層本身含有的酸不足以進行交聯反應;在上述第一圖案化阻劑層與上述基板上形成一水溶性聚合物層,其中該水溶性聚合物層的形成包括對該水溶性聚合物層進行酸處理,藉以在上述第一圖案化阻劑層及上述水溶性聚合物層的界面發生交聯反應;以及在上述基板上形成第二圖案化阻劑層,其中上述第二圖案化阻劑層的至少一部分被設置於上述第一圖案化阻劑層的至少一個開口內或鄰接上述第一圖案化阻劑層的至少一部分。
  2. 如申請專利範圍第1項所述的微影方法,更包括移除未反應的水溶性聚合物層。
  3. 如申請專利範圍第1項所述的微影方法,更包括對該第一圖案化阻劑層進行硬化製程,該硬化製程包括進行電漿處理、紫外線固化、離子植入轟擊、電子束處理、或這些方法的組合。
  4. 如申請專利範圍第1項所述的微影方法,其中上述水溶性聚合物層包括一酸產生物。
  5. 如申請專利範圍第1項所述的微影方法,其中上述水溶性聚合物層包括一鹼產生物。
  6. 如申請專利範圍第1項所述的微影方法,其中上述水溶性聚合物層包括一界面活性劑。
  7. 如申請專利範圍第1項所述的微影方法,其中上述水溶性聚合物層包括一終止劑。
  8. 如申請專利範圍第1項所述的微影方法,其中上述水溶性聚合物層包括交聯劑。
  9. 如申請專利範圍第8項所述的微影方法,其中上述交聯劑包括具有選自由-OH;-COOH;及-(C=O)O-R組成的族群之官能基的材料。
  10. 如申請專利範圍第1項所述的微影方法,其中上述水溶性聚合物層的玻璃轉移溫度(Tg)<150℃。
  11. 如申請專利範圍第1項所述的微影方法,其中上述第一圖案化阻劑層與相鄰的上述第二圖案化阻劑層之一間的間距小於1μm。
  12. 一種微影方法,包括:在基板上形成第一圖案化阻劑層,上述第一圖案化阻劑層包括至少一個開口,其中該第一圖案化阻劑層本身含有的酸不足以進行交聯反應;在上述第一圖案化阻劑層與上述基板上沉積一水溶性可交聯材料層,其中該沉積包括對該水溶性可交聯材料層進行酸處理;藉以在上述第一圖案化阻劑層及上述水溶性可交聯材料層的界面發生交聯反應,而在上述第一圖案化阻劑層上形成一反應層;以及在上述基板上形成第二圖案化阻劑層,其中上述第二圖案化阻劑層的至少一部分被設置於上述第一圖案化阻劑層的至少一個開口內或鄰接上述第一圖案化阻劑層 的至少一部分。
  13. 如申請專利範圍第12項所述的微影方法,更包括移除未反應的水溶性可交聯材料層。
  14. 如申請專利範圍第12項所述的微影方法,更包括對該水溶性可交聯材料層進行光處理,該光處理包括施以由汞燈、KrF準分子雷射或ArF準分子雷射發出的光源。
  15. 如申請專利範圍第12項所述的微影方法,其中上述水溶性可交聯材料層包括可與選自由-COOH;及-(C=O)O-R組成的族群之官能基反應的材料。
  16. 如申請專利範圍第12項所述的微影方法,其中上述水溶性可交聯材料層包括一酸產生物。
  17. 如申請專利範圍第12項所述的微影方法,其中上述水溶性可交聯材料層包括一終止劑。
  18. 如申請專利範圍第12項所述的微影方法,其中上述第一圖案化阻劑層與相鄰的上述第二圖案化阻劑層之一間的間距小於1μm。
TW098113428A 2008-09-15 2009-04-23 微影方法 TWI387998B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/210,737 US8158335B2 (en) 2008-09-15 2008-09-15 High etch resistant material for double patterning

Publications (2)

Publication Number Publication Date
TW201011812A TW201011812A (en) 2010-03-16
TWI387998B true TWI387998B (zh) 2013-03-01

Family

ID=42007540

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098113428A TWI387998B (zh) 2008-09-15 2009-04-23 微影方法

Country Status (3)

Country Link
US (1) US8158335B2 (zh)
CN (2) CN101676801A (zh)
TW (1) TWI387998B (zh)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
JP5276824B2 (ja) * 2007-10-17 2013-08-28 スパンション エルエルシー 半導体装置の製造方法
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2010027978A (ja) * 2008-07-23 2010-02-04 Toshiba Corp パターン形成方法
US8273634B2 (en) * 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8268543B2 (en) 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US9330934B2 (en) * 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
JP5573356B2 (ja) * 2009-05-26 2014-08-20 信越化学工業株式会社 レジスト材料及びパターン形成方法
US20110129991A1 (en) * 2009-12-02 2011-06-02 Kyle Armstrong Methods Of Patterning Materials, And Methods Of Forming Memory Cells
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
US8394573B2 (en) * 2010-09-16 2013-03-12 International Business Machines Corporation Photoresist compositions and methods for shrinking a photoresist critical dimension
CN102478764B (zh) * 2010-11-30 2013-08-07 中芯国际集成电路制造(北京)有限公司 双重图形化方法
CN102566258B (zh) * 2010-12-29 2013-09-18 中芯国际集成电路制造(上海)有限公司 双压印方法
CN102591139B (zh) * 2011-01-13 2013-07-03 中国科学院微电子研究所 微细结构的光刻方法
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8772183B2 (en) * 2011-10-20 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an integrated circuit
KR101581603B1 (ko) * 2011-12-29 2015-12-30 인텔 코포레이션 이중 패터닝 리소그래피 기술
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
CN102738076B (zh) * 2012-07-27 2014-10-22 上海华力微电子有限公司 通孔优先铜互连制作方法
CN102866574B (zh) * 2012-10-12 2014-08-13 上海华力微电子有限公司 相移光掩模制作方法
US9123662B2 (en) * 2013-03-12 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing defects in patterning processes
WO2015180966A2 (en) * 2014-05-28 2015-12-03 Asml Netherlands B.V. Methods for providing lithography features on a substrate by self-assembly of block copolymers
US10394126B2 (en) 2015-07-17 2019-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography process and materials
KR20180058125A (ko) * 2016-11-23 2018-05-31 에스케이하이닉스 주식회사 임프린트 공정을 이용한 패턴 형성 방법
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US20190198325A1 (en) 2017-12-22 2019-06-27 International Business Machines Corporation Extreme ultraviolet (euv) lithography patterning methods utilizing euv resist hardening
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US10658179B2 (en) * 2018-08-17 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure of middle layer removal
KR20210006727A (ko) 2019-07-09 2021-01-19 삼성전자주식회사 복수의 채널 패턴을 포함하는 반도체 소자 제조 방법
CN112530793B (zh) * 2019-09-18 2022-12-23 芯恩(青岛)集成电路有限公司 一种光刻-冻结-光刻-刻蚀双图案化方法
US20210200092A1 (en) * 2019-12-31 2021-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming photoresist pattern

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU1581183A (en) * 1982-06-16 1983-12-22 Albright & Wilson Limited Process for production of polymers of unsaturated acids
KR100475080B1 (ko) * 2002-07-09 2005-03-10 삼성전자주식회사 Si-콘테이닝 수용성 폴리머를 이용한 레지스트 패턴형성방법 및 반도체 소자의 제조방법
JP4235466B2 (ja) * 2003-02-24 2009-03-11 Azエレクトロニックマテリアルズ株式会社 水溶性樹脂組成物、パターン形成方法及びレジストパターンの検査方法
KR20060079957A (ko) * 2005-01-04 2006-07-07 삼성에스디아이 주식회사 포토리소그래피용 연질 포토마스크, 그 제조방법, 이를채용한 패턴 형성 방법
US7566525B2 (en) * 2005-06-14 2009-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming an anti-etching shielding layer of resist patterns in semiconductor fabrication
US7759253B2 (en) * 2006-08-07 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method and material for forming a double exposure lithography pattern
US7851136B2 (en) * 2006-05-31 2010-12-14 Globalfoundries Inc. Stabilization of deep ultraviolet photoresist
JP5138916B2 (ja) * 2006-09-28 2013-02-06 東京応化工業株式会社 パターン形成方法
JP4671046B2 (ja) * 2006-10-12 2011-04-13 信越化学工業株式会社 レジスト下層膜材料ならびにパターン形成方法
US7923200B2 (en) * 2007-04-09 2011-04-12 Az Electronic Materials Usa Corp. Composition for coating over a photoresist pattern comprising a lactam
US8039195B2 (en) * 2008-02-08 2011-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Si device making method by using a novel material for packing and unpacking process
JP5573356B2 (ja) * 2009-05-26 2014-08-20 信越化学工業株式会社 レジスト材料及びパターン形成方法

Also Published As

Publication number Publication date
CN106226998A (zh) 2016-12-14
US20100068656A1 (en) 2010-03-18
TW201011812A (en) 2010-03-16
CN101676801A (zh) 2010-03-24
US8158335B2 (en) 2012-04-17
CN106226998B (zh) 2020-01-14

Similar Documents

Publication Publication Date Title
TWI387998B (zh) 微影方法
US8153350B2 (en) Method and material for forming high etch resistant double exposure patterns
TWI798185B (zh) 微影圖案化的方法
US7759253B2 (en) Method and material for forming a double exposure lithography pattern
US8258056B2 (en) Method and material for forming a double exposure lithography pattern
US7482280B2 (en) Method for forming a lithography pattern
JP4921898B2 (ja) 半導体素子の製造方法
JP5944484B2 (ja) リソグラフィ適用において感放射線材料のラインを幅狭化する方法
US8178287B2 (en) Photoresist composition and method of forming a resist pattern
US9323155B2 (en) Double patterning strategy for contact hole and trench in photolithography
US8338086B2 (en) Method of slimming radiation-sensitive material lines in lithographic applications
TWI701711B (zh) 半導體裝置的製作方法
JP2001023893A (ja) フォトレジストパターンの形成方法
JP4105106B2 (ja) 微細パターン形成方法
CN108983546A (zh) 微影方法
CN108231548B (zh) 半导体装置的制作方法
KR100772801B1 (ko) 반도체 소자의 제조 방법
TWI401542B (zh) 移除上塗層而減低浸潤式微影之缺陷
TWI515768B (zh) 微影圖案化方法及雙重圖案化方法
JP2009139695A (ja) 半導体装置の製造方法
US7622376B2 (en) Method for manufacturing semiconductor device using polymer
JP2012109322A (ja) パターン形成方法
TWI761987B (zh) 負光阻及其顯影的方法
KR20010037049A (ko) 실리레이션을 이용한 리소그라피 방법
US11682559B2 (en) Method to form narrow slot contacts

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees