CN106226998A - 光刻方法 - Google Patents

光刻方法 Download PDF

Info

Publication number
CN106226998A
CN106226998A CN201610770956.9A CN201610770956A CN106226998A CN 106226998 A CN106226998 A CN 106226998A CN 201610770956 A CN201610770956 A CN 201610770956A CN 106226998 A CN106226998 A CN 106226998A
Authority
CN
China
Prior art keywords
mentioned
blocking layer
layer
patterning
patterning blocking
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610770956.9A
Other languages
English (en)
Other versions
CN106226998B (zh
Inventor
叶孝蔚
张庆裕
陈建宏
林致安
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106226998A publication Critical patent/CN106226998A/zh
Application granted granted Critical
Publication of CN106226998B publication Critical patent/CN106226998B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

一种光刻方法,包括:在基板上形成第一图案化阻剂层,上述第一图案化阻剂层包括至少一个开口;在上述第一图案化阻剂层及上述基板上形成一水溶性聚合物层,藉以在上述第一图案化阻剂层及上述水溶性聚合物层的界面发生反应;以及在上述基板上形成第二图案化阻剂层,其中上述第二图案化阻剂层的至少一部分设置于上述第一图案化阻剂层的至少一个开口内或邻接上述第一图案化阻剂层的至少一部分。然后使用上述第一图案化阻剂层与第二图案化阻剂层作为掩模,并蚀刻上述基板。本发明形成出具有整体强化的抗蚀刻性的阻剂图案,可用于形成次微米半导体装置所需的细粒度图形。使用这些材料导致图案化的阻剂层较不倾向于顶部损失、圆角、以及阻剂图案损伤。

Description

光刻方法
本申请是申请日为2009年5月8日、申请号为200910138199.3、发明名称为“光刻方法”申请的分案申请。
技术领域
本发明涉及一种半导体工艺的光刻方法,特别涉及双重图案化的光刻方法。
背景技术
半导体技术持续地发展至更小的图案尺寸,65纳米、45纳米或者以下。用于制造此种小图案尺寸的图案化光致抗蚀剂层通常具有高深宽比。因为许多因素,难以维持所希望的关键尺寸(critical dimension;CD)。例如,因为阻剂层(resist layer)材料容易受到蚀刻工艺影响,在光刻图案工艺中,可能会出现图案崩塌及关键尺寸降低。当使用双重图案化技术时,可能会产生新的问题,例如圆角、阻剂图案崩塌、抗蚀刻性、不佳的外形、曝光焦点错误、高工艺成本、以及低生产量。
发明内容
为了解决现有技术中存在的上述问题,本发明提供一种光刻方法,包括:在基板上形成第一图案化阻剂层,上述第一图案化阻剂层包括至少一个开口,其中上述第一图案化阻剂层本身含有的酸不足以进行交联反应;在上述第一图案化阻剂层和上述基板上形成保护层,其中上述保护层为水溶性聚合物层且包括含硅材料;通过施加光照使上述第一图案化阻剂层产生酸,且接着加热使上述酸从上述第一图案化阻剂层扩散到上述第一图案化阻剂层和上述水溶性聚合物层的界面以及使上述水溶性聚合物层中的聚合物分子、原子、和/或硅原子扩散到上述界面,进而在上述界面形成交联层;以及在形成上述交联层之后,在上述基板上形成第二图案化阻剂层,其中上述第二图案化阻剂层的至少一部分设置于上述第一图案化阻剂层的至少一个开口内或邻接上述第一图案化阻剂层的至少一部分。
本发明还提供一种光刻方法,包括:在基板上形成第一图案化阻剂层,上述第一图案化阻剂层包括至少一个开口,其中上述第一图案化阻剂层包括第一官能基,且第一官能基包括内酯、羧酸或羟基;在上述第一图案化阻剂层和上述基板上形成保护层,其中上述保护层包括水溶性聚合物层或水溶性可交联层,且上述保护层包括第二官能基,且第二官能基包括羟基或胺基;使上述第一官能基与上述第二官能基反应,以在上述第一图案化阻剂层上形成反应层;在形成上述反应层之后,进行热处理以增加上述第一图案化阻剂层的抗蚀刻性;在进行上述热处理之后,移除上述保护层未反应的部分;在移除上述保护层未反应的部分之后,进行一次或两次烘烤步骤;以及在进行上述烘烤步骤之后,在上述基板上形成第二图案化阻剂层,其中上述第二图案化阻剂层的至少一部分设置于上述第一图案化阻剂层的至少一个开口内或邻接上述第一图案化阻剂层的至少一部分。
本发明揭示一种双重图案化的方法,使用水溶性聚合物材料或水溶性可交联材料,取代传统材料,形成出具有整体强化的抗蚀刻性的阻剂图案,可用于形成次微米半导体装置所需的细粒度图形。使用这些材料导致图案化的阻剂层较不倾向于顶部损失、圆角、以及阻剂图案损伤。
附图说明
图1-图8为显示本发明一实施例的剖面图,显示在不同工艺阶段中的装置。
图9为显示本发明的一实施例的光刻图案化方法的流程图。
上述附图中的附图标记说明如下:
2~半导体装置
10~基板
20~材料层
30~第一图案化阻剂层
40~保护层
50~水溶性聚合物层
60~第二图案化阻剂层
具体实施方式
本发明揭示一种双重图案化的方法,使用水溶性聚合物材料或水溶性可交联材料取代传统以醇为基质的材料,产生具有整体强化抗蚀刻性的阻剂图案,可用于形成次微米半导体装置所需的细粒度图形。根据图1-图8显示一种光刻图案化方法,说明装置2在工艺的各阶段的实施例。
图1显示一具有一硅基板10的半导体装置2。基板10也可由其他适当的半导体材料构成,例如Ge、SiGe、或者GaAs。再者,基板10可由其他适当元素半导体构成,例如钻石;或者由适当的化合物半导体构成,例如碳化硅、砷化铟、或磷化铟;或由适当的合金半导体构成,例如碳化硅锗、磷化镓砷、或磷化镓铟。基板10也包括多种掺杂的区域、介电图形、及多层内连线。基板10也可以为非半导体材料,例如薄膜晶体管液晶显示器(TFT-LCD)装置用的玻璃基板,或者光掩模(掩模或图幕)用的熔融石英或氟化钙。基板10也包括一用来图案化的薄层,例如介电层、半导体层、或者多晶硅层。
在基板10上形成一选择性的材料层20,其功能为硬掩模、六甲基二硅氮烷(hexamethyldisilizane;HMDS)、和/或底层抗反射涂布层(bottom anti-reflectivecoating;BARC)。一实施例中,材料层20具有约-约的厚度。例如,材料层20可具有约的厚度。另一实施例中,材料层20具有约-约的厚度。材料层20也可以有其他参数落在选择的范围内。例如材料层20具有折射系数在约1-3范围内,以及具有消光系数(吸光值)κ在约0.01-约1.0范围内。在一实施例中,消光系数κ在约0.1-约0.5的范围。另一实施例中,材料层20可具有折射系数约1.75及消光系数约0.2。
在此实施例中,材料层20包括一有机聚合物。材料层20可实质上不含硅。材料层20可包括一光致抗蚀剂(或阻剂)为正型或负型、具有或不具有光感材料。材料层20可包括一适当的底层抗反射涂布(BARC)材料,更可以具有一顶层以覆盖此底层抗反射涂布(BARC)材料。此顶层可具有约的厚度,实质上不含有羟基及羧基。材料层20可包括传统的聚合物材料或阻剂材料。例如材料层20可为t-丁氧基羰基(t-BOC)阻剂、缩醛阻剂以及传统的环境安定化学放大型光致抗蚀剂剂(environmentally stabilized chemically amplifiedphotoresist;ESCAP)其中的一种。材料层20由聚合材料所构成,此聚合材料可以进行交联作用。例如,此聚合材料可以旋转涂布或利用化学气相沉积术(chemical vapordeposition;CVD)沉积在基板10上,然后进行烘烤过程温度在约90℃-约300℃,形成交联。在另一实施例中,此温度可为约100℃-约180℃。此聚合材料也可为非交联型,这种情形下,材料层20可使用一溶剂,此溶剂不能溶解阻剂层或不会被形成于材料层20上的阻剂层所溶解。例如,材料层20可使用丁醇作为溶剂。
在另一实施例中,材料层20可使用其他不同于保护层的适当材料,此保护层形成于材料层20上,以保护材料层20上的阻剂图案。例如,材料层20可包括氮化硅或氮氧化硅,以区分含有氧化硅的保护层。此材料层与此保护层在蚀刻过程中具有实质上不同的蚀刻速度。
然后在材料层20上形成第一图案化阻剂层30。第一图案化阻剂层30包括多个开口,因此在此开口内,部分材料层20在开口中露出。第一图案化阻剂层30的开口是根据预定的图案而设置。第一图案化阻剂层30具有约-约的厚度范围。另一实施例中,第一图案化阻剂层30具有约-约的厚度范围。第一图案化阻剂层30可为正型阻剂或负型阻剂。在使用极短紫外线(extreme ultraviolet;EUV)入射光束形成先进半导体图案化时,第一图案化阻剂层30可使用化学放大(chemically amplified;CA)阻剂。第一图案化阻剂层30由光刻工艺而形成,其可包括阻剂涂布、阻剂软烤、掩模对准、阻剂曝光、曝光后烘烤(post-exposure bake;PEB)、显影、及阻剂硬烤的工艺步骤。此曝光步骤可在入射光束照射下,经由具有预先确定的图案(或相反的图案)的光掩模,曝照半导体装置2。此入射光束可为紫外光(UV)或极短紫外光(EUV),例如,来自氟化铬(KrF)准分子激光的248nm光束,或是来自氟化氩(ArF)准分子激光的193nm光束。此光刻工艺可使用其他曝光模式或技术,例如轴上、离轴、四偶极、或偶极曝光技术。此光刻图案化可由其他适当方法进行或取代,例如无光掩模的光刻术、浸润式光刻术、电子束写入、离子束写入、以及分子压印技术。
第一图案化阻剂层30的材料为具有在曝露于热或光后在阻剂内产生一酸成分的机制。例如,当第一图案化阻剂层30为正型阻剂时,可包括甲基丙烯酸酯树脂、丙烯酸酯树脂、酚醛树脂、及二偶氮化物(naphthoquinonediazide)光敏剂。而且,利用酸产生机制的化学放大阻剂也可用于第一图案化阻剂层30。其他形式的阻剂材料也可作为第一图案化阻剂层30,只要此阻剂材料在适当热或光处理后,利用反应系统产生酸即可。
第一图案化阻剂层30可进一步进行硬化工艺。硬化工艺可包括等离子体处理、紫外线(UV)固化、离子注入轰击、电子束处理、或这些方法的组合。
请参照图2,利用传统的旋转涂布法,在材料层20上形成保护层40,例如用以增加第一图案化阻剂层30的抗蚀刻性和/或不溶解性。保护层40实质上填满第一图案化阻剂层30上的开口。保护层40实质上覆盖材料层20的一部分,被覆盖的部分为第一图案化阻剂层30中的开口的露出的部分,且保护层40覆盖第一图案化阻剂层30的部分具有一厚度。例如,保护层40具有约-约的厚度。
在一实施例中,保护层40包括水溶性聚合物层。另一实施例中,保护层40包括水溶性可交联材料层。传统使用以醇为基质的保护层用以强化阻剂层的抗蚀刻性。此材料较水更为疏水性,在随后的显影工艺中,阻剂层更容易溶解,且倾向于损坏而形成圆角、顶面损失、及关键尺寸偏斜。本发明的一观点为使用玻璃转移温度(Tg)小于150℃的水溶性保护层。此保护层较以醇为基质的保护层更具亲水性,对阻剂层造成较小的损害、较少的顶面损失、较少圆角、及较少的阻剂图案剥蚀。
保护层40除了包括水溶性聚合物或水溶性可交联层之外,也可包括酸产生物、碱产生物、界面活性剂、终止剂、和/或交联剂。在一实施例中,此交联剂包括具有选自-OH、-COOH、-(C=O)O-R组成的群组的官能基的材料。
以水为基质的保护层40也可包括富含硅的材料。另一实施例中,保护层40包括一有机聚合物。此有机聚合物可包含例如单键、双键、及三键的材料,包括芐基或苯基聚合物、硅分子或硅聚合物、或这些的组合。这些键结可增加抗蚀刻性。相较于具有较少双键结构、三级碳结构、或不具有硅的常态聚合物,此有机聚合物及含硅聚合物具有实质上较高的抗蚀刻性。此有机聚合物的聚合材料可以进行交联作用。保护层40可经过热烤而交联。当保护层40包括含硅聚合物时,此聚合物可包括一含硅材料、碳化物、含金属材料、聚合物、或这些的组合。其他实施例中,含金属材料包括例如钛、氮化钛、铝、钽、硅、铜、钨、氮化钽、或这些组合的金属。其他实施例中,含硅材料可包括氧化硅、碳化硅、氮化硅、氧氮化硅、或这些的组合。在涂布保护层40后,装置2在例如约80℃-约230℃温度下烘烤约10秒-约120秒。
通过发生在第一图案化阻剂层30与保护层40的界面的反应步骤,例如交联、扩散、化学键结、离子键结、及偶极-偶极作用,可使第一图案化阻剂层30具有更佳抗蚀刻性和/或更佳抗溶剂性,因此第一图案化阻剂层30在之后的工艺步骤中成为不溶解性,此述之后的工艺步骤例如在第一图案化阻剂层30上涂布第二图案化阻剂层或显影步骤。
在交联的反应过程中,在暴露于热或光时,酸分子自第一图案化阻剂层30扩散到保护层40,且交联反应会发生在第一图案化阻剂层30与保护层40的界面。另一实施例中,当光致抗蚀剂层本身含有的酸不足够时,酸可来自选择性的酸处理步骤或是光处理步骤,以进行交联反应。图3显示在显影步骤以移除部分未反应或未交联的保护层40之后,在第一图案化阻剂层30上或其内部形成一反应层或交联层50。可以理解的是,大部分的水溶性保护层40没有交联,不受酸反应的影响,而且可通过液体显影剂移除。在第一图案化阻剂层30与保护层40间的交联反应,以及在第一图案化阻剂层30上形成的交联层50的厚度,可根据希望的条件控制。交联反应应根据第一图案化阻剂层30与保护层40间的反应性、第一图案化阻剂层30的形状及厚度、以及交联层50所希望的厚度而最佳化。此交联反应的有效过程控制可通过混合烘烤温度及处理时间而决定。特别是当控制了加热时间与交联时间后,交联层的厚度也可受到控制。此方法确保非常良好的反应控制。在一实施例中,装置2在约80℃-约250℃的温度下热处理约15秒-约300秒。另一实施例中,装置2在约110℃-约160℃的温度下热处理约30秒-约90秒。一实施例中,交联层50具有约-约的厚度。此加热处理可使用紫外线、远红外线、加热器、热板、百万频率超音速(mega sonic)、微波、加热线圈、及未来发展的加热方法。
在上述热处理的同时或之前,可施加光照使交联层50在第一图案化阻剂层30上形成。此光源可使用包括例如汞灯、KrF准分子激光、ArF准分子激光、或类似的光源,其是根据第一图案化阻剂层30的光感波长而决定。光源并非关键,只要曝光后可产生酸即可,适当的光源或曝光是根据第一图案化阻剂层30的感光波长而决定。
在第一图案化阻剂层30上形成反应层50的扩散过程中,保护层40可包括如上述的Si、Ti、Ta,以及单键、双键、及三键材料,例如芐基或苯基聚合物。保护层40也可包括交联剂,例如胺、二胺聚合物或三胺聚合物,与第一图案化阻剂层30形成交联。上述热处理可使用紫外线、远红外线、加热器、热板、百万频率超音速(mega sonic)、微波、加热线圈及未来发展的加热方法。此热处理会使聚合物分子、原子、和/或硅原子实质上由保护层40扩散到第一图案化阻剂层30,因此强化随后形成的抗蚀刻性,以及使得之后的工艺步骤中,第一图案化阻剂层30实质上为不溶解性。在一实施例中,半导体装置2在约50℃-约250℃的温度下热处理约10秒-约5分钟。另一实施例中,装置2在约100℃-约150℃的温度下热处理约60秒-约2分钟。另一实施例中,装置2经热处理,由传统约10-1000Kw/Hz的百万频率超音速(megasonic)电力热处理约5秒-约5分钟。
反应层50也可经由化学键结步骤,在第一图案化阻剂层30上形成。根据本发明的观点,保护层40的官能基与第一图案化阻剂层30的官能基反应,以形成反应层50。一实施例中,保护层40的官能基包括羟基及胺基,第一图案化阻剂层30的官能基包括内酯、羧酸、及羟基。此化学反应后,可进行热处理以增加之后步骤中的阻剂图案的抗蚀刻性。此热处理过程可使用硬板烘烤。一实施例中,半导体装置2在约80℃-约250℃的温度烘烤约15秒-约2分钟。另一实施例中,半导体装置2在约110℃-约150℃的温度烘烤约60秒-约90秒。
此反应步骤之后,图3显示移除保护层40未反应的部分,留下形成于第一图案化阻剂层30上或内部的反应层50。保护层40未反应的部分可以传统溶剂及未来发展的溶剂移除,例如液体显影剂,例如去离子水、四甲基氢氧化铵(TMAH)、异丁醇溶剂、异戊醇溶剂、异丙醇(IPA)、丙二醇单甲基醚(PGME)、环戊醇、丙二醇单甲基醚乙酯(PGMEA)、及环己醇。应用此液体显影剂,移除水溶性保护层40的未反应层,但不影响反应层50。在完成显影后,装置2可进行一选择性的显影后烘烤,以更加强第一图案化阻剂层30的抗蚀刻性与抗溶剂性。在一实施例中,装置2在约80℃-约150℃的温度下热处理约30秒-约120秒。在显影后烘烤之后,可对装置2进行一选择性的第二次烘烤步骤,以更加强第一图案化阻剂层30的交联性及抗溶剂性。在一实施例中,装置2可以在约100℃-约250℃的温度下热处理约30秒-约120秒。
如图4、图5所示,第二图案化阻剂层60通过第二次光刻工艺在材料层20上形成。如图4所示,第二阻剂层在材料层20与第一图案化阻剂层30上形成,之后可使用例如加热此阻剂层以蒸发溶剂。然后通过使用例如浸润式光刻工具使此阻剂层曝光,将所希望的图案转移到此阻剂层上。在进行一选择性曝光后烘烤之后,以显影剂漂洗使此曝光过的阻剂层而显影。之后以水漂洗,移除阻剂层上不想要的部分,而分别在材料层20上留下第一及第二图案化阻剂层30及60,如图5所示。本发明的一实施例,如图5所示,第二图案化阻剂层60的一部分设置于第一图案化阻剂层30的开口内。另一实施例中,第二图案化阻剂层60的一部分邻接第一图案化阻剂层30的至少一部分(图中未显示)。
本发明的观点可使显示于图5的第一图案化阻剂层30的关键尺寸(CD),与图1所示的第一图案化阻剂层30的关键尺寸(CD)保持实质上未改变。在功能上、形成上、及组成上,第二图案化阻剂层60可实质上相似于第一图案化阻剂层30。如第一图案化阻剂层30,第二图案化阻剂层60包括多个第二阻剂图案以及多个由第二阻剂图案定义的开口,使得未被第一及第二阻剂图案覆盖的部分材料层20暴露出来。此第二阻剂图案是根据掩模上定义的IC结构而构成。一实施例中,第二阻剂图案设置于适当的位置,使得第二阻剂图案之一介于第一阻剂图案的两个相邻的图案之间。同样地,第二阻剂图案的两个相邻的图案之间插入有第一阻剂图案之一。
第二图案化阻剂层60的构成对应于第一图案化阻剂层30,因此可利用双重图案化结构。第一及第二图案化阻剂层30、60中的开口,可各自配置以达到间距分离。在形成第一及第二阻剂图案后,间距减半,此间距是由第一阻剂图案的一图案到相邻的第二阻剂图案,因而形成一减少的最小图案尺寸。在一实施例中,由第一图案化阻剂层的一图案到相邻的第二图案化阻剂层间的间距小于约200nm。另一实施例中,由第一图案化阻剂层的一图案到相邻的第二图案化阻剂层间的间距小于约1μm。第一图案化阻剂层30与第二图案化阻剂层60所定义的开口可形成多种金属连接的接触孔或沟槽。
如图6所示,使用第一图案化阻剂层30与第二图案化阻剂层60分别作为掩模并施以蚀刻的步骤,分别移除在第一图案化阻剂层30与第二图案化阻剂层60中的开口内的材料层20。可使用适当的蚀刻工艺,例如湿化学蚀刻或干等离子体剥除。一实施例中,蚀刻步骤可使用CF4、C3F8、C4F8、CHF3、CH2F2的干蚀刻或是缓冲液氢氟酸(buttered hydrofluoricacid;BHF)、过氧化氨混合物(ammonia peroxide mixture;APM)、氯化氢过氧化物混合物、氨水、氯化氢水、酸溶液、碱溶液的湿蚀刻。另一实施例中,蚀刻步骤可使用氮等离子体、或是氧、氢、氟化碳、溴化碳及氮等离子体的混合物。
如图7所示,经由适当蚀刻步骤再一次蚀刻基板10,适当的蚀刻步骤包括例如干蚀刻或湿蚀刻。蚀刻工艺中第一图案化阻剂层30与第二图案化阻剂层60分别被消耗,而且至少一些材料层20也被消耗。剩下的材料层20接着被移除,如图8所示。
图9显示一实施例的方法100的光刻图案化之流程图,此光刻图案化如上述配合图1-图8的说明。方法100开始于步骤104,在基板上形成具有至少一个开口的第一图案化阻剂层。步骤106中,在上述第一图案化阻剂层与上述基板上形成水溶性聚合物层,藉以在此第一图案化阻剂层与此水溶性聚合物层的界面发生反应。步骤108中,移除未反应的水溶性聚合物层。步骤110中,在基板上形成第二图案化阻剂层,此第二图案化阻剂层的至少一部分被设置于上述第一图案化阻剂层的至少一个开口内或邻接于上述第一图案化阻剂层的至少一部分。步骤112中,使用上述第一图案化阻剂层与上述第二图案化阻剂层作为掩模,蚀刻上述基板。在此方法中,可有多种改变、增加、取代及替换,皆不脱离本发明的精神与范畴内。
本发明揭示一种双重图案化的方法,使用水溶性聚合物材料或水溶性可交联材料,取代传统材料,形成出具有整体强化的抗蚀刻性的阻剂图案,可用于形成次微米半导体装置所需的细粒度图形。使用这些材料导致图案化的阻剂层较不倾向于顶部损失、圆角、以及阻剂图案损伤。
已说明多种光刻图案化的具体实施例。在不脱离本发明范围内,可有其他的改良、改变、增加及延伸。例如,在阻剂层上施加硬化过程,使用等离子体处理、UV固化、离子注入、或电子束处理。另一实施例中,阻剂层、含硅晶层、或含金属层可使用不同溶剂,避免混合或相互扩散。上述所有技术,包括硬化及利用不同溶剂,皆可有替代的实施或结合,根据表面配置与工艺过程而定。
前述说明已勾勒出数个具体实施例的轮廓,本领域普通技术人员可更加了解上述的详细说明。本领域普通技术人员应了解可容易使用本揭示的发明作为基础,用以设计或改良其他步骤及结构,进行相同的目的和/或达到相同于此述具体实施例的效果。本领域普通技术人员也应了解,此等同的构造不脱离本发明的精神与范围,而且在不偏离本发明的精神与范围内,上述实施例可有多种变化、取代及替换。
虽然本发明已以较佳实施例揭示如上,然其并非用以限定本发明,任何本领域普通技术人员,在不脱离本发明的精神和范围内,当可做些许更动与润饰,因此本发明的保护范围当视所附的权利要求所界定的范围为准。

Claims (10)

1.一种光刻方法,包括:
在基板上形成第一图案化阻剂层,上述第一图案化阻剂层包括至少一个开口,其中上述第一图案化阻剂层本身含有的酸不足以进行交联反应;
在上述第一图案化阻剂层和上述基板上形成保护层,其中上述保护层为水溶性聚合物层且包括含硅材料;
通过施加光照使上述第一图案化阻剂层产生酸,且接着加热使上述酸从上述第一图案化阻剂层扩散到上述第一图案化阻剂层和上述水溶性聚合物层的界面以及使上述水溶性聚合物层中的聚合物分子、原子、和/或硅原子扩散到上述界面,进而在上述界面形成交联层;以及
在形成上述交联层之后,在上述基板上形成第二图案化阻剂层,其中上述第二图案化阻剂层的至少一部分设置于上述第一图案化阻剂层的至少一个开口内或邻接上述第一图案化阻剂层的至少一部分。
2.如权利要求1所述的光刻方法,其中上述交联层形成在上述第一图案化阻剂层的内部。
3.如权利要求1所述的光刻方法,其中上述含硅材料包括氧化硅、碳化硅、氮化硅、氧氮化硅、或其组合。
4.如权利要求1所述的光刻方法,还包括:
在形成上述第二图案化阻剂层之前,使用溶剂移除上述保护层未反应的部分,且上述交联层不被上述溶剂移除;以及
在移除上述保护层未反应的部分之后,进行一次或两次烘烤步骤。
5.一种光刻方法,包括:
在基板上形成第一图案化阻剂层,上述第一图案化阻剂层包括至少一个开口,其中上述第一图案化阻剂层包括第一官能基,且第一官能基包括内酯、羧酸或羟基;
在上述第一图案化阻剂层和上述基板上形成保护层,其中上述保护层包括水溶性聚合物层或水溶性可交联层,且上述保护层包括第二官能基,且第二官能基包括羟基或胺基;
使上述第一官能基与上述第二官能基反应,以在上述第一图案化阻剂层上形成反应层;
在形成上述反应层之后,进行热处理以增加上述第一图案化阻剂层的抗蚀刻性;
在进行上述热处理之后,移除上述保护层未反应的部分;
在移除上述保护层未反应的部分之后,进行一次或两次烘烤步骤;以及
在进行上述烘烤步骤之后,在上述基板上形成第二图案化阻剂层,其中上述第二图案化阻剂层的至少一部分设置于上述第一图案化阻剂层的至少一个开口内或邻接上述第一图案化阻剂层的至少一部分。
6.如权利要求1或5所述的光刻方法,其中上述保护层还包括酸产生物、碱产生物、界面活性剂、终止剂、和/或交联剂。
7.如权利要求1或5所述的光刻方法,还包括使上述第一图案化阻剂层进行硬化工艺,其中上述硬化工艺包括等离子体处理、紫外线固化、离子注入轰击、电子束处理、或其组合。
8.如权利要求1或5所述的光刻方法,还包括使用上述第一图案化阻剂层及上述第二图案化阻剂层作为掩模,蚀刻上述基板,其中蚀刻上述基板的蚀刻工艺中上述第一图案化阻剂层及上述第二图案化阻剂层分别被消耗而移除。
9.如权利要求1或5所述的光刻方法,还包括:
在形成上述第一图案化阻剂层之前在上述基板上形成材料层;
使用上述第一图案化阻剂层及上述第二图案化阻剂层作为掩模,蚀刻上述材料层;以及
使用蚀刻后的上述材料层、上述第一图案化阻剂层及上述第二图案化阻剂层作为掩模,蚀刻上述基板,其中蚀刻上述基板的蚀刻工艺中上述第一图案化阻剂层及上述第二图案化阻剂层分别被消耗而移除,且至少一部份的上述材料层也被消耗。
10.如权利要求9所述的光刻方法,其中上述材料层包括抗反射涂布层和覆盖上述抗反射涂布层的顶层。
CN201610770956.9A 2008-09-15 2009-05-08 光刻方法 Expired - Fee Related CN106226998B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/210,737 2008-09-15
US12/210,737 US8158335B2 (en) 2008-09-15 2008-09-15 High etch resistant material for double patterning
CN200910138199A CN101676801A (zh) 2008-09-15 2009-05-08 光刻方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN200910138199A Division CN101676801A (zh) 2008-09-15 2009-05-08 光刻方法

Publications (2)

Publication Number Publication Date
CN106226998A true CN106226998A (zh) 2016-12-14
CN106226998B CN106226998B (zh) 2020-01-14

Family

ID=42007540

Family Applications (2)

Application Number Title Priority Date Filing Date
CN200910138199A Pending CN101676801A (zh) 2008-09-15 2009-05-08 光刻方法
CN201610770956.9A Expired - Fee Related CN106226998B (zh) 2008-09-15 2009-05-08 光刻方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN200910138199A Pending CN101676801A (zh) 2008-09-15 2009-05-08 光刻方法

Country Status (3)

Country Link
US (1) US8158335B2 (zh)
CN (2) CN101676801A (zh)
TW (1) TWI387998B (zh)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
JP5276824B2 (ja) * 2007-10-17 2013-08-28 スパンション エルエルシー 半導体装置の製造方法
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2010027978A (ja) * 2008-07-23 2010-02-04 Toshiba Corp パターン形成方法
US8273634B2 (en) * 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) * 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) * 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8268543B2 (en) 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US9330934B2 (en) * 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
JP5573356B2 (ja) * 2009-05-26 2014-08-20 信越化学工業株式会社 レジスト材料及びパターン形成方法
US20110129991A1 (en) * 2009-12-02 2011-06-02 Kyle Armstrong Methods Of Patterning Materials, And Methods Of Forming Memory Cells
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
US8394573B2 (en) * 2010-09-16 2013-03-12 International Business Machines Corporation Photoresist compositions and methods for shrinking a photoresist critical dimension
CN102478764B (zh) * 2010-11-30 2013-08-07 中芯国际集成电路制造(北京)有限公司 双重图形化方法
CN102566258B (zh) * 2010-12-29 2013-09-18 中芯国际集成电路制造(上海)有限公司 双压印方法
CN102591139B (zh) * 2011-01-13 2013-07-03 中国科学院微电子研究所 微细结构的光刻方法
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8772183B2 (en) 2011-10-20 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an integrated circuit
WO2013101107A1 (en) * 2011-12-29 2013-07-04 Intel Corporation Double patterning lithography techniques
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
CN102738076B (zh) * 2012-07-27 2014-10-22 上海华力微电子有限公司 通孔优先铜互连制作方法
CN102866574B (zh) * 2012-10-12 2014-08-13 上海华力微电子有限公司 相移光掩模制作方法
US9123662B2 (en) * 2013-03-12 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing defects in patterning processes
US10410914B2 (en) * 2014-05-28 2019-09-10 Asml Netherlands B.V. Methods for providing lithography features on a substrate by self-assembly of block copolymers
US10394126B2 (en) 2015-07-17 2019-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography process and materials
KR20180058125A (ko) * 2016-11-23 2018-05-31 에스케이하이닉스 주식회사 임프린트 공정을 이용한 패턴 형성 방법
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US20190198325A1 (en) 2017-12-22 2019-06-27 International Business Machines Corporation Extreme ultraviolet (euv) lithography patterning methods utilizing euv resist hardening
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US10658179B2 (en) * 2018-08-17 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure of middle layer removal
KR20210006727A (ko) 2019-07-09 2021-01-19 삼성전자주식회사 복수의 채널 패턴을 포함하는 반도체 소자 제조 방법
CN112530793B (zh) * 2019-09-18 2022-12-23 芯恩(青岛)集成电路有限公司 一种光刻-冻结-光刻-刻蚀双图案化方法
US20210200092A1 (en) * 2019-12-31 2021-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming photoresist pattern

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1751275A (zh) * 2003-02-24 2006-03-22 Az电子材料(日本)株式会社 水溶性树脂组合物、图形形成方法和检查抗蚀图形的方法
CN1800973A (zh) * 2005-01-04 2006-07-12 三星Sdi株式会社 用于光刻的柔性光掩模及其制造方法以及微构图方法
CN1881078A (zh) * 2005-06-14 2006-12-20 台湾积体电路制造股份有限公司 形成抗蚀刻保护层的方法
US20070281248A1 (en) * 2006-05-31 2007-12-06 Levinson Harry J Stabilization of deep ultraviolet photoresist
JP2008083537A (ja) * 2006-09-28 2008-04-10 Tokyo Ohka Kogyo Co Ltd パターン形成方法及び被覆膜形成用材料
JP2008096684A (ja) * 2006-10-12 2008-04-24 Shin Etsu Chem Co Ltd レジスト下層膜材料ならびにパターン形成方法
CN101556437A (zh) * 2008-02-08 2009-10-14 台湾积体电路制造股份有限公司 图案化方法
US20100304297A1 (en) * 2009-05-26 2010-12-02 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
CN101657511B (zh) * 2007-04-09 2015-02-04 安智电子材料Ip股份有限公司 用于在光致抗蚀剂图案上面涂覆的含内酰胺的组合物

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DK274783A (da) * 1982-06-16 1983-12-17 Albright & Wilson Polymere af umaettede syrer samt fremgangsmaade til fremstilling heraf
KR100475080B1 (ko) * 2002-07-09 2005-03-10 삼성전자주식회사 Si-콘테이닝 수용성 폴리머를 이용한 레지스트 패턴형성방법 및 반도체 소자의 제조방법
US7759253B2 (en) * 2006-08-07 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method and material for forming a double exposure lithography pattern

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1751275A (zh) * 2003-02-24 2006-03-22 Az电子材料(日本)株式会社 水溶性树脂组合物、图形形成方法和检查抗蚀图形的方法
CN1800973A (zh) * 2005-01-04 2006-07-12 三星Sdi株式会社 用于光刻的柔性光掩模及其制造方法以及微构图方法
CN1881078A (zh) * 2005-06-14 2006-12-20 台湾积体电路制造股份有限公司 形成抗蚀刻保护层的方法
US20070281248A1 (en) * 2006-05-31 2007-12-06 Levinson Harry J Stabilization of deep ultraviolet photoresist
JP2008083537A (ja) * 2006-09-28 2008-04-10 Tokyo Ohka Kogyo Co Ltd パターン形成方法及び被覆膜形成用材料
JP2008096684A (ja) * 2006-10-12 2008-04-24 Shin Etsu Chem Co Ltd レジスト下層膜材料ならびにパターン形成方法
CN101657511B (zh) * 2007-04-09 2015-02-04 安智电子材料Ip股份有限公司 用于在光致抗蚀剂图案上面涂覆的含内酰胺的组合物
CN101556437A (zh) * 2008-02-08 2009-10-14 台湾积体电路制造股份有限公司 图案化方法
US20100304297A1 (en) * 2009-05-26 2010-12-02 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition

Also Published As

Publication number Publication date
CN106226998B (zh) 2020-01-14
US20100068656A1 (en) 2010-03-18
US8158335B2 (en) 2012-04-17
TWI387998B (zh) 2013-03-01
CN101676801A (zh) 2010-03-24
TW201011812A (en) 2010-03-16

Similar Documents

Publication Publication Date Title
CN106226998A (zh) 光刻方法
US8153350B2 (en) Method and material for forming high etch resistant double exposure patterns
US7482280B2 (en) Method for forming a lithography pattern
US8258056B2 (en) Method and material for forming a double exposure lithography pattern
US7759253B2 (en) Method and material for forming a double exposure lithography pattern
JP4086830B2 (ja) スピンオンarc/ハードマスク用のシリコン含有組成物
JP5290204B2 (ja) 微細パターンマスクおよびその製造方法、ならびにそれを用いた微細パターンの形成方法
JP4921898B2 (ja) 半導体素子の製造方法
US20130133825A1 (en) Pattern formation method and polymer alloy base material
JP4852360B2 (ja) 多層リソグラフィプロセスにおいて用いられる複素環芳香族構造物を含む基層組成物、リソグラフィ構造物、材料層または材料要素を基板上に形成させる方法
US8178287B2 (en) Photoresist composition and method of forming a resist pattern
US6610616B2 (en) Method for forming micro-pattern of semiconductor device
US6420271B2 (en) Method of forming a pattern
TW412784B (en) Photoresist film and method for forming pattern thereof
KR100772801B1 (ko) 반도체 소자의 제조 방법
KR100415091B1 (ko) 미세패턴 형성 방법
JP2002198283A (ja) レジストパターン形成方法
US6861209B2 (en) Method to enhance resolution of a chemically amplified photoresist
JP2012109322A (ja) パターン形成方法
JPH11242336A (ja) フォトレジストパターンの形成方法
TW202407456A (zh) 形成阻劑圖案的方法
JPH10333340A (ja) レジストパターンの形成方法
KR20050120412A (ko) 침수 리소그래피 공정을 이용한 반도체 소자의 미세 패턴형성방법
JP2001264998A (ja) 半導体装置の製造方法および有機反射防止膜組成物
JPH06273943A (ja) パターン形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20200114

CF01 Termination of patent right due to non-payment of annual fee