TWI639179B - 真空整合硬遮罩製程及設備 - Google Patents
真空整合硬遮罩製程及設備 Download PDFInfo
- Publication number
- TWI639179B TWI639179B TW104103153A TW104103153A TWI639179B TW I639179 B TWI639179 B TW I639179B TW 104103153 A TW104103153 A TW 104103153A TW 104103153 A TW104103153 A TW 104103153A TW I639179 B TWI639179 B TW I639179B
- Authority
- TW
- Taiwan
- Prior art keywords
- metal
- hard mask
- forming
- module
- patterning
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 65
- 230000008569 process Effects 0.000 title claims description 44
- 229910052751 metal Inorganic materials 0.000 claims abstract description 137
- 239000002184 metal Substances 0.000 claims abstract description 136
- 238000000059 patterning Methods 0.000 claims abstract description 48
- 239000000758 substrate Substances 0.000 claims abstract description 40
- 229920002120 photoresistant polymer Polymers 0.000 claims abstract description 27
- 239000004065 semiconductor Substances 0.000 claims abstract description 26
- 238000001900 extreme ultraviolet lithography Methods 0.000 claims abstract description 25
- 238000001459 lithography Methods 0.000 claims abstract description 12
- 150000003839 salts Chemical class 0.000 claims abstract description 7
- 150000002902 organometallic compounds Chemical class 0.000 claims abstract description 6
- 238000000151 deposition Methods 0.000 claims description 51
- 230000008021 deposition Effects 0.000 claims description 46
- 238000012546 transfer Methods 0.000 claims description 19
- 229910052718 tin Inorganic materials 0.000 claims description 10
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims description 9
- 238000000206 photolithography Methods 0.000 claims description 8
- 150000002500 ions Chemical class 0.000 claims description 7
- 229910001507 metal halide Inorganic materials 0.000 claims description 6
- 150000005309 metal halides Chemical group 0.000 claims description 6
- 230000007935 neutral effect Effects 0.000 claims description 6
- 239000002245 particle Substances 0.000 claims description 6
- 230000003321 amplification Effects 0.000 claims description 5
- 238000003199 nucleic acid amplification method Methods 0.000 claims description 5
- 230000005855 radiation Effects 0.000 claims description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 2
- 229910052735 hafnium Inorganic materials 0.000 claims description 2
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 claims description 2
- 238000010943 off-gassing Methods 0.000 claims description 2
- 229910052710 silicon Inorganic materials 0.000 claims description 2
- 239000010703 silicon Substances 0.000 claims description 2
- 238000007872 degassing Methods 0.000 claims 2
- 239000010408 film Substances 0.000 description 63
- 235000012431 wafers Nutrition 0.000 description 14
- 238000012545 processing Methods 0.000 description 13
- 230000010354 integration Effects 0.000 description 9
- 238000011161 development Methods 0.000 description 8
- 239000002243 precursor Substances 0.000 description 8
- 238000009833 condensation Methods 0.000 description 7
- 230000005494 condensation Effects 0.000 description 7
- 239000007789 gas Substances 0.000 description 7
- 239000000463 material Substances 0.000 description 7
- 238000000354 decomposition reaction Methods 0.000 description 6
- 230000003287 optical effect Effects 0.000 description 6
- 210000002381 plasma Anatomy 0.000 description 6
- 238000006243 chemical reaction Methods 0.000 description 5
- 238000005530 etching Methods 0.000 description 5
- 150000002739 metals Chemical class 0.000 description 5
- 229910052760 oxygen Inorganic materials 0.000 description 5
- 238000006303 photolysis reaction Methods 0.000 description 5
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 5
- 239000013545 self-assembled monolayer Substances 0.000 description 5
- 239000006227 byproduct Substances 0.000 description 4
- 239000000460 chlorine Substances 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 230000008018 melting Effects 0.000 description 4
- 238000002844 melting Methods 0.000 description 4
- 238000001465 metallisation Methods 0.000 description 4
- 239000000376 reactant Substances 0.000 description 4
- 239000007787 solid Substances 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 3
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 3
- 238000010521 absorption reaction Methods 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 239000010949 copper Substances 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 230000004907 flux Effects 0.000 description 3
- 239000010410 layer Substances 0.000 description 3
- 230000031700 light absorption Effects 0.000 description 3
- 125000002524 organometallic group Chemical group 0.000 description 3
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 3
- 238000011160 research Methods 0.000 description 3
- WYTZZXDRDKSJID-UHFFFAOYSA-N (3-aminopropyl)triethoxysilane Chemical compound CCO[Si](OCC)(OCC)CCCN WYTZZXDRDKSJID-UHFFFAOYSA-N 0.000 description 2
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 2
- 150000001412 amines Chemical class 0.000 description 2
- 239000010953 base metal Substances 0.000 description 2
- 230000005540 biological transmission Effects 0.000 description 2
- 238000009835 boiling Methods 0.000 description 2
- 229910052794 bromium Inorganic materials 0.000 description 2
- 229910052801 chlorine Inorganic materials 0.000 description 2
- 230000015271 coagulation Effects 0.000 description 2
- 238000005345 coagulation Methods 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 239000012636 effector Substances 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 238000004070 electrodeposition Methods 0.000 description 2
- 238000000295 emission spectrum Methods 0.000 description 2
- 238000001704 evaporation Methods 0.000 description 2
- 230000008020 evaporation Effects 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 230000006911 nucleation Effects 0.000 description 2
- 238000010899 nucleation Methods 0.000 description 2
- 230000037361 pathway Effects 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 229910052707 ruthenium Inorganic materials 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 230000001629 suppression Effects 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- IRAYOVGHEWBMFZ-UHFFFAOYSA-N 3-(2h-triazol-4-yl)propan-1-amine Chemical compound NCCCC=1C=NNN=1 IRAYOVGHEWBMFZ-UHFFFAOYSA-N 0.000 description 1
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- OTMSDBZUPAUEDD-UHFFFAOYSA-N Ethane Chemical compound CC OTMSDBZUPAUEDD-UHFFFAOYSA-N 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 101150003085 Pdcl gene Proteins 0.000 description 1
- XZQYTGKSBZGQMO-UHFFFAOYSA-I Rhenium(V) chloride Inorganic materials Cl[Re](Cl)(Cl)(Cl)Cl XZQYTGKSBZGQMO-UHFFFAOYSA-I 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- 239000006096 absorbing agent Substances 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 125000000217 alkyl group Chemical group 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 229910052787 antimony Inorganic materials 0.000 description 1
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 230000003416 augmentation Effects 0.000 description 1
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 1
- 150000001721 carbon Chemical class 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 239000003054 catalyst Substances 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 230000006866 deterioration Effects 0.000 description 1
- 238000001803 electron scattering Methods 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 238000009472 formulation Methods 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 238000003384 imaging method Methods 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 229910052741 iridium Inorganic materials 0.000 description 1
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 description 1
- WPBNNNQJVZRUHP-UHFFFAOYSA-L manganese(2+);methyl n-[[2-(methoxycarbonylcarbamothioylamino)phenyl]carbamothioyl]carbamate;n-[2-(sulfidocarbothioylamino)ethyl]carbamodithioate Chemical compound [Mn+2].[S-]C(=S)NCCNC([S-])=S.COC(=O)NC(=S)NC1=CC=CC=C1NC(=S)NC(=O)OC WPBNNNQJVZRUHP-UHFFFAOYSA-L 0.000 description 1
- 150000002736 metal compounds Chemical class 0.000 description 1
- 238000002488 metal-organic chemical vapour deposition Methods 0.000 description 1
- 238000000386 microscopy Methods 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 150000002894 organic compounds Chemical class 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052763 palladium Inorganic materials 0.000 description 1
- 230000002186 photoactivation Effects 0.000 description 1
- 230000015843 photosynthesis, light reaction Effects 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 238000007639 printing Methods 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 229910052703 rhodium Inorganic materials 0.000 description 1
- 239000010948 rhodium Substances 0.000 description 1
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 description 1
- 230000035945 sensitivity Effects 0.000 description 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 230000003595 spectral effect Effects 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- UXMRNSHDSCDMLG-UHFFFAOYSA-J tetrachlororhenium Chemical compound Cl[Re](Cl)(Cl)Cl UXMRNSHDSCDMLG-UHFFFAOYSA-J 0.000 description 1
- VXKWYPOMXBVZSJ-UHFFFAOYSA-N tetramethyltin Chemical compound C[Sn](C)(C)C VXKWYPOMXBVZSJ-UHFFFAOYSA-N 0.000 description 1
- LTSUHJWLSNQKIP-UHFFFAOYSA-J tin(iv) bromide Chemical compound Br[Sn](Br)(Br)Br LTSUHJWLSNQKIP-UHFFFAOYSA-J 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 238000013022 venting Methods 0.000 description 1
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/68—Preparation processes not covered by groups G03F1/20 - G03F1/50
- G03F1/76—Patterning of masks by imaging
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/56—Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C18/00—Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
- C23C18/14—Decomposition by irradiation, e.g. photolysis, particle radiation or by mixed irradiation sources
- C23C18/143—Radiation by light, e.g. photolysis or pyrolysis
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C18/00—Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
- C23C18/14—Decomposition by irradiation, e.g. photolysis, particle radiation or by mixed irradiation sources
- C23C18/145—Radiation by charged particles, e.g. electron beams or ion irradiation
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C18/00—Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
- C23C18/16—Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
- C23C18/1601—Process or apparatus
- C23C18/1603—Process or apparatus coating on selected surface areas
- C23C18/1607—Process or apparatus coating on selected surface areas by direct patterning
- C23C18/1612—Process or apparatus coating on selected surface areas by direct patterning through irradiation means
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C18/00—Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
- C23C18/16—Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
- C23C18/1601—Process or apparatus
- C23C18/1633—Process of electroless plating
- C23C18/1646—Characteristics of the product obtained
- C23C18/165—Multilayered product
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C18/00—Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
- C23C18/16—Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
- C23C18/18—Pretreatment of the material to be coated
- C23C18/1803—Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces
- C23C18/1813—Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by radiant energy
- C23C18/182—Radiation, e.g. UV, laser
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/0042—Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
- G03F7/0043—Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/16—Coating processes; Apparatus therefor
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/16—Coating processes; Apparatus therefor
- G03F7/167—Coating processes; Apparatus therefor from the gas phase, by plasma deposition
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/36—Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/708—Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
- G03F7/70808—Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0332—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67167—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
- H01L21/67213—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
- H01L21/3083—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/3086—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Health & Medical Sciences (AREA)
- Toxicology (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Optics & Photonics (AREA)
- Environmental & Geological Engineering (AREA)
- Epidemiology (AREA)
- Public Health (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
用以形成金屬硬遮罩的真空整合無光阻方法及設備可提供低於30 nm之圖案化解析度。將對圖案化媒介敏感之含金屬(例如,金屬鹽、或有機金屬化合物)膜沉積在半導體基板上。該含金屬膜接著直接藉由在真空環境中暴露於圖案化媒介而圖案化(換言之,無須使用光阻)以形成金屬遮罩。例如,該含金屬膜為光敏性地、且該圖案化係藉由使用低於30 nm波長之光微影(例如,極紫外線(EUV)微影)而進行。
Description
本申請案主張於2014年1月31日所提出之美國臨時專利申請案第61/934514號之優先權,發明名稱為VACUUM-INTEGRATED HARDMASK PROCESSES,其內容被完整納入本文中做為參照。
此揭露內容大致上涉及半導體處理之領域。具體而言,本揭露內容係針對用以形成金屬硬遮罩而沒有使用光阻之真空整合製程。
半導體處理中的薄膜圖案化經常為半導體製造與加工中的關鍵步驟。圖案化涉及了微影。在習知的光微影(例如193 nm光微影)中,圖案之印刷係藉由從光子源放射光子至遮罩上並將圖案印刷至光敏性的光阻上,從而引起了光阻中的化學反應,顯影後,移除光阻的某些部分以形成圖案。
先進技術節點(如國際半導體技術藍圖所定義)包括了節點22 nm、16nm、及更小的節點。在16nm節點中,舉例而言,金屬鑲嵌結構中一般的介層窗或線之寬度通常不大於約30nm。在先進的半導體積體電路(ICs)及其他元件上之特徵部的縮放正驅使著微影改善解析度。
本發明之態樣係針對用以形成金屬硬遮罩之真空整合無光阻方法及設備。這樣的方法及設備可提供低於30 nm的圖案化解析度。一般而言,在半導體基板上沉積對圖案化媒介(例如,光子、電子、質子、離子、或中性粒子物種)敏感之含金屬(例如,金屬鹽、或有機金屬化合物)膜,使得該膜可藉由暴露於這些物種其中一者而圖案化。該含金屬膜接著直接藉由在真空環境中暴露於圖案化媒介而圖案化(換言之,無須使用光阻)以形成金屬遮罩。例如,該含金屬膜為光敏性地、且該圖案化係藉由使用光微影(例如EUV微影)而進行。
在一實行例中,於半導體基板上沉積對EUV光敏的一含金屬膜。含金屬膜接著直接藉由在真空環境中的EUV曝光而圖案化以形成金屬硬遮罩。以此方式,吾人提供了一真空整合金屬硬遮罩製程及相關的真空整合硬體,該真空整合金屬硬遮罩製程及相關的真空整合硬體結合了成膜(沉積/凝結)與光微影之步驟而得到極大地改善了EUV微影(EUVL)性能之結果,例如減少線邊緣粗糙度。藉由使用含金屬硬遮罩、及藉由直接使用EUV光子通量將含金屬膜圖案化,該製程完全避免了對光阻的需要。
在另一實行例中,一用以進行無光阻的金屬硬遮罩形成之設備可提供真空整合以進行所述之製程。該設備包括一含金屬膜沉積模組、一含金屬膜圖案化模組、及連接該沉積模組與該圖案化模組的一真空傳遞模組。
以下將參照相關的圖式來詳述本發明之這些與其他的特徵及優點。
現在將對本發明的具體實施例進行詳細的說明。隨附圖式中繪示了具體實施例之範例。雖然吾人將配合這些具體實施例來描述本發明,但吾人應理解這並非意圖將本發明限制於這些具體實施例。相反地,其係意圖涵蓋可被包括在本發明之精神與範圍中之替代方案、修改、及同等物。在以下描述中,提出了許多的具體細節以提供對於本發明之周密理解。但本發明可被實行而無須部分或全部的特定細節。在其他情況下,為了不對本發明造成不必要地混淆,眾所周知的程序運作則沒有被詳述。 引言
極紫外線(EUV)微影可藉由移動至以現行的光微影方法可達之更小成像來源波長而將微影技術延伸超過其光學限制以圖案化小的關鍵尺寸特徵部。在約13.5 nm波長的EUV光源可用於尖端的微影工具(亦被稱為掃描機)。EUV輻射在廣泛的固體及流體材料(包括石英及水蒸氣)中被強烈地吸收,且因此在真空中運作。
EUV微影一般係利用使用習知光阻製程來圖案化的有機硬遮罩(例如,PECVD非晶氫化碳的可灰化硬遮罩)。於光阻曝光期間內,EUV輻射在阻劑及下面的晶圓中被吸收而產生了高能光電子(約100 eV)、並接著產生了一連串的低能二次電子(大約10 eV),其中低能二次電子橫向擴散了幾個奈米。這些電子擴大了阻劑中化學反應的範圍,而這增加了其EUV劑量敏感度。然而,本質上隨機的二次電子圖案被疊加在光學圖像上。此不想要的二次電子曝光導致了解析度的損失、可觀察到的線邊緣粗糙度(LER)、及在圖案化之阻劑中的線寬變異。這些缺陷被複製在待於隨後的圖案轉移蝕刻期間內被圖案化之材料中 。
不像絕緣體(例如光阻),金屬較不易受二次電子曝光效果影響(由於二次電子可藉由與傳導電子散射而迅速失去能量並熱能化)。適合此製程的金屬元素可包括(但不限於):鋁、銀、鈀、鉑、銠、釕、銥、鈷、釕、錳、鎳、銅、鉿、鉭、鎢、鎵、鍺、錫、銻、或其任何組合。
然而,在用以將毯覆性金屬膜(blanket metal film)圖案化為遮罩之光阻中的電子散射仍會導致不可接受的影響,例如LER。
吾人揭露了一真空整合金屬硬遮罩製程及相關的真空整合硬體,該真空整合金屬硬遮罩製程及相關的真空整合硬體結合了成膜(沉積/凝結)與光微影而得到極大地改善了EUV微影(EUVL)性能之結果,例如減少線邊緣粗糙度。藉由使用含金屬的硬遮罩膜、及藉由直接使用EUV光子通量將含金屬膜圖案化,該製程完全避免了對光阻的需要。
在各樣的實施例中,沉積(例如,凝結)製程(舉例而言,在像Lam Vector®這樣的PECVD工具中實行的ALD或MOCVD)可用以形成像光敏金屬鹽或含金屬有機化合物(有機金屬化合物)這樣的含金屬膜之薄膜,該含金屬膜強烈地吸收EUV(例如波長在10-20 nm等級之EUV),例如在EUVL光源波長(例如,13.5 nm= 91.8 eV)之EUV。此薄膜可依據EUV曝光而光分解並形成一金屬遮罩,該金屬遮罩在隨後的蝕刻(例如,在導體蝕刻工具中,如Lam 2300®Kiyo®)期間內為圖案轉移層。
可於與微影平台(例如,晶圓步進機,如由荷蘭Veldhoven的ASML所供應之TWINSCAN NXE: 3300B®平台)整合之腔室中沉積該含金屬膜、且可於真空下傳遞該含金屬膜以使其不會於曝光前反應。EUVL亦需要極大地被降低之壓力的事實(考慮到周圍氣體如H2
O、O2
等對於入射光子的強烈光吸收)促成了與微影工具之整合。
在一些實施例中,若出於光學或機械原因之需要,可於EUV曝光/分解步驟之後實行選擇性膜沉積以增加遮罩材料的厚度;被稱為圖案擴增(pattern amplification)的製程。以此看來,最初的硬遮罩接著起著種子層的作用,而最終遮罩係形成於其上,類似於無電沉積(ELD)或電化學沉積(ECD)的金屬種子層之使用。 真空整合無光阻金屬硬遮罩形成製程
圖1A-E繪示了真空整合無光阻硬遮罩形成製程的代表性處理流程圖。一般而言,吾人於半導體基板上沉積一含金屬膜,該含金屬膜對圖案化媒介(例如光子、電子、質子、離子、或中性粒子物種)敏感而使得該膜可藉由曝露於那些物種其中一者而被圖案化。該含金屬膜接著直接藉由在真空環境暴露於圖案化媒介而圖案化(換言之,沒有使用光阻)以形成金屬遮罩。此描述主要係參照藉由極紫外線微影(EUV微影(EUVL))而圖案化的含金屬膜(具體而言,其中金屬為Sn),具體而言,該EUVL具有使用激發的Sn液滴之EUV來源。在本文中將這樣的膜稱為EUV光敏膜。然而,吾人應理解其他實行方式亦為可能的,其中包括了不同的含金屬膜及圖案化媒介/技術。
期望的硬遮罩金屬會是強的吸收體且會具有相當寬的吸收剖面(absorption profile)、高的熔點、低的延展性/高的物理穩定性、且可輕易被沉積。對於此揭露內容之目的而言,吾人需要注意放射一給定能量之光子的材料亦將吸收具有該能量的光子。強烈地被吸收的光將導致想要的分解、或者會使膜感光而使得曝光的區域可被以熱、濕化學品等來移除。圖2提供了使用激發的錫液滴之EUV來源的放射光譜。見R.W. Coons等人的”Comparison of EUV spectral and ion emission features from laser produced Sn and Li plasmas”, Proc. Of SPIE Vol. 7636 73636-1 (2010);R.C. Spitzer等人的”Conversion efficiencies from laser-produced plasmas in the extreme ultraviolet region”, 79 J. Appl. Phys., 2251 (1996);及H.C. Gerritsen等人的”Laser-generated plasma as soft x-ray source”, J. Appl. Phys. 59 2337 (1986),吾人為了它們與各樣金屬的放射/吸收特性有關之揭露內容而將它們納入本文中做為參照。所放射的光子係在13.5 nm或91.8 eV之等級。因此,Sn對於此應用而言為期望的硬遮罩金屬。
參照圖1A,顯示了待圖案化之半導體基板100。在一般範例中,半導體基板100為包括了部分已形成之積體電路的矽晶圓。
圖1B繪示了沉積在半導體基板 100上之一含金屬膜102,該含金屬膜對於圖案化媒介敏感。該含金屬膜可為金屬鹽,例如對於暴露至圖案化媒介敏感的有機金屬化合物、或金屬鹵化物,使得含金屬膜被分解為卑金屬(base metal)或變得對於隨後的顯影製程敏感。合適的圖案化媒介可為光子、電子、質子、離子、或中性粒子物種,俾使含金屬膜102可藉由曝露於這些物種其中一者而分解為卑金屬或變得對於隨後的顯影製程敏感而被圖案化。如下面進一步說明,有效的金屬及圖案化媒介組合的一特定範例係沉積為金屬鹵化物(例如,SnBr4
)或有機金屬(例如,Sn(CH3
)4
)的錫,其中錫係由EUV微影來圖案化。一般而言,在沉積之前,半導體基板100係放置在反應器腔室中以進行在真空下的含金屬膜沉積。
含金屬膜102的毯覆層(blanket)可藉由從適當的前驅物凝結而形成(例如,在像可從Fremont, CA的Lam Research公司獲得的Altus® CVD工具這樣的非電漿CVD反應器中)。例如,錫溴化物(SnBr4
),其具有在760Torr為205˚C的正常沸點及31˚C之熔點、及在10˚C為10Torr之蒸氣壓。可將其凝結至基板上以形成固體的SnBr4
膜,該SnBr4
膜具有取決於曝露時間及基板溫度之厚度,舉例在5至200 nm之等級,例如10 nm。此藉由凝結之沉積的適當製程條件包括了在約0與30˚C之間的沉積溫度(例如約20˚C)、及小於20 Torr的反應器壓力(例如於20˚C維持在14與15Torr之間)。將前驅物流率維持在約100與1000 sccm之間使得吾人得以控制沉積速率。
錫金屬之替代來源可為有機金屬。例如,四甲基錫(Sn(CH3
)4
)在760 Torr具有75˚C的正常沸點及-54˚C的熔點。亦可將其凝結至基板上以形成固體的Sn(CH3
)4
膜,該Sn(CH3
)4
膜具有取決於曝露時間及基板溫度之厚度,舉例而言在5至200 Å之等級,例如100Å。此藉由凝結之沉積的適當製程條件包括了在約-54與30˚C之間的沉積溫度(例如約20˚C)、及小於20 Torr的反應器壓力(例如在20˚C維持在1 Torr)。將前驅物流率維持在約100與1000 sccm之間使得吾人得以控制沉積速率。
另一適合用以形成金屬遮罩之金屬為鉿(Hf)。可將鉿氯化物HfCl4
(在190˚C為1 Torr的蒸汽壓與432˚C的熔點)凝結至基板上以形成固體的HfCl4
結晶膜,該HfCl4
膜具有取決於曝露時間及基板溫度之厚度,舉例而言在50至2000 nm之等級,例如1000 nm。此藉由凝結之沉積的適當製程條件包括了在約0與300˚C之間的沉積溫度(例如約100˚C)、及小於10 Torr的反應器壓力(例如在100˚C維持在0.1與1 Torr之間)。將前驅物流率維持在約10與100 sccm之間使得吾人得以控制沉積速率。
為了防止水蒸汽所導致的劣化,含Sn及含Hf膜之形成及傳遞係在真空環境下進行。所形成的膜接著被傳遞至EUV圖案化工具並藉由直接曝光而圖案化(如圖1C-D中所示,無需使用光阻)。
吾人應注意,EUVL工具一般在較沉積工具更高的真空下運作。如果是這樣,則期望於從沉積傳遞至圖案化工具的期間內增強基板的真空環境,俾使基板及沉積的含金屬膜得以於進入該圖案化工具中前脫氣。這係為了使圖案化工具的光學元件不被來自基板的廢氣(off-gassing)所污染。
參照圖1C,對於藉由EUVL而圖案化的金屬鹵化物Sn基含金屬膜而言,分解化學作用可藉由以下方程式而進行: SnBr4
→ Sn + 2Br2
光子直接將SnBr4
分解為Sn(錫金屬)及溴氣(Br2
)。或者,一反應物X2
(例如,其中X為Cl、I、或H)可用以促進反應途徑SnBr4
+ X2
→ SnX4
+ 2Br2
,且最終藉由光分解而變成Sn,特別是其中SnX4
係較輕易凝結的SnBr4
更容易光活化的。在任一個情況下,副產物(Br2
)及反應物(X2
)皆需要抑制措施(例如真空)。
對於藉由EUVL而圖案化的有機金屬Sn基含金屬膜而言,光子直接將Sn(CH3
)4
分解為Sn(錫金屬)及乙烷氣體,分解化學作用藉由以下方程式而進行: Sn(CH3
)4
→ Sn + 2C2
H6
對於藉由EUVL而圖案化的金屬鹵化物Hf基含金屬膜而言,分解化學作用可藉由以下方程式而進行: HfCl4
→ Hf + 2Cl2
光子直接將HfCl4
分解為Hf金屬及氯氣(Cl2
)。或者,一反應物X2
(例如,其中X為Br、I、或H)可用以促進反應途徑HfCl4
+ X2
→ HfX4
+ 2Cl2
,且最終藉由光分解而變成Hf,特別是其中HfX4
係較輕易凝結的HfCl4
更容易光活化的。在任一個情況下,副產物(Cl2
)及反應物(X2
)皆需要抑制措施(例如真空)。
如圖1C中所示,圖案化產生了已曝光的含金屬膜區域及未曝光區域102b,其中已曝光的含金屬膜區域形成金屬遮罩102a、未曝光區域102b為待由圖案顯影移除之材料。
參照圖1D,接著可對圖案進行顯影。圖案之顯影可僅藉由將基板加熱以使含金屬膜的未曝光區域102b揮發而發生,使得僅有已曝光區域102a留下做為完全形成的金屬遮罩。吾人應注意,由於在熱及環境上穩定之圖案化金屬遮罩已被形成,因此此圖案顯影操作可不需要真空整合。在圖案化工具外面進行圖案顯影以避免含金屬膜分解的任何不相容副產物污染工具光學元件可為期望的。
參照圖1E,作為一可選性步驟,吾人可進行圖案擴增。例如,吾人可於圖1C及/或1D中所描繪的操作之後在圖案化的基板上執行選擇性ALD或無電沉積(ELD)以用額外的選擇性沉積金屬106來增加金屬遮罩的厚度。這可有助於減少遮罩的光透射、或使其更在機械上更為結實。這樣的擴增可藉由例如改編無電沉積製程(例如在美國專利第6911067、6794288、6902605、及4935312號中所描述的)而達成,其與此有關的揭露內容被納入本文中作為參照。
例如,初始為1 nm之種子可被以此方式放大至10 nm。像參照圖1D所討論的圖案顯影,由於在擴增之前,在熱及環境上穩定之圖案化金屬遮罩已經形成,此操作可不需要真空整合。 替代製程實施例
做為金屬鹽或有機金屬含金屬膜沉積之替代方案,可藉由使用合適前驅物之金屬有機CVD的多步驟製程(舉例而言,在一非電漿CVD反應器中,例如Altus® CVD工具或像Vector® PECVD工具這樣的PECVD反應器,兩者皆可從Fremont,CA的Lam Research公司獲得)而沉積一含金屬EUV光敏膜。舉例而言,烷基及胺基前驅物之電漿沉積(例如後面接著氨(NH3
/H2
)電漿的CH4
/H2
電漿沉積)可在半導體基板上產生胺基丙基三乙氧基矽烷(APTES,aminopropyltriethoxysilane)的胺基官能化自組裝單分子層(SAM)。這樣的胺端表面(amine terminated surface)使得保角無電沉積(ELD)得以進行。接著可將SAM傳遞至EUV圖案化工具並將其圖案化。圖案化SAM藉由ELD(例如,藉由暴露於PdCl2
/H2
O溶液以提供Pd催化劑、後面接著進行Ni或Co然後銅(Cu)的ELD(根據本技藝中已知的製程考慮這些參數))而進行之選擇性生長產生了無需使用光阻而形成的基於金屬之遮罩。這樣基於SAM之手段亦可被用於圖案擴增以做為為了該目的而參照圖1描述之ELD技術的替代方案。
吾人亦應注意,雖然本揭露內容主要參照EUVL做為圖案化技術,替代實施例可使用電子、離子、或中性粒子物種之聚焦束以直接將圖案寫在毯覆性遮罩上,這些步驟亦於真空中進行。若副產物凝結於EUVL系統的反射光學元件上,則可使用原位腔室清潔。 設備
圖3描繪了一半導體製程群集工具架構,該半導體製程群集工具架構具有真空整合金屬沉積與圖案化模組,該等真空整合金屬沉積與圖案化模組與適合實行本文中所描述的真空整合製程之真空傳遞模組相接合。可將用以在多個存儲設施與製程模組之間”傳遞”晶圓的傳遞模組之配置稱為”群集工具架構”系統。根據特定製程的需要,金屬沉積與圖案化模組為真空整合的。真空運輸模組(VTM) 338與四製程模組320a-320d相接合,可分別將該等製程模組最佳化以執行各樣的製造製程。舉例而言,可實行製程模組320a-320d以執行凝結、沉積、蒸發、ELD、蝕刻、及/或其它半導體製程。例如,模組320a可為如本文中所述適於進行含金屬膜之沉積的非電漿CVD反應器,例如可從Fremont,CA的Lam Research公司獲得的Altus® CVD工具。且模組320b可為PECVD工具,例如Lam Vector®。吾人應了解,圖式不一定係按比例繪製。
氣閘342及346(亦稱為負載鎖室或傳遞模組)與VTM 338及圖案化模組340相接合。例如,合適的圖案化模組可為由荷蘭Veldhoven的ASML所供應的TWINSCAN NXE: 3300B®平台。此工具架構使得吾人得以在真空下傳遞工作件(例如,具有沉積的含金屬膜之基板),俾使工作件不在曝光前發生反應。EUVL亦需要極大地被降低之壓力的事實(考慮到周圍氣體如H2
O、O2
等對於入射光子的強烈光吸收)促成了沉積模組與微影工具之整合。
氣閘342可為”往外的”負載鎖室(意指基板離開服務沉積模組620a的VTM 338至圖案化模組340的傳遞),且氣閘346可為”往內的”負載鎖室(意指基板從圖案化模組340回到VTM 338之傳遞)。往內的負載鎖室346亦可為基板之進入或外出提供至工具外部的接口。每一製程模組具有將該模組接合至VTM 338的一刻面(facet)。例如,沉積製程模組320a具有刻面336。當晶圓326在個別的站之間移動時,在每一刻面中使用感測器(例如,如所示的感測器1-18)來檢測該晶圓之通過。可用額外的刻面及感測器(未顯示)來對圖案化模組340、及氣閘342與346進行類似的裝配。
主要VTM機械臂322於模組之間(包括氣閘342及346)傳遞晶圓326。在一實施例中,機械臂322具有一臂,但在另一實施例中,機械臂322具有二臂,其中每一臂具有一末端作用器324以拾取晶圓(如晶圓3 26)用於傳遞。前端機械臂344,其係用以將晶圓326從往外的氣閘342傳遞至圖案化模組340中、從圖案化模組340傳遞至往內的氣閘346中。前端機械臂344亦可為了基板之進入或外出而在往內的負載鎖室與該工具的外部之間傳遞晶圓326。由於往內的氣閘模組346具有使環境在大氣與真空之間匹配的能力,因此晶圓326能夠在二壓力環境之間移動而不被損壞。
吾人應注意,EUVL工具一般在較沉積工具更高的真空運作。如果是這樣,則期望於從沉積傳遞至圖案化工具的期間內增強基板的真空環境,俾使基板及沉積的含金屬膜得以於進入該圖案化工具中前脫氣。向外的氣閘342可藉由將傳遞的晶圓保持在較低的壓力(不高於圖案化模組340中的壓力)一段時間、並排出任何廢氣而提供此功能,使得圖案化工具340的光學元件不被來自基板的廢氣污染。對於該往外的、排出廢氣的氣閘而言,合適的壓力不超過1E-8 Torr。
在一些實施例中,系統控制器350(其可包括一或更多物理或邏輯控制器)控制了群集工具及/或其個別的模組之操作其中的一些或全部。吾人應注意,控制器可能在群集架構的本機、或可位於在群集架構外面的製造廠區、或在遠端位置中且經由網路連接至群集架構。系統控制器650可包括一或更多記憶體裝置及一或更多處理器。處理器可包括中央處理單元(CPU)或電腦、類比及/或數位輸入/輸出連線、步進機馬達控制器板、及其他類似構件。用以實行適當控制操作的指令係在處理器上執行。這些指令可儲存在與控制器聯結的記憶體裝置中,或者可透過網路來提供它們。在某些實施例中,系統控制器執行系統控制軟體。
系統控制軟體可包括了用以控制應用的時序、及/或工具或模組操作的任何態樣之強度的指令。可以任何合適的方式對系統控制軟體進行配置。例如,可撰寫各樣的處理工具構件子程序或控制物件以控制用以實行各樣的處理工具製程所必須的處理工具構件之操作。可以任何合適的電腦可讀程式語言將系統控制軟體編碼。在一些實施例中,系統控制軟體包括了用以控制上述的各樣參數之輸入/輸出控制(IOC)序列指令。例如,半導體製造處理的每一階段可包括一或更多用以被系統控制器執行的指令。舉例而言,用以為凝結、沉積、蒸發、圖案化、及/或蝕刻階段設定製程條件之指令可被包括在一對應的配方階段中。 結論
本文中所描述的膜沉積及微影製程與設備之真空整合提供了EUV光敏金屬膜沉積及隨後的圖案化,該圖案化係直接藉由在真空環境中的直接EUV曝光而防止其分解或劣化。EUVL係在真空中完成以避免入射的13.5 nm光通量被周圍氣體的光吸收所削減。真空整合硬遮罩製程的優點包括了:EUV系統之真空操作開啟了使用對氧及濕氣敏感之化合物的可能性;在設備中的沉積系統與EUV系統之真空整合使得吾人得以使用這些材料。金屬前驅物的光分解產生了一非線性反應,其中光分解被金屬膜之增強的吸收所加強。金屬在高能二次電子之熱化上較光阻更佳,從而改善了對比度或LER。直接使用金屬膜做為遮罩(或與圖案擴增一起)使得薄許多的膜成為可能並減少了所需的曝露時間。從遮罩的觀點看來,金屬膜為蝕刻製做了更好的硬遮罩、且減少了所需的厚度。此外,根據本文中所描述之製程,可進一步發展及最佳化與EUV真空及光學元件相容之材料、具有用於金屬沉積的適當劑量臨界值之有機金屬前驅物、及具有用以消除給定空間中的成核位置的多重光分解事件之成核膜。
吾人應當理解,本文中所描述之範例及實施例僅是為了說明性之目的,且熟悉本技藝者可據其而聯想到各樣的修改或變化。雖然吾人為了清楚起見而省略了各樣的細節,但仍可實行各樣的設計替代方案。因此,該等實施例應被認為係說明性而非限制性的,且本發明不受限於本文中所提供的細節,而是可在隨附的申請專利範圍之範圍內對其進行修改。
1~18‧‧‧感測器
100‧‧‧半導體基板
102‧‧‧含金屬膜
102a‧‧‧金屬遮罩
102b‧‧‧未曝光區域
106‧‧‧選擇性沉積金屬
320a‧‧‧製程模組
320b‧‧‧製程模組
320c‧‧‧製程模組
320d‧‧‧製程模組
322‧‧‧機械臂
324‧‧‧末端作用器
326‧‧‧晶圓
336‧‧‧刻面
338‧‧‧真空運輸模組(VTM)
340‧‧‧圖案化模組
342‧‧‧氣閘
344‧‧‧前端機械臂
346‧‧‧氣閘
100‧‧‧半導體基板
102‧‧‧含金屬膜
102a‧‧‧金屬遮罩
102b‧‧‧未曝光區域
106‧‧‧選擇性沉積金屬
320a‧‧‧製程模組
320b‧‧‧製程模組
320c‧‧‧製程模組
320d‧‧‧製程模組
322‧‧‧機械臂
324‧‧‧末端作用器
326‧‧‧晶圓
336‧‧‧刻面
338‧‧‧真空運輸模組(VTM)
340‧‧‧圖案化模組
342‧‧‧氣閘
344‧‧‧前端機械臂
346‧‧‧氣閘
圖1A-E繪示了一真空整合無光阻硬遮罩形成製程的代表性處理流程圖。
圖2提供了一使用激發的Sn液滴之EUV來源的放射光譜。
圖3描繪了一適合實行本文中所述之真空整合製程的半導體製程群集架構,該半導體製程群集架構具有與真空傳遞模組相接合之金屬沉積及圖案化模組。
Claims (20)
- 一種形成金屬硬遮罩的無光阻方法,包含:沉積對極紫外線光敏(EUV-sensitive)的一含金屬膜於一半導體基板上;及直接藉由在真空環境中的EUV曝光而將該含金屬膜圖案化以形成該金屬硬遮罩;其中該半導體基板為包括了部分已形成之積體電路的一矽晶圓,且該方法更包含:在該沉積之步驟之前,將該半導體基板設置於一第一反應器腔室中以用於該含金屬膜沉積;及在該沉積之步驟之後,在真空下將該半導體基板傳遞至一微影製程腔室以用於該圖案化。
- 如申請專利範圍第1項之形成金屬硬遮罩的無光阻方法,其中該含金屬膜為一金屬鹽。
- 如申請專利範圍第2項之形成金屬硬遮罩的無光阻方法,其中該金屬鹽為一金屬鹵化物。
- 如申請專利範圍第3項之形成金屬硬遮罩的無光阻方法,其中該金屬為錫(Sn)。
- 如申請專利範圍第3項之形成金屬硬遮罩的無光阻方法,其中該金屬為鉿(Hf)。
- 如申請專利範圍第1項之形成金屬硬遮罩的無光阻方法,其中該含金屬膜為一有機金屬化合物。
- 如申請專利範圍第6項之形成金屬硬遮罩的無光阻方法,其中該有機金屬化合物之金屬為錫(Sn)。
- 如申請專利範圍第1項之形成金屬硬遮罩的無光阻方法,更包含於進入該微影製程腔室之前使該基板脫氣(outgassing)。
- 如申請專利範圍第8項之形成金屬硬遮罩的無光阻方法,其中該脫氣之步驟包含了將該基板周圍的壓力降低至不高於1E-8Torr。
- 如申請專利範圍第1項之形成金屬硬遮罩的無光阻方法,更包含了藉由在該金屬硬遮罩上進行一選擇性沉積而達成圖案擴增(pattern amplification)。
- 如申請專利範圍第10項之形成金屬硬遮罩的無光阻方法,其中該選擇性沉積包含了一無電沉積。
- 一種形成金屬硬遮罩的無光阻方法,包含:於一半導體基板上沉積一含金屬膜,該含金屬膜對一圖案化媒介敏感,該圖案化媒介包含了光子、電子、質子、離子、或中性粒子物種,使得該膜可藉由暴露於該等物種其中一者而圖案化;及直接藉由在真空環境中暴露於該圖案化媒介而將該含金屬膜圖案化。
- 如申請專利範圍第12項之形成金屬硬遮罩的無光阻方法,其中:該含金屬膜為光敏性的;及該圖案化之步驟係使用一光微影來進行。
- 如申請專利範圍第13項之形成金屬硬遮罩的無光阻方法,其中該光微影為EUV微影。
- 一種進行無光阻的金屬硬遮罩形成之設備,該設備包含:一含金屬膜沉積模組;一含金屬膜圖案化模組;一真空傳遞模組,連接該沉積模組及該圖案化模組。
- 如申請專利範圍第15項之進行無光阻的金屬硬遮罩形成之設備,其中:該沉積模組包含了一反應器腔室,該反應器腔室係用以沉積一光敏的金屬鹵化物或有機金屬化合物膜;及該圖案化模組包含了一光微影工具,該光微影工具具有低於30nm波長輻射之來源。
- 如申請專利範圍第16項之進行無光阻的金屬硬遮罩形成之設備,其中該圖案化模組為EUV微影工具。
- 如申請專利範圍第16項之進行無光阻的金屬硬遮罩形成之設備,其中該圖案化模組具有一圖案化媒介之來源,該圖案化媒介係選自由光子、電子、質子、離子、及中性粒子物種所組成之群組,使得該含金屬膜可藉由暴露於該圖案化媒介而圖案化。
- 如申請專利範圍第16項之進行無光阻的金屬硬遮罩形成之設備,更包含一往內之負載鎖室及一往外之負載鎖室,該往內之負載鎖室係用以將一基板從該圖案化模組傳遞至該真空傳遞模組,該往外之負載鎖室係用以將該基板從該真空傳遞模組傳遞至該圖案化模組;且其中該往外之負載鎖室起著一脫氣模組之功能。
- 如申請專利範圍第16項之進行無光阻的金屬硬遮罩形成之設備,更包含一控制器,該控制器包括了用以進行無光阻的金屬硬遮罩形成之複數指令,該等指令包含了用於以下目的之指令:於該含金屬膜沉積模組中沉積對EUV光敏的一含金屬膜在一半導體基板上;在真空下將該基板傳遞至該含金屬膜圖案化模組;及在該含金屬膜圖案化模組中直接藉由在真空環境中的EUV曝光而將該含金屬膜圖案化以形成該金屬硬遮罩。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201461934514P | 2014-01-31 | 2014-01-31 | |
US61/934,514 | 2014-01-31 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201539538A TW201539538A (zh) | 2015-10-16 |
TWI639179B true TWI639179B (zh) | 2018-10-21 |
Family
ID=53755444
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW104103153A TWI639179B (zh) | 2014-01-31 | 2015-01-30 | 真空整合硬遮罩製程及設備 |
Country Status (5)
Country | Link |
---|---|
US (7) | US9778561B2 (zh) |
JP (1) | JP6495025B2 (zh) |
KR (1) | KR102306612B1 (zh) |
CN (2) | CN105047541B (zh) |
TW (1) | TWI639179B (zh) |
Families Citing this family (304)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9176377B2 (en) | 2010-06-01 | 2015-11-03 | Inpria Corporation | Patterned inorganic layers, radiation based patterning compositions and corresponding methods |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9778561B2 (en) | 2014-01-31 | 2017-10-03 | Lam Research Corporation | Vacuum-integrated hardmask processes and apparatus |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102696070B1 (ko) * | 2014-10-23 | 2024-08-16 | 인프리아 코포레이션 | 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법 |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
KR102204773B1 (ko) | 2015-10-13 | 2021-01-18 | 인프리아 코포레이션 | 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝 |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US9996004B2 (en) | 2015-11-20 | 2018-06-12 | Lam Research Corporation | EUV photopatterning of vapor-deposited metal oxide-containing hardmasks |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
JP6762831B2 (ja) * | 2016-03-31 | 2020-09-30 | 東京エレクトロン株式会社 | ハードマスクの形成方法、ハードマスクの形成装置及び記憶媒体 |
US10224202B2 (en) * | 2016-03-31 | 2019-03-05 | Tokyo Electron Limited | Forming method of hard mask, forming apparatus of hard mask and recording medium |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US9859127B1 (en) * | 2016-06-10 | 2018-01-02 | Lam Research Corporation | Line edge roughness improvement with photon-assisted plasma process |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10622211B2 (en) * | 2016-08-05 | 2020-04-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal-compound-removing solvent and method in lithography |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US20180135183A1 (en) * | 2016-11-13 | 2018-05-17 | Applied Materials, Inc. | Surface Treatment For EUV Lithography |
CN106444934B (zh) * | 2016-11-14 | 2019-02-19 | 北京北方华创微电子装备有限公司 | 一种基于状态管理的工艺控制系统调度装置及方法 |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10163633B2 (en) * | 2017-03-13 | 2018-12-25 | Globalfoundries Inc. | Non-mandrel cut formation |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10796912B2 (en) | 2017-05-16 | 2020-10-06 | Lam Research Corporation | Eliminating yield impact of stochastics in lithography |
US10199270B2 (en) * | 2017-05-25 | 2019-02-05 | Globalfoundries Inc. | Multi-directional self-aligned multiple patterning |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
CN107365958B (zh) * | 2017-07-13 | 2020-01-07 | 上海天马有机发光显示技术有限公司 | 金属掩膜板的制备方法 |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111316417B (zh) | 2017-11-27 | 2023-12-22 | 阿斯莫Ip控股公司 | 与批式炉偕同使用的用于储存晶圆匣的储存装置 |
JP7206265B2 (ja) | 2017-11-27 | 2023-01-17 | エーエスエム アイピー ホールディング ビー.ブイ. | クリーン・ミニエンバイロメントを備える装置 |
KR102374206B1 (ko) | 2017-12-05 | 2022-03-14 | 삼성전자주식회사 | 반도체 장치 제조 방법 |
EP3503164A1 (en) * | 2017-12-21 | 2019-06-26 | IMEC vzw | Selective deposition of metal-organic frameworks |
US10109517B1 (en) | 2018-01-10 | 2018-10-23 | Lam Research Corporation | Rotational indexer with additional rotational axes |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
CN116732497A (zh) | 2018-02-14 | 2023-09-12 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102709511B1 (ko) | 2018-05-08 | 2024-09-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TW202405221A (zh) | 2018-06-27 | 2024-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
TW202016279A (zh) | 2018-10-17 | 2020-05-01 | 美商英培雅股份有限公司 | 圖案化有機金屬光阻及圖案化的方法 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
JP2022507368A (ja) | 2018-11-14 | 2022-01-18 | ラム リサーチ コーポレーション | 次世代リソグラフィにおいて有用なハードマスクを作製する方法 |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
CN113227909A (zh) * | 2018-12-20 | 2021-08-06 | 朗姆研究公司 | 抗蚀剂的干式显影 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
TWI756590B (zh) | 2019-01-22 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20210129739A (ko) | 2019-03-18 | 2021-10-28 | 램 리써치 코포레이션 | 극자외선 (Extreme Ultraviolet) 리소그래피 레지스트들의 거칠기 감소 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20210149893A (ko) | 2019-04-30 | 2021-12-09 | 램 리써치 코포레이션 | 극자외선 리소그래피 레지스트 개선을 위한 원자 층 에칭 및 선택적인 증착 프로세스 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
TWI837391B (zh) | 2019-06-26 | 2024-04-01 | 美商蘭姆研究公司 | 利用鹵化物化學品的光阻顯影 |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210078405A (ko) | 2019-12-17 | 2021-06-28 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
JP2021111783A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
WO2021146138A1 (en) | 2020-01-15 | 2021-07-22 | Lam Research Corporation | Underlayer for photoresist adhesion and dose reduction |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
KR20220132638A (ko) * | 2020-01-31 | 2022-09-30 | 램 리써치 코포레이션 | 합금 막 에칭 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
CN115398347A (zh) * | 2020-02-04 | 2022-11-25 | 朗姆研究公司 | 提高含金属euv抗蚀剂干式显影性能的涂敷/暴露后处理 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
JP2023515693A (ja) | 2020-03-02 | 2023-04-13 | インプリア・コーポレイション | 無機レジストパターニング用のプロセス環境 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
US20240192590A1 (en) * | 2020-03-31 | 2024-06-13 | Lam Research Corporation | Apparatus and process for euv dry resist sensitization by gas phase infusion of a sensitizer |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210137276A (ko) * | 2020-05-07 | 2021-11-17 | 삼성전자주식회사 | 반도체 소자 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
US11621172B2 (en) | 2020-07-01 | 2023-04-04 | Applied Materials, Inc. | Vapor phase thermal etch solutions for metal oxo photoresists |
WO2022005716A1 (en) * | 2020-07-02 | 2022-01-06 | Applied Materials, Inc. | Selective deposition of carbon on photoresist layer for lithography applications |
KR102601038B1 (ko) * | 2020-07-07 | 2023-11-09 | 램 리써치 코포레이션 | 방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
US20230288798A1 (en) * | 2020-07-17 | 2023-09-14 | Lam Research Corporation | Photoresists containing tantalum |
US20230266664A1 (en) * | 2020-07-17 | 2023-08-24 | Lam Research Corporation | Photoresists from sn(ii) precursors |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US11886120B2 (en) | 2020-07-21 | 2024-01-30 | Applied Materials, Inc. | Deposition of semiconductor integration films |
US11562904B2 (en) | 2020-07-21 | 2023-01-24 | Applied Materials, Inc. | Deposition of semiconductor integration films |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
JP2023551893A (ja) * | 2020-12-08 | 2023-12-13 | ラム リサーチ コーポレーション | 有機蒸気によるフォトレジストの現像 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
WO2022209816A1 (ja) * | 2021-04-01 | 2022-10-06 | Jsr株式会社 | 半導体基板の製造方法及びレジスト下層膜形成用組成物 |
CN117321504A (zh) * | 2021-04-23 | 2023-12-29 | 恩特格里斯公司 | 用于低曝光剂量euv辐射的高量子效率干式抗蚀剂 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US20230350303A1 (en) * | 2022-04-27 | 2023-11-02 | Tokyo Electron Limited | Dry Developing Metal-Free Photoresists |
WO2024035914A1 (en) | 2022-08-12 | 2024-02-15 | Gelest, Inc. | High purity tin compounds containing unsaturated substituent and method for preparation thereof |
WO2024070535A1 (ja) * | 2022-09-28 | 2024-04-04 | Jsr株式会社 | レジストパターン形成方法 |
Family Cites Families (156)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3576755A (en) * | 1964-09-24 | 1971-04-27 | American Cyanamid Co | Photochromism in plastic film containing inorganic materials |
US3442648A (en) * | 1965-06-16 | 1969-05-06 | American Cyanamid Co | Photographic dodging method |
US3513010A (en) * | 1966-07-11 | 1970-05-19 | Kalvar Corp | Conversion foil |
US3529963A (en) * | 1966-08-23 | 1970-09-22 | Du Pont | Image-yielding elements and processes |
US3720515A (en) * | 1971-10-20 | 1973-03-13 | Trw Inc | Microelectronic circuit production |
US4241165A (en) * | 1978-09-05 | 1980-12-23 | Motorola, Inc. | Plasma development process for photoresist |
US4328298A (en) * | 1979-06-27 | 1982-05-04 | The Perkin-Elmer Corporation | Process for manufacturing lithography masks |
JPS60115222A (ja) * | 1983-11-28 | 1985-06-21 | Tokyo Ohka Kogyo Co Ltd | 微細パタ−ン形成方法 |
JPH0778629B2 (ja) | 1986-12-19 | 1995-08-23 | ミノルタ株式会社 | ポジ型レジスト膜及びそのレジストパターンの形成方法 |
US5077085A (en) * | 1987-03-06 | 1991-12-31 | Schnur Joel M | High resolution metal patterning of ultra-thin films on solid substrates |
US4834834A (en) * | 1987-11-20 | 1989-05-30 | Massachusetts Institute Of Technology | Laser photochemical etching using surface halogenation |
US4845053A (en) * | 1988-01-25 | 1989-07-04 | John Zajac | Flame ashing process for stripping photoresist |
US4940854A (en) * | 1988-07-13 | 1990-07-10 | Minnesota Mining And Manufacturing Company | Organic thin film controlled molecular epitaxy |
US5322765A (en) | 1991-11-22 | 1994-06-21 | International Business Machines Corporation | Dry developable photoresist compositions and method for use thereof |
GEP20002074B (en) * | 1992-05-19 | 2000-05-10 | Westaim Tech Inc Ca | Modified Material and Method for its Production |
EP0635884A1 (de) | 1993-07-13 | 1995-01-25 | Siemens Aktiengesellschaft | Verfahren zur Herstellung eines Grabens in einem Substrat und dessen Verwendung in der Smart-Power-Technologie |
JP3654597B2 (ja) * | 1993-07-15 | 2005-06-02 | 株式会社ルネサステクノロジ | 製造システムおよび製造方法 |
JPH07106224A (ja) | 1993-10-01 | 1995-04-21 | Hitachi Ltd | パターン形成方法 |
US5534312A (en) * | 1994-11-14 | 1996-07-09 | Simon Fraser University | Method for directly depositing metal containing patterned films |
US6007963A (en) | 1995-09-21 | 1999-12-28 | Sandia Corporation | Method for extreme ultraviolet lithography |
US6261938B1 (en) * | 1997-02-12 | 2001-07-17 | Quantiscript, Inc. | Fabrication of sub-micron etch-resistant metal/semiconductor structures using resistless electron beam lithography |
WO1999004911A1 (en) * | 1997-07-28 | 1999-02-04 | Massachusetts Institute Of Technology | Pyrolytic chemical vapor deposition of silicone films |
US6290779B1 (en) | 1998-06-12 | 2001-09-18 | Tokyo Electron Limited | Systems and methods for dry cleaning process chambers |
US6348239B1 (en) * | 2000-04-28 | 2002-02-19 | Simon Fraser University | Method for depositing metal and metal oxide films and patterned films |
WO2001059825A1 (en) | 2000-02-08 | 2001-08-16 | Matrix Integrated Systems, Inc. | Method for removing photoresist and residues from semiconductor device surfaces |
US20060001064A1 (en) | 2000-04-28 | 2006-01-05 | Hill Ross H | Methods for the lithographic deposition of ferroelectric materials |
US20040191423A1 (en) * | 2000-04-28 | 2004-09-30 | Ruan Hai Xiong | Methods for the deposition of silver and silver oxide films and patterned films |
KR100406174B1 (ko) | 2000-06-15 | 2003-11-19 | 주식회사 하이닉스반도체 | 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드 |
JP2002015971A (ja) * | 2000-06-27 | 2002-01-18 | Matsushita Electric Ind Co Ltd | パターン形成方法及び半導体装置の製造装置 |
KR100398312B1 (ko) | 2000-06-30 | 2003-09-19 | 한국과학기술원 | 유기금속을 함유하고 있는 노르보넨 단량체, 이들의고분자 중합체를 함유하는 포토레지스트, 및 그제조방법과, 포토레지스트 패턴 형성방법 |
US6797439B1 (en) * | 2001-03-30 | 2004-09-28 | Schott Lithotec Ag | Photomask with back-side anti-reflective layer and method of manufacture |
US6686132B2 (en) | 2001-04-20 | 2004-02-03 | The Regents Of The University Of California | Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake |
US6933673B2 (en) * | 2001-04-27 | 2005-08-23 | Semiconductor Energy Laboratory Co., Ltd. | Luminescent device and process of manufacturing the same |
US6926957B2 (en) | 2001-06-29 | 2005-08-09 | 3M Innovative Properties Company | Water-based ink-receptive coating |
JP2003213001A (ja) | 2001-11-13 | 2003-07-30 | Sekisui Chem Co Ltd | 光反応性組成物 |
US6843858B2 (en) | 2002-04-02 | 2005-01-18 | Applied Materials, Inc. | Method of cleaning a semiconductor processing chamber |
JP3806702B2 (ja) * | 2002-04-11 | 2006-08-09 | Hoya株式会社 | 反射型マスクブランクス及び反射型マスク及びそれらの製造方法並びに半導体の製造方法 |
KR100922463B1 (ko) | 2002-04-11 | 2009-10-21 | 호야 가부시키가이샤 | 반사형 마스크 블랭크, 반사형 마스크 및 이들의 제조방법 |
DE10219173A1 (de) * | 2002-04-30 | 2003-11-20 | Philips Intellectual Property | Verfahren zur Erzeugung von Extrem-Ultraviolett-Strahlung |
KR101101698B1 (ko) * | 2002-10-21 | 2011-12-30 | 나노잉크, 인크. | 나노미터-수준으로 제어된 구조, 이의 제작을 위한 방법 및장치, 및 마스크 복구, 강화, 및 제작에의 적용 |
JP4153783B2 (ja) * | 2002-12-09 | 2008-09-24 | 株式会社東芝 | X線平面検出器 |
WO2004095551A1 (en) | 2003-03-31 | 2004-11-04 | Tokyo Electron Limited | Method and apparatus for multilayer photoresist dry development |
JP4313749B2 (ja) * | 2003-10-10 | 2009-08-12 | エーエスエムエル ネザーランズ ビー.ブイ. | 基板を支持部材上に配置する方法、及び基板ハンドラ |
GB0323805D0 (en) * | 2003-10-10 | 2003-11-12 | Univ Southampton | Synthesis of germanium sulphide and related compounds |
US7126128B2 (en) * | 2004-02-13 | 2006-10-24 | Kabushiki Kaisha Toshiba | Flat panel x-ray detector |
JP2006253282A (ja) * | 2005-03-09 | 2006-09-21 | Ebara Corp | 金属膜のパターン形成方法 |
US20060068173A1 (en) | 2004-09-30 | 2006-03-30 | Ebara Corporation | Methods for forming and patterning of metallic films |
US7885387B2 (en) * | 2004-12-17 | 2011-02-08 | Osaka University | Extreme ultraviolet light and X-ray source target and manufacturing method thereof |
KR100601979B1 (ko) | 2004-12-30 | 2006-07-18 | 삼성전자주식회사 | 반도체 웨이퍼의 베이킹 장치 |
KR100607201B1 (ko) * | 2005-01-04 | 2006-08-01 | 삼성전자주식회사 | 극자외선 리소그래피 공정에서 웨이퍼 상의 임계 치수편차를 보정하는 방법 |
US7365026B2 (en) | 2005-02-01 | 2008-04-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | CxHy sacrificial layer for cu/low-k interconnects |
US7868304B2 (en) * | 2005-02-07 | 2011-01-11 | Asml Netherlands B.V. | Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby |
US7482280B2 (en) | 2005-08-15 | 2009-01-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming a lithography pattern |
US7909960B2 (en) | 2005-09-27 | 2011-03-22 | Lam Research Corporation | Apparatus and methods to remove films on bevel edge and backside of wafer |
US8664124B2 (en) | 2005-10-31 | 2014-03-04 | Novellus Systems, Inc. | Method for etching organic hardmasks |
US20070117040A1 (en) | 2005-11-21 | 2007-05-24 | International Business Machines Corporation | Water castable-water strippable top coats for 193 nm immersion lithography |
US20110198756A1 (en) | 2005-11-28 | 2011-08-18 | Thenappan Ue | Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use |
JP2007207530A (ja) * | 2006-01-31 | 2007-08-16 | Toshiba Corp | 異方性導電膜及びこれを用いたx線平面検出器、赤外線平面検出器及び表示装置 |
US7662718B2 (en) | 2006-03-09 | 2010-02-16 | Micron Technology, Inc. | Trim process for critical dimension control for integrated circuits |
WO2009049048A2 (en) * | 2007-10-12 | 2009-04-16 | Ultradots, Inc. | Solar modules with enhanced efficiencies via use of spectral concentrators |
US7976631B2 (en) | 2007-10-16 | 2011-07-12 | Applied Materials, Inc. | Multi-gas straight channel showerhead |
KR100921932B1 (ko) | 2007-10-25 | 2009-10-15 | 포항공과대학교 산학협력단 | 다원자분자를 이용한 패터닝방법 |
US8153348B2 (en) | 2008-02-20 | 2012-04-10 | Applied Materials, Inc. | Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch |
US7985513B2 (en) * | 2008-03-18 | 2011-07-26 | Advanced Micro Devices, Inc. | Fluorine-passivated reticles for use in lithography and methods for fabricating the same |
US20090286402A1 (en) | 2008-05-13 | 2009-11-19 | Applied Materials, Inc | Method for critical dimension shrink using conformal pecvd films |
JP2009294439A (ja) * | 2008-06-05 | 2009-12-17 | Toshiba Corp | レジストパターン形成方法 |
JP5171422B2 (ja) | 2008-06-19 | 2013-03-27 | ルネサスエレクトロニクス株式会社 | 感光性組成物、これを用いたパターン形成方法、半導体素子の製造方法 |
US20090321707A1 (en) | 2008-06-25 | 2009-12-31 | Matthew Metz | Intersubstrate-dielectric nanolaminate layer for improved temperature stability of gate dielectric films |
US20090325387A1 (en) | 2008-06-26 | 2009-12-31 | Applied Materials, Inc. | Methods and apparatus for in-situ chamber dry clean during photomask plasma etching |
JP5541159B2 (ja) * | 2008-07-14 | 2014-07-09 | 旭硝子株式会社 | Euvリソグラフィ用反射型マスクブランク、および、euvリソグラフィ用反射型マスク |
WO2010011974A1 (en) | 2008-07-24 | 2010-01-28 | Kovio, Inc. | Aluminum inks and methods of making the same, methods for depositing aluminum inks, and films formed by printing and/or depositing an aluminum ink |
US7977235B2 (en) * | 2009-02-02 | 2011-07-12 | Tokyo Electron Limited | Method for manufacturing a semiconductor device with metal-containing cap layers |
JP5193121B2 (ja) * | 2009-04-17 | 2013-05-08 | 東京エレクトロン株式会社 | レジスト塗布現像方法 |
US8114306B2 (en) | 2009-05-22 | 2012-02-14 | International Business Machines Corporation | Method of forming sub-lithographic features using directed self-assembly of polymers |
US20100304027A1 (en) * | 2009-05-27 | 2010-12-02 | Applied Materials, Inc. | Substrate processing system and methods thereof |
CN102725843B (zh) * | 2009-11-17 | 2017-03-01 | 欧瑞康先进科技股份公司 | 用于处理基材的装置与方法 |
WO2011081151A1 (ja) | 2009-12-28 | 2011-07-07 | 旭硝子株式会社 | 感光性組成物、隔壁、カラーフィルタおよび有機el素子 |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9176377B2 (en) | 2010-06-01 | 2015-11-03 | Inpria Corporation | Patterned inorganic layers, radiation based patterning compositions and corresponding methods |
US8138097B1 (en) | 2010-09-20 | 2012-03-20 | Kabushiki Kaisha Toshiba | Method for processing semiconductor structure and device based on the same |
TW201224190A (en) * | 2010-10-06 | 2012-06-16 | Applied Materials Inc | Atomic layer deposition of photoresist materials and hard mask precursors |
US8470711B2 (en) | 2010-11-23 | 2013-06-25 | International Business Machines Corporation | Tone inversion with partial underlayer etch for semiconductor device formation |
US8836082B2 (en) | 2011-01-31 | 2014-09-16 | Brewer Science Inc. | Reversal lithography approach by selective deposition of nanoparticles |
US8778816B2 (en) * | 2011-02-04 | 2014-07-15 | Applied Materials, Inc. | In situ vapor phase surface activation of SiO2 |
JP5708522B2 (ja) | 2011-02-15 | 2015-04-30 | 信越化学工業株式会社 | レジスト材料及びこれを用いたパターン形成方法 |
WO2012118847A2 (en) * | 2011-02-28 | 2012-09-07 | Inpria Corportion | Solution processible hardmarks for high resolusion lithography |
FR2975823B1 (fr) | 2011-05-27 | 2014-11-21 | Commissariat Energie Atomique | Procede de realisation d'un motif a la surface d'un bloc d'un substrat utilisant des copolymeres a bloc |
US8709706B2 (en) | 2011-06-15 | 2014-04-29 | Applied Materials, Inc. | Methods and apparatus for performing multiple photoresist layer development and etching processes |
CN108594599B (zh) | 2011-07-08 | 2022-04-22 | Asml荷兰有限公司 | 抗蚀剂材料、光刻图案化方法和氧化物的用途 |
CN102610516B (zh) | 2011-07-22 | 2015-01-21 | 上海华力微电子有限公司 | 一种提高光刻胶与金属/金属化合物表面之间粘附力的方法 |
US8968989B2 (en) | 2011-11-21 | 2015-03-03 | Brewer Science Inc. | Assist layers for EUV lithography |
US8809994B2 (en) | 2011-12-09 | 2014-08-19 | International Business Machines Corporation | Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate |
US20130177847A1 (en) | 2011-12-12 | 2013-07-11 | Applied Materials, Inc. | Photoresist for improved lithographic control |
US8691476B2 (en) | 2011-12-16 | 2014-04-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | EUV mask and method for forming the same |
SG193093A1 (en) * | 2012-02-13 | 2013-09-30 | Novellus Systems Inc | Method for etching organic hardmasks |
US8703386B2 (en) | 2012-02-27 | 2014-04-22 | International Business Machines Corporation | Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications |
US20150125679A1 (en) * | 2012-05-14 | 2015-05-07 | Konica Minolta, Inc. | Gas barrier film, manufacturing method for gas barrier film, and electronic device |
SG195494A1 (en) | 2012-05-18 | 2013-12-30 | Novellus Systems Inc | Carbon deposition-etch-ash gap fill process |
SG2013083241A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Conformal film deposition for gapfill |
US8969997B2 (en) | 2012-11-14 | 2015-03-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Isolation structures and methods of forming the same |
US8927989B2 (en) | 2012-11-28 | 2015-01-06 | International Business Machines Corporation | Voltage contrast inspection of deep trench isolation |
US9362133B2 (en) | 2012-12-14 | 2016-06-07 | Lam Research Corporation | Method for forming a mask by etching conformal film on patterned ashable hardmask |
US9337068B2 (en) | 2012-12-18 | 2016-05-10 | Lam Research Corporation | Oxygen-containing ceramic hard masks and associated wet-cleans |
JP5913077B2 (ja) * | 2012-12-18 | 2016-04-27 | 信越化学工業株式会社 | ポジ型レジスト材料及びこれを用いたパターン形成方法 |
JP6134522B2 (ja) | 2013-01-30 | 2017-05-24 | 株式会社ニューフレアテクノロジー | 気相成長装置および気相成長方法 |
US9304396B2 (en) | 2013-02-25 | 2016-04-05 | Lam Research Corporation | PECVD films for EUV lithography |
US9607904B2 (en) * | 2013-03-11 | 2017-03-28 | Intermolecular, Inc. | Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices |
US9223220B2 (en) | 2013-03-12 | 2015-12-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photo resist baking in lithography process |
US9632411B2 (en) | 2013-03-14 | 2017-04-25 | Applied Materials, Inc. | Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor |
US10074544B2 (en) | 2013-04-23 | 2018-09-11 | Massachusetts Institute Of Technology | Developer free positive tone lithography by thermal direct write |
US9310684B2 (en) | 2013-08-22 | 2016-04-12 | Inpria Corporation | Organometallic solution based high resolution patterning compositions |
US9372402B2 (en) * | 2013-09-13 | 2016-06-21 | The Research Foundation For The State University Of New York | Molecular organometallic resists for EUV |
US9405204B2 (en) | 2013-09-18 | 2016-08-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of overlay in extreme ultra-violet (EUV) lithography |
JP5917477B2 (ja) | 2013-11-29 | 2016-05-18 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及びプログラム |
US9778561B2 (en) | 2014-01-31 | 2017-10-03 | Lam Research Corporation | Vacuum-integrated hardmask processes and apparatus |
US10685846B2 (en) | 2014-05-16 | 2020-06-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor integrated circuit fabrication with pattern-reversing process |
WO2016007303A1 (en) | 2014-07-08 | 2016-01-14 | Tokyo Electron Limited | Negative tone developer compatible photoresist composition and methods of use |
GB201412201D0 (en) * | 2014-07-09 | 2014-08-20 | Isis Innovation | Two-step deposition process |
KR102696070B1 (ko) | 2014-10-23 | 2024-08-16 | 인프리아 코포레이션 | 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법 |
US9609730B2 (en) | 2014-11-12 | 2017-03-28 | Lam Research Corporation | Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas |
US9551924B2 (en) * | 2015-02-12 | 2017-01-24 | International Business Machines Corporation | Structure and method for fixing phase effects on EUV mask |
IL254225B2 (en) | 2015-03-09 | 2024-03-01 | Versum Mat Us Llc | A process for depositing porous organosilicate glass layers for use as random access resistant memory |
JP6404757B2 (ja) | 2015-03-27 | 2018-10-17 | 信越化学工業株式会社 | レジスト下層膜材料用重合体、レジスト下層膜材料、及びパターン形成方法 |
US20160314964A1 (en) | 2015-04-21 | 2016-10-27 | Lam Research Corporation | Gap fill using carbon-based films |
US9829790B2 (en) | 2015-06-08 | 2017-11-28 | Applied Materials, Inc. | Immersion field guided exposure and post-exposure bake process |
US9659771B2 (en) | 2015-06-11 | 2017-05-23 | Applied Materials, Inc. | Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning |
US9922839B2 (en) | 2015-06-23 | 2018-03-20 | Lam Research Corporation | Low roughness EUV lithography |
US20190001293A1 (en) | 2015-07-01 | 2019-01-03 | Panasonic Intellectual Property Management Co., Ltd. | Aerogels, materials using same, and methods for producing same |
KR102204773B1 (ko) | 2015-10-13 | 2021-01-18 | 인프리아 코포레이션 | 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝 |
US9996004B2 (en) | 2015-11-20 | 2018-06-12 | Lam Research Corporation | EUV photopatterning of vapor-deposited metal oxide-containing hardmasks |
JP6603115B2 (ja) | 2015-11-27 | 2019-11-06 | 信越化学工業株式会社 | ケイ素含有縮合物、ケイ素含有レジスト下層膜形成用組成物、及びパターン形成方法 |
US10503070B2 (en) | 2015-12-10 | 2019-12-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Photosensitive material and method of lithography |
US9633838B2 (en) | 2015-12-28 | 2017-04-25 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Vapor deposition of silicon-containing films using penta-substituted disilanes |
US10018920B2 (en) | 2016-03-04 | 2018-07-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Lithography patterning with a gas phase resist |
KR102394042B1 (ko) | 2016-03-11 | 2022-05-03 | 인프리아 코포레이션 | 사전패터닝된 리소그래피 템플레이트, 상기 템플레이트를 이용한 방사선 패터닝에 기초한 방법 및 상기 템플레이트를 형성하기 위한 방법 |
CN109154772B (zh) | 2016-05-19 | 2023-11-07 | Asml荷兰有限公司 | 抗蚀剂组合物 |
WO2018004551A1 (en) | 2016-06-28 | 2018-01-04 | Intel Corporation | Polysilane-, polygermane-, and polystannane-based materials for euv and ebeam lithography |
US9824893B1 (en) | 2016-06-28 | 2017-11-21 | Lam Research Corporation | Tin oxide thin film spacers in semiconductor device manufacturing |
KR102329105B1 (ko) | 2016-08-12 | 2021-11-18 | 인프리아 코포레이션 | 금속 함유 레지스트로부터의 에지 비드 영역의 금속 잔류물 저감방법 |
US10755942B2 (en) | 2016-11-02 | 2020-08-25 | Massachusetts Institute Of Technology | Method of forming topcoat for patterning |
US9929012B1 (en) | 2016-12-14 | 2018-03-27 | International Business Machines Corporation | Resist having tuned interface hardmask layer for EUV exposure |
KR102047538B1 (ko) | 2017-02-03 | 2019-11-21 | 삼성에스디아이 주식회사 | 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법 |
US10176984B2 (en) | 2017-02-14 | 2019-01-08 | Lam Research Corporation | Selective deposition of silicon oxide |
US20180308687A1 (en) | 2017-04-24 | 2018-10-25 | Lam Research Corporation | Euv photopatterning and selective deposition for negative pattern mask |
US10796912B2 (en) | 2017-05-16 | 2020-10-06 | Lam Research Corporation | Eliminating yield impact of stochastics in lithography |
TWI803806B (zh) | 2017-11-20 | 2023-06-01 | 美商英培雅股份有限公司 | 有機錫簇,有機錫簇之溶液,及於高解析度圖案化之應用 |
TW202348612A (zh) | 2018-04-05 | 2023-12-16 | 美商英培雅股份有限公司 | 包含錫化合物的組合物及其應用 |
US11673903B2 (en) | 2018-04-11 | 2023-06-13 | Inpria Corporation | Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods |
US10787466B2 (en) | 2018-04-11 | 2020-09-29 | Inpria Corporation | Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods |
EP3791231A4 (en) | 2018-05-11 | 2022-01-26 | Lam Research Corporation | METHODS FOR MAKING EUV PATTERNABLE HARD MASKS |
JP7295891B2 (ja) | 2018-06-21 | 2023-06-21 | インプリア・コーポレイション | モノアルキルスズアルコキシドの安定な溶液、並びにそれらの加水分解生成物及び縮合生成物 |
TW202016279A (zh) * | 2018-10-17 | 2020-05-01 | 美商英培雅股份有限公司 | 圖案化有機金屬光阻及圖案化的方法 |
JP2022507368A (ja) | 2018-11-14 | 2022-01-18 | ラム リサーチ コーポレーション | 次世代リソグラフィにおいて有用なハードマスクを作製する方法 |
US11966158B2 (en) | 2019-01-30 | 2024-04-23 | Inpria Corporation | Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with low metal contamination and/or particulate contamination, and corresponding methods |
US11498934B2 (en) | 2019-01-30 | 2022-11-15 | Inpria Corporation | Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with particulate contamination and corresponding methods |
CN114365044A (zh) | 2019-06-27 | 2022-04-15 | 朗姆研究公司 | 用于光致抗蚀剂干式沉积的设备 |
-
2015
- 2015-01-30 US US14/610,038 patent/US9778561B2/en active Active
- 2015-01-30 JP JP2015016254A patent/JP6495025B2/ja active Active
- 2015-01-30 KR KR1020150015184A patent/KR102306612B1/ko active IP Right Grant
- 2015-01-30 TW TW104103153A patent/TWI639179B/zh active
- 2015-02-02 CN CN201510053668.7A patent/CN105047541B/zh active Active
- 2015-02-02 CN CN201810783756.6A patent/CN109216170A/zh active Pending
-
2017
- 2017-08-30 US US15/691,659 patent/US10514598B2/en active Active
-
2018
- 2018-11-30 US US16/206,959 patent/US10831096B2/en active Active
-
2019
- 2019-11-21 US US16/691,508 patent/US11209729B2/en active Active
-
2021
- 2021-11-16 US US17/455,185 patent/US20220075260A1/en active Pending
-
2023
- 2023-04-10 US US18/297,989 patent/US20230273516A1/en active Pending
- 2023-04-10 US US18/298,003 patent/US20230266662A1/en active Pending
Also Published As
Publication number | Publication date |
---|---|
KR102306612B1 (ko) | 2021-09-29 |
TW201539538A (zh) | 2015-10-16 |
JP2015201622A (ja) | 2015-11-12 |
US20220075260A1 (en) | 2022-03-10 |
CN105047541B (zh) | 2018-08-14 |
US9778561B2 (en) | 2017-10-03 |
CN109216170A (zh) | 2019-01-15 |
US20190094685A1 (en) | 2019-03-28 |
US20150221519A1 (en) | 2015-08-06 |
US20200089104A1 (en) | 2020-03-19 |
CN105047541A (zh) | 2015-11-11 |
JP6495025B2 (ja) | 2019-04-03 |
US10514598B2 (en) | 2019-12-24 |
US20230273516A1 (en) | 2023-08-31 |
US11209729B2 (en) | 2021-12-28 |
US20230266662A1 (en) | 2023-08-24 |
US20180004083A1 (en) | 2018-01-04 |
US10831096B2 (en) | 2020-11-10 |
KR20150091260A (ko) | 2015-08-10 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI639179B (zh) | 真空整合硬遮罩製程及設備 | |
JP7282830B2 (ja) | 蒸着金属酸化物含有ハードマスクのeuvフォトパターニング | |
JP7504977B2 (ja) | リソグラフィにおける確率的な歩留まりへの影響の排除 | |
JP2022538554A (ja) | フォトレジスト膜のチャンバ乾式洗浄 | |
KR20220031647A (ko) | 복수의 패터닝 복사-흡수 엘리먼트들 및/또는 수직 조성 경사 (composition gradient) 를 갖는 포토레지스트 | |
JP7382512B2 (ja) | 照射フォトレジストパターニングのための統合乾式プロセス | |
US20220404713A1 (en) | Dry Resist System and Method of Using |