CN108594599B - 抗蚀剂材料、光刻图案化方法和氧化物的用途 - Google Patents

抗蚀剂材料、光刻图案化方法和氧化物的用途 Download PDF

Info

Publication number
CN108594599B
CN108594599B CN201810445598.3A CN201810445598A CN108594599B CN 108594599 B CN108594599 B CN 108594599B CN 201810445598 A CN201810445598 A CN 201810445598A CN 108594599 B CN108594599 B CN 108594599B
Authority
CN
China
Prior art keywords
resist material
resist
euv
radiation
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810445598.3A
Other languages
English (en)
Other versions
CN108594599A (zh
Inventor
S·伍伊斯特尔
A·亚库宁
V·克里夫特苏恩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
Original Assignee
ASML Holding NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Holding NV filed Critical ASML Holding NV
Publication of CN108594599A publication Critical patent/CN108594599A/zh
Application granted granted Critical
Publication of CN108594599B publication Critical patent/CN108594599B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0388Macromolecular compounds which are rendered insoluble or differentially wettable with ethylenic or acetylenic bands in the side chains of the photopolymer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F30/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and containing phosphorus, selenium, tellurium or a metal
    • C08F30/04Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and containing phosphorus, selenium, tellurium or a metal containing a metal
    • C08F30/08Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and containing phosphorus, selenium, tellurium or a metal containing a metal containing silicon
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • G03F7/0758Macromolecular compounds containing Si-O, Si-C or Si-N bonds with silicon- containing groups in the side chains
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Metallurgy (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Abstract

一种抗蚀剂材料、光刻图案化方法以及氧化物的用途,所述抗蚀剂材料包括氧化物,所述氧化物包括选自由下列元素构成的组中的至少一种元素:Ta、Re、Os、Ir、Ni、Cu和Zn,其中,所述抗蚀剂材料对于具有小于11nm的波长的EUV光具有敏感性。

Description

抗蚀剂材料、光刻图案化方法和氧化物的用途
本申请是于2012年5月30日递交的、申请号为“201280033742.3”、发明名称为“光刻图案化过程和其中使用的抗蚀剂”的中国专利申请的分案申请。
相关申请的交叉引用
本申请要求于2011年7月8日递交的美国临时申请61/505,768的权益,其在此通过引用整体并入本文。
技术领域
本发明涉及使用用于光刻目的的包括特定金属的特别的含硅聚合物或化合物和使用这种聚合物或化合物的新的图案化方法或过程。本发明还涉及含硅聚合物本身,和在涉及发射小于11nm的波长的极紫外(EUV)辐射的光刻过程中作为抗蚀剂的、包括特定金属的含硅聚合物或化合物的用途。
背景技术
在光刻技术中,期望的图案被施加到衬底上,通常是衬底的目标部分上。例如,可以将光刻设备用在集成电路(ICs)的制造中。在这种情况下,可以将可选地称为掩模或掩模版的图案形成装置用于生成将要在所述IC的单层上形成的电路图案。这种图案可以被转移到衬底(例如硅晶片)上的目标部分上。通常,图案转移是通过将图案成像到设置在衬底上的、通常称为抗蚀剂的辐射敏感材料的层上。通常,单个衬底将包含被连续图案化的相邻的目标部分的网络。
光刻术被广泛地看作制造IC和其他器件和/或结构的关键步骤之一。然而,随着通过使用光刻术制造的特征的尺寸变得越来越小,光刻术正变成允许制造微型IC或其他器件和/或结构的更加关键的因素。图案印刷极限的理论估计可以由用于分辨率的瑞利法则给出,如等式(1)所示:
Figure BDA0001656334010000021
其中λ是所用辐射的波长,NA是用以印刷图案的投影系统的数值孔径,k1是依赖于过程的调节因子,也称为瑞利常数,CD是所印刷的特征的特征尺寸(或临界尺寸)。由等式(1)知道,减小特征的最小可印刷尺寸可以由三种途径获得:通过缩短曝光波长λ、通过增大数值孔径NA或通过减小k1的值。
为了缩短曝光波长,并因此减小最小可印刷尺寸,已经提出使用极紫外(EUV)辐射源。EUV辐射具有在5-20nm范围内,例如在13-14nm范围内的波长。这种辐射有时候称为软X射线。可以通过使用等离子体产生EUV辐射。用于产生EUV辐射的辐射系统可以包括用于激发燃料以提供等离子体的激光器和用于包含等离子体的源收集器模块。例如可以通过引导激光束至诸如合适材料(例如锡)的颗粒或合适气体或蒸汽(例如氙气或锂蒸汽)的流等燃料产生等离子体。这种辐射系统通常被称为激光产生的等离子体(LPP)源。可替代的源包括放电等离子体源,或基于通过电子存储环提供的同步加速器辐射的源。
在EUV光刻术中,波长的选择可以受到包括合适的辐射源、光学部件以及过程材料的可利用性的实际考虑因素的限制。目前的EUV光刻系统全部使用波长在13-14nm范围内的辐射操作,并且在EUV光刻术在批量生产(volume production)中使用之前多种研发一直在进行。还提出,可以使用波长小于11nm的EUV辐射,例如在5-10nm范围内或5-8nm范围内,并且尤其在6.5-6.9nm的所谓的′6.x′波长区域中,例如6.7nm或6.8nm。本发明在于,更短的波长可以比当前使用的13.5nm辐射提供更高的分辨率(低于11nm节点的特征),更大的焦深(DOF)以及更高的生产率。然而,波长的改变带来一个实际考虑的新的范围,并且针对于13.5nm优化的技术和材料可以或不可以在较短的波长处工作。
商业上的EUV光刻术的发展的特别的挑战在于将在蚀刻抗蚀剂材料中实现由EUV光学系统投影的高分辨率图案的辐射敏感抗蚀剂材料的配方。已经公开的一些工作涉及可用于13.5nm的抗蚀剂材料的开发上。本发明人已经认识到,非常不同的溶液可适于用在11nm以下的更短波长。
发明内容
根据本发明的一方面,提供(i)含硅聚合物或(ii)包括选自下列元素中至少一种元素的化合物:Ta、W、Re、Os、Ir、Ni、Cu和Zn在用于EUV光刻过程的抗蚀剂材料中的用途,其中用在所述过程中的EUV辐射波长小于11nm。所述波长在5-8nm范围内,例如在6.5-6.9nm范围内,例如大约6.7nm或6.8nm。
在一个实施例中,抗蚀剂材料被沉积在衬底上作为膜,并且所述膜具有10nm至100nm范围的厚度,例如小于50nm或甚至小于30nm。以此方式,抗蚀剂高度与特征宽度的比值可以保持小于3,小于2.5,或小于2。
根据本发明的一方面,提供一种光刻图案化过程,包括:通过使用如上所述的材料在衬底上形成抗蚀剂材料的膜;用小于11nm波长的图案化的EUV辐射束照射抗蚀剂膜;以及显影所述抗蚀剂膜。
根据本发明的一方面,提供一种制造器件的方法,其中通过一系列光刻步骤以及其他处理步骤将图案化的器件特征应用至衬底,其中,所述光刻步骤中的至少一个是如上所述的根据本发明一方面的光刻图案化过程,光刻图案化过程包括使用材料作为抗蚀剂。
根据本发明的一方面,提供一种用具有小于11nm波长的图案化的EUV辐射束照射抗蚀剂材料的抗蚀剂膜的方法,所述抗蚀剂材料包括含硅聚合物和包括选自由下列元素中的至少一种元素的化合物:Ta、W、Re、Os、Ir、Ni、Cu和Zn。
根据本发明的一方面,提供一种含硅聚合物,包括具有以下化学式的单体:
Figure BDA0001656334010000031
其中R是C1至C20烷基甲硅烷基或具有化学式的基:
Figure BDA0001656334010000041
其中,R1、R2以及R3每一个是C1至C20烷基甲硅烷基。
含硅聚合物可以被用作光刻过程中的抗蚀剂。其具体示例和变形形式在下面进一步描述以帮助理解本发明。
附图说明
下面参照所附示意图仅通过示例描述本发明的多个实施例,在所述示意图中:
图1示意地示出用在本发明的实施例中的光刻设备的功能元件;
图2是图1的设备的更详细视图;
图3示出根据本发明一个实施例的使用抗蚀剂的图案化过程的不同步骤;
图4示出本发明的实施例的多种含硅聚合物与已知的抗蚀剂对比的EUV发射曲线;以及
图5示出本发明的一个实施例的聚合物的单体单元依赖于它们所包含的硅原子的数量(如果有的话)在6.5nm和13.5nm处的发射曲线。
具体实施方式
图1示意性地示出用于根据本发明一个实施例的器件制造过程的实施例中的一种光刻设备100。所述设备包括:源收集器模块SO;照射系统(照射器)IL,配置用于调节辐射束B(例如,EUV辐射);支撑结构(例如掩模台)MT,构造用于支撑图案形成装置(例如掩模或掩模版)MA并与配置用于精确地定位图案形成装置的第一定位装置PM相连;衬底台(例如晶片台)WT,构造用于保持衬底(例如涂覆有抗蚀剂的晶片)W,并与配置用于精确地定位衬底的第二定位装置PW相连;和投影系统(例如反射式投影系统)PS,所述投影系统PS配置用于将由图案形成装置MA赋予辐射束B的图案投影到衬底W的目标部分C(例如包括一根或更多根管芯)上。
所述照射系统可以包括各种类型的光学部件,例如折射型、反射型、磁性型、电磁型、静电型或其它类型的光学部件、或其任意组合,以引导、成形、或控制辐射。
支撑结构MT以依赖于图案形成装置的方向、光刻设备的设计以及诸如图案形成装置是否保持在真空环境中等其它条件的方式保持图案形成装置MA。所述支撑结构可以采用机械的、真空的、静电的或其它夹持技术来保持图案形成装置。所述支撑结构可以是框架或台,例如,其可以根据需要成为固定的或可移动的。所述支撑结构可以确保图案形成装置位于所需的位置上(例如相对于投影系统)。
这里所使用的术语“图案形成装置”应该被广义地理解为表示能够用于将图案在辐射束的横截面上赋予辐射束、以便在衬底的目标部分上形成图案的任何装置。被赋予辐射束的图案可以与在目标部分上形成的器件中的特定的功能层相对应,例如集成电路。
图案形成装置可以是透射式的或反射式的。图案形成装置的示例包括掩模、可编程反射镜阵列以及可编程液晶显示(LCD)面板。掩模在光刻术中是公知的,并且包括诸如二元掩模类型、交替型相移掩模类型、衰减型相移掩模类型和各种混合掩模类型之类的掩模类型。可编程反射镜阵列的示例采用小反射镜的矩阵布置,每一个小反射镜可以独立地倾斜,以便沿不同方向反射入射的辐射束。所述已倾斜的反射镜将图案赋予由所述反射镜矩阵反射的辐射束。
投影系统,如同照射系统,可以包括多种类型的光学部件,例如折射型、反射型、磁性型、电磁型和静电型或其他类型光学部件、或其任意组合,如对于所使用的曝光辐射所适合的、或对于诸如使用浸没液或使用真空之类的其他因素所适合的。可以希望对EUV辐射使用真空,因为其他气体可以吸收太多的辐射。因而借助真空壁和真空泵对整个束路径提供真空环境。
如这里所示的,所述设备是是反射型的(例如,采用反射式掩模)。
所述光刻设备可以是具有两个(双台)或更多衬底台(和/或两个或更多的掩模台)的类型。在这种“多台”机器中,可以并行地使用附加的台,或可以在一个或更多个台上执行预备步骤的同时,将一个或更多个其它台用于曝光。
参照图1,照射器IL接收来自源收集器模块SO的极紫外辐射束。用以产生EUV光的方法包括但不必限于将材料转换为等离子体状态,其具有至少一种元素,例如氙、锂或锡,在EUV范围内具有一个或更多个发射线。在一个这样的方法中,通常称为激光产生等离子体(“LPP”),所需的等离子体可以通过使用激光束照射例如具有所需发射线元素的材料的液滴、流或蔟的燃料。源收集器模块SO可以是包括激光器(图1中未示出)的EUV辐射系统的一部分,用于提供激光束激发燃料。所形成的等离子体发射输出辐射,例如EUV辐射,其通过使用设置在源收集器模块中的辐射收集器收集。激光器和源收集器模块可以是分立的实体,例如当使用CO2激光器提供激光束用于燃料激发时。
在这种情况下,激光器不被看作形成光刻设备的部分并且借助于包括例如合适的定向反射镜和/或扩束器的束传递系统辐射束从激光器被传递至源收集器模块。在其他情形中,源可以是源收集器模块的组成部分,例如当源是放电产生的等离子体EUV生成器(通常称为DPP源)时。
照射器IL可以包括调节器,用于调节辐射束的角度强度分布。通常,可以对所述照射器的光瞳平面中的强度分布的至少所述外部和/或内部径向范围(一般分别称为σ-外部和σ-内部)进行调整。此外,所述照射器IL可以包括各种其它部件,例如琢面场反射镜装置和琢面光瞳反射镜装置(又可称为多小面场反射镜装置和多小面光瞳反射镜装置)。可以将所述照射器用于调节所述辐射束,以在其横截面中具有所需的均匀性和强度分布。
所述辐射束B入射到保持在支撑结构(例如,掩模台)MT上的所述图案形成装置(例如,掩模)MA上,并且通过所述图案形成装置来形成图案。已经由图案形成装置(例如,掩模)MA反射之后,所述辐射束B通过投影系统PS,所述投影系统PS将辐射束聚焦到所述衬底W的目标部分C上。通过第二定位装置PW和位置传感器PS2(例如,干涉仪器件、线性编码器或电容传感器)的帮助,可以精确地移动所述衬底台WT,例如以便将不同的目标部分C定位于所述辐射束B的路径中。类似地,可以将所述第一定位装置PM和另一个位置传感器PS1用于相对于所述辐射束B的路径精确地定位图案形成装置(例如,掩模)MA。可以使用掩模对准标记M1、M2和衬底对准标记P1、P2来对准图案形成装置(例如,掩模)MA和衬底W。
可以将所述设备用于以下模式中的至少一种中:
1.在步进模式中,在将支撑结构(例如掩模台)MT和衬底台WT保持为基本静止的同时,将赋予所述辐射束的整个图案一次投影到目标部分C上(即,单一的静态曝光)。然后将所述衬底台WT沿X和/或Y方向移动,使得可以对不同目标部分C曝光。
2.在扫描模式中,在对支撑结构(例如掩模台)MT和衬底台WT同步地进行扫描的同时,将赋予所述辐射束的图案投影到目标部分C上(即,单一的动态曝光)。衬底台WT相对于支撑结构(例如掩模台)MT的速度和方向可以通过所述投影系统PS的(缩小)放大率和图像反转特征来确定。
3.在另一种模式中,将用于保持可编程图案形成装置的支撑结构(例如掩模台)MT保持为基本静止,并且在对所述衬底台WT进行移动或扫描的同时,将赋予所述辐射束的图案投影到目标部分C上。在这种模式中,通常采用脉冲辐射源,并且在所述衬底台WT的每一次移动之后、或在扫描期间的连续辐射脉冲之间,根据需要更新所述可编程图案形成装置。这种操作模式可易于应用于利用可编程图案形成装置(例如,如上所述类型的可编程反射镜阵列)的无掩模光刻术中。
也可以采用上述使用模式的组合和/或变体,或完全不同的使用模式。
图2更详细地示出设备100,包括源收集器模块SO、照射系统IL以及投影系统PS。源收集器模块SO构造并布置成使得在源收集器模块SO的包围结构220内保持真空环境。通过放电产生的等离子体源形成用于发射EUV辐射的等离子体210。EUV辐射可以由气体或蒸汽形成,例如氙气、锂蒸汽或锡蒸汽,其中产生极高温等离子体210以发射在电磁波谱的EUV范围内的辐射。通过例如放电引起至少部分电离的等离子体,产生所述极高温等离子体210。为了有效地产生辐射,可能需要例如10Pa分压的氙、锂、锡蒸汽或任何其他合适的气体或蒸汽。在一个实施例中,提供激发的锡(Sn)的等离子体以产生EUV辐射。
由高温等离子体210发射的辐射从源腔211经由定位在源腔211中的开口内或后面的可选的气体阻挡件或污染物阱230(在某些情况下也称为污染物阻挡件或翼片阱)而传递进入收集器腔212。污染物阱230可以包括通道结构(channel structure)。污染物阱230还可以包括气体阻挡件或气体阻挡件和通道结构的组合。这里示出的污染物阱或污染物阻挡件230还至少包括通道结构,如本领域技术人员了解的。
收集器室211可以包括辐射收集器CO,其可以是所谓的掠入射收集器。辐射收集器CO具有上游辐射收集器侧251和下游辐射收集器侧252。穿过收集器CO的辐射可以被反射离开光栅光谱滤光片240,以聚焦在虚源点IF。虚源点IF通常被称为中间焦点,并且源收集器模块布置使得中间焦点IF位于包围结构220内的开口221或其附近。虚源点IF是用于发射辐射的等离子体210的像。
随后,辐射穿过照射系统IL,照射系统可以包括布置成在图案形成装置MA处提供辐射束21的期望的角分布以及在图案形成装置MA处提供期望的辐射强度均匀性的琢面光瞳反射镜装置24和琢面场反射镜装置22。在辐射束21在由支撑结构MT保持的图案形成装置MA处反射之后,形成图案化的束26,并且图案化的束26通过投影系统PS经由反射元件28、30成像到由晶片台或衬底台WT保持的衬底W上。
通常在照射光学单元IL和投影系统PS内存在比所示出的元件更多的元件。光栅光谱滤光片240可以是可选的,这依赖于光刻设备的类型。此外,可以存在比图中示出的反射镜更多的反射镜,例如在投影系统PS内可以存在比图2中示出的反射元件多1-6个附加的反射元件。
如图2所示,收集器光学装置CO被图示为具有掠入射反射器253、254以及255的巢状收集器,其仅作为收集器(或收集器反射镜)的一个示例。掠入射反射器253、254以及255围绕光学轴线O轴向对称地设置并且这种类型的收集器装置CO优选与放电产生的等离子体源(通常称为DPP源)结合使用。
替代地,源收集器模块SO可以是使用近法向入射收集器光学装置(未示出)的LPP辐射系统的一部分。在LPP系统中,激光器布置成将激光能量沉积到燃料材料上,由此产生具有几十电子伏特的电子温度的高度离子化的等离子体。在这些离子的去激发和再复合期间产生的高能辐射从等离子体发射,通过近法向入射收集器光学装置CO收集并聚焦到包围结构220内的开口221上。对于13.5nm波长,使用例如氙(Xe)、锡(Sn)或锂(Li)等燃料。对于6.xnm波长,Gd和Tb以及它们的合金和化合物(例如Gd2O3)是候选。每个光子的能量可以大于100eV,例如大约188eV。
器件制造过程
作为制造器件的方法的一部分的通常的图案化过程通常使用如图1和2的设备以将图案从图案形成装置M转移至衬底W上的辐射敏感抗蚀剂材料(简称“抗蚀剂”)。
图3示出在为了制造诸如集成电路等对象的使用抗蚀剂的图案化过程中涉及的七个步骤(S0至S7)。这些步骤如下所示:
S0:准备衬底W,其可以是例如硅晶片。
S1(涂布):将抗蚀剂溶液旋涂在衬底W上以形成极薄的均匀的层。该抗蚀剂层可以在低温条件下烘烤以蒸发残余溶剂。
S2(曝光):在抗蚀剂层上通过使用光刻设备100和合适的图案形成装置M经由EUV曝光而形成潜像。
S3(显影和蚀刻):在“正”抗蚀剂的情形中,通过使用合适的溶剂冲洗来去除已经被曝光的抗蚀剂区域。在“负”抗蚀剂的情形中,去除未曝光的区域。该步骤与对衬底的抗蚀剂图案的处理步骤结合,或随后跟着对衬底的抗蚀剂图案的处理步骤。在附图中术语“蚀刻”仅作为示例使用。处理步骤可以包括湿式或干式蚀刻,剥离,掺杂等。无论通过什么过程或工艺,被施加的图案在衬底上的材料的增加、去除或修改过程中被嵌入。图中示出材料的去除,为沉积步骤S5做准备。
S4(剥离):从图案化的衬底W去除剩余抗蚀剂。
S5(沉积):沉积不同的物质以填充衬底W的图案。
S6(抛光):通过抛光从晶片W表面去除多余的物质,在晶片W内仅留下期望的图案。
以不同的图案和不同的处理步骤重复S1至S6步骤,以在衬底W的原始表面之上或之下的不同的层中形成具有期望的图案的功能特征,直到实现最终的多层产品(步骤S7)。该产品通常不必是半导体器件,例如集成电路。
如上所述,本领域读者将认识到,步骤S4至S6仅是可以应用在通过曝光的抗蚀剂确定的图案中的过程的一个示例。在生产的不同阶段可以使用并且将使用许多不同类型的步骤。例如,抗蚀剂可以用于控制下层材料的修改而不是将其蚀刻去掉。修改可以是例如氧化、掺杂,例如通过扩散或离子注入来实现。新的材料层可以沉积在衬底顶部。在许多过程中,光敏抗蚀剂仅是制造所谓的“硬掩模”的中间阶段,其在不同的材料中复现曝光过的图案。随后该硬掩模被用于控制蚀刻或修改具有期望的图案的下层材料但是将不通过光敏抗蚀剂本身控制的过程步骤。因此,依赖于寻求的所形成的图案和多个层的次序以及用以形成最终的器件所需的中间步骤,上述的过程可以变化:某些步骤被组合和/或去除以及增加某些附加的步骤。
当考虑适于用于通过6.x nm波长示例给出的低于11nm的EUV光刻过程的抗蚀剂时,发明人认识到要考虑许多问题。
当考虑EUV光刻术中的6.x技术时,将要在抗蚀剂中获得的特征的纵横比(高度与宽度比)应该至多是3,期望地是2,并且因此所考虑的抗蚀剂膜的厚度减小至大约10nm至大约100nm的范围。这是因为投影在抗蚀剂上的图像的焦深的减小。因而,较薄的抗蚀剂膜是期望的。然而,抗蚀剂的保护作用以及尤其地其对蚀刻的耐受性在较薄的膜的情况下可能不能实现,例如,这是由于厚度变化和微沟道构造。较薄的膜可以导致在整个膜上厚度变化的增大。这又可以对提供到半导体器件上的材料的随后的层产生不利的影响。进一步,较薄膜中的微沟道构造可以达到衬底并将衬底暴露至蚀刻过程。这将导致抗蚀剂不满足最低性能要求。
EUV辐射被抗蚀剂材料吸收并且产生光电子和二次电子。二次电子导致一些随机曝光,其被叠加到通过EUV源应用在抗蚀剂上的光学图像。这又导致分辨率的损失、可观察的线边缘粗糙度以及线宽变化,它们被称为“模糊(blurring)”现象。如果抗蚀剂要传送EUV光刻术中期望的高的分辨率,则这种模糊将需要被控制。
当在冲洗液体干燥至充分的程度期间抗蚀剂材料的物理性质不能够抵消施加到图案上的排斥力或毛细力时,会出现图案瓦解。因此抗蚀剂材料的高的刚性或强度是期望的,以及图案的多个特征的减小的高宽比是期望的。
导致抗蚀剂的分辨能力的变化的其他过程参数例如是蚀刻耐受性和选择性、量子产额(quantum yield)、烘烤时间以及温度、曝光时间和源输出、空间图像聚焦以及显影时间和温度。特定抗蚀剂的允许在这些特定参数中一定程度的变化的能力当然是非常期望能够在具体容差范围内工作。
EUV抗蚀剂-背景知识
有机抗蚀剂
传统的有机抗蚀剂是基于聚羟基苯乙烯(polyhydrostyrene)的,例如PBS、聚(丁烯-1-砜)以及ZEP,聚(α-氯丙烯酸甲酯-α-甲基苯乙烯)(poly(methyl a-chloroacrylate-co-a-methylstyrene))。这些聚苯乙烯氢化物基树脂适于大于180nm的图案。化学放大抗蚀剂(CAR)树脂被研制作为从365nm(i-线)至248nm(KrF)的历史改变的一部分。这些树脂还与193nm(ArF)干式和浸没光刻术结合使用。虽然未设计用于EUV,但是因为它们高的敏感性和对比度、高的分辨率、干式蚀刻耐受性、水法显影(aqueous development)以及工艺宽容度,它们良好的性能已经导致它们用于13-14nm的技术。
在这过程中,在辐射曝光时树脂上存在的化学成分的一部分释放酸根。这些酸根在曝光后的烘烤步骤期间扩散并导致周围的聚合物溶解在显影剂中。酸扩散有助于增大抗蚀剂的敏感性和生产率,并且由于散粒噪声(shot noise)统计也有助于限制线边缘的粗糙度。然而,酸扩散长度本身是潜在的限制因素。此外,太多的扩散可能减小化学对比度,这又可以导致更大的粗糙度。KRS-XE是IBM研发的CAR并且具有高的对比度,并且基于使用避免需要曝光后烘烤的缩醛保护基。
美国专利申请出版物第2004/0241574号,描述了CAR,其包含硅或硼。在选择EUV波长的条件下,这些CAR由于与纯基于碳的聚合物相比高的透明度而被描述为非常合适的抗蚀剂,所述EUV波长的选择在美国专利申请出版物第2004/0241574号中被公开为在12.5nm之上。本发明人已经认识到,对于使用小于11nm的EUV的光刻过程,高的透明度特性是不期望的,高的透明度特性表明材料和辐射之间缺少相互作用,并且期望使用薄得多的具有低的模糊的抗蚀剂膜,如上所述。
无机抗蚀剂
抗蚀剂也可以由无机材料形成,例如多种金属氧化物。无机抗蚀剂由于其强度可以表现对模糊的增强的耐受性以及对图案瓦解的增强的耐受性。Stowers等人在proceedings of the SPIE,Volume 7969,pp796915-796915-1 1(2011)的文章“Directlypatterned inorganic hardmask for EUV lithography”中描述使用硫酸氧化铪结合过氧络合剂以形成负的抗蚀剂。被EUV曝光导致产生二次电子,其击穿过氧化物基的键合。活性金属部位因此被形成,这反应形成交联和浓缩的区域。通过使用诸如TMAH(羟化四甲铵)等溶剂去除未曝光区域。
用于亚11nm EUV光刻术的抗蚀剂的洗择
因此,非常希望提供一种抗蚀剂材料,其可以满足使用小于11nm波长的EUV光刻术的要求,并且尤其是6.xnm的EUV光刻术的要求。现在已经发现,(i)含硅有机聚合物以及(ii)含Ta、W、Re、Os、Ir、Ni、Cu或Zn或它们的混合物可以尤其有利并且由于减小光生电子的平均自由程而提供高吸收性且较低模糊的薄的抗蚀剂膜。因此,根据本发明的抗蚀剂材料的平均自由程大约为2nm,而当前使用的抗蚀剂材料的平均自由程是大约7nm。
示例1:含硅聚合物
根据本发明的一个实施例,合适的含硅聚合物可以包括从大约0.1wt%至大约50wt%的硅。例如,可以通过用甲硅烷基替换部分已知的CAR的烷基而获得这样的聚合物。合适的已知的CAR可以包括任何已知的与EUV光刻过程一起使用的聚合物抗蚀剂材料,例如KRS或聚羟基苯乙烯抗蚀剂。
合适的甲硅烷基包括烷基甲硅烷基单体,例如三甲基甲硅烷基(CH3)3Si-,但是也可以包括硅二聚物或聚合物,例如戊二甲基二甲硅烷基(pentadimethyldisily1)(CH3)3Si-(CH3)2Si-。优选地,烷基甲硅烷基包括1至20个碳原子,优选是1至10个。也可以有利的是,甲硅烷基包括多于1个硅原子,优选多于2个,并且有利地是4个。
根据本发明的特别期望的含硅聚合物包含下列结构:
Figure BDA0001656334010000131
其中x是从1至400的整数,例如从20至200,y是从0至400的整数,例如从0或20至200的整数,并且,R1、R2和R3每一个是C1至C20烷基甲硅烷基。在一个实施例中,R1、R2和R3中仅一个是如上所述的烷基甲硅烷基,并且其他的根或基是基于H或碳的部分,例如C1至C20烷基、芳基或烷氧基(alcoxy group)。
根据本发明的多个实施例的含硅聚合物可以通过任何已知的技术形成。例如,它们可以通过含硅单体与它们本身或其他单体或聚合物的共聚合作用形成。这样的反应可以通过由于UV或伽马辐射照射例如过氧化苯甲酰、过硫酸铵或偶氮异丁晴(azobmsobutysonitrile)等特定引发剂产生的或使用由例如BF3或TiCl4得到的反应离子产生的自由基或根启动。替换地,可以通过抗蚀剂聚合物的氢化甲硅烷基化借助于使用诸如三甲基硅烷、二甲基苯基硅烷和二甲基硅烷等硅烷的氢化甲硅烷基化反应的引入硅基。
使用熟知的技术,例如旋涂,将抗蚀剂材料涂覆到期望的衬底上。沉积层的厚度有利地是在10到100nm范围内,并且可以小于50nm或甚至小于30nm。
图4示出迹线(a)至(e)中不同候选材料在从4至16nm的EUV波长范围上的透射特性。迹线(a)对应聚(羟基苯乙烯),或PHS,一种通常用于多种抗蚀剂材料中的有机材料。提出的新的其中羟基苯乙烯的氢氧基部分已经与多个部分甲硅烷基化的化合物的透射特性如迹线(b)至(e)所示。这些特性已经通过X射线光学装置“滤波器透射”工具中心计算,使用公众可以在http://henke.lbl.gov/optical constants/filter2.html访问该工具并且以依次是基于通过图书馆和手册可以获知的关于100nm厚度的层的出版的材料。对于更薄的层,透射率T将增大至100%,这意味着对于更薄的层吸收减小。
因为EUV辐射的吸收率是抗蚀剂的辐射敏感性的条件,吸收率是潜在的抗蚀剂在给定波长条件下的敏感性的好的指标。图4清楚地示出12nm以上的EUV,含硅材料示出高的透明度(即,PHS是大约70%的透射率,Si(CH3)3的透射率是77%,Si2(CH3)5的透射率是79%,Si3(CH3)7的透射率是80%,Si4(CH3)9的透射率是81%)。然而,对于具有小于11nm的波长的EUV,含硅材料的吸收率显著下降,而PHS材料的吸收率继续稳定地减小。
虽然硅材料的吸收率最终在低于大约10.5nm至9.5nm的EUV波长处再次减小(依赖于含硅材料),但是它们的吸收率保持基本上大于无硅的PHS。这些含硅材料因此更加适于被用作小于11nm的EUV的抗蚀剂。在6.9nm条件下,-Si(CH3)3的透射特性为84%,Si2(CH3)5的透射特性为79%,Si3(CH3)7的透射特性为77%,Si4(CH3)g)的透射特性为75%,而PHS的透射特性为90%以上。再次,这些特性显示这些含硅聚合物对于6.xEUV光刻技术的适宜性。
每个单体的硅原子的数量也通过迹线(b)至(e)显示以与含硅的聚合物吸收率特性相关联。图5更加明确地示出这种依赖关系。虽然对于13.5nm的EUV,硅原子数量越多,吸收率越低,对于6.5nm的EUV不是这样。在后一种情形中,吸收率随着硅原子在单体中的数量出乎意料地增大。因此,期望含硅单体包括的硅原子的数量是至少两个、期望高于2,并且更期望地是4。
示例2:作为用于小于11nm的EUV的EUV抗蚀剂的含Ta、W、Re、Os、Ir、Ni、Cu和Zn材料
含有上述元素化合物,尤其是合适的氧化物,适于用于抗蚀剂的制造。这通过上述元素在由纯元素形成的膜情况下6.5nm辐射透射百分比示出,并且如下表I示出。
表I:透射百分比随膜厚度的变化
元素 T%@30nm T%@5nm
Ta 35.26 84.05
W 32.58 82.95
Re* 32.93 83.90*
Os 32.22 82.80
Ir 35.89 84.30
Ni 36.66 84.60
Cu 34.14 83.60
Zn 38.25 85.20
表I中的透射值来自可以由http://henke.lbl.gov/optical constants/l′ilter2.html访问的CXRO数据库并且可以基于评论文献和手册。为了对比,在上面提到的Stowers文章中研究的Hf在6.5nm处具有比上面列出的元素低的吸收率,分别在30nm和5nm膜厚度条件下由41.89%和86.5%的透射率数字示出。
这些高的吸收率值将通过包含正在讨论的元素的抗蚀剂材料来表现出。此外,这种无机材料的使用由于材料提高的强度而将最小化模糊和减小图案瓦解。化合物将有利地是这些元素之一的氧化物,例如五乙醇钽(tantalum penta ethoxide)。化合物还可以是不同氧化物的混合物。合适的氧化物还可以包括多于一种元素(即,混合的金属氧化物)。该材料可以提供作为溶胶凝胶涂层并且被旋涂或真空沉积到衬底上。Ta、W以及Zn尤其适于溶胶凝胶涂层。金属氧化物可以溶解在简单的无水酒精,例如乙醇、丙醇、丁醇或它们的混合物中。浓度可以有利地为0.1%至5%重量百分比范围。可以加入防止早期水解的螯合剂。这种螯合剂例如可以是具有酮或二酮基的有机分子(例如苯甲酰丙酮),通常处于等摩尔浓度。在6.x nm EUV曝光之后,通过在无水酒精中溶解未曝光材料来显影抗蚀剂。
根据本发明的特定的实施例,通过使用五乙醇钽{Ta(OC2H5)5}可以获得钽溶胶凝胶。可以在简单的例如乙醇、丙醇、丁醇或它们的混合物等无水酒精中制备溶液。浓度范围为0.1至5%wt之间。可以以等摩尔浓度方式加入螯合剂苯酰丙酮以防止早期水解。所得到的混合物以1000至5000RPM范围的速度旋涂。在6.xnm曝光之后,通过在无水酒精中溶解未曝光的抗蚀剂材料来显影溶胶凝胶。
不同的抗蚀剂可以用在不同的处理步骤中,正如不同的EUV波长和甚至非EUV波长可以用于在整个器件制造过程中的不太关键的图案化步骤一样。上面引入的这些不同的抗蚀剂类型可以在亚11nmEUV波长的条件下用在不同的处理步骤中以优化用于独立过程和正在制备的图案的性能。
所述抗蚀剂在EUV光刻过程中的使用可以用于集成电路的制造中以及其他应用中,例如制造集成光学系统、磁畴存储器的引导和检测图案、平板显示器、液晶显示器(LCD)、薄膜磁头等。本领域技术人员将会认识到,在这样替换的应用情形中,任何使用术语“晶片”或“管芯”分别认为是与更上位的术语“衬底”或“目标部分”同义。这里所指的衬底可以在曝光之前或之后进行处理,例如在轨道(一种典型地将抗蚀剂层涂到衬底上,并且对已曝光的抗蚀剂进行显影的工具)、量测工具和/或检验工具中。另外,所述衬底可以处理一次以上,例如为产生多层IC,使得这里使用的所述术语“衬底”也可以表示已经包含多个已处理层的衬底。
虽然上面已经描述了本发明具体的实施例,但是应该认识到,本发明可以以所述方式不同方式实施。例如,本发明的抗蚀剂材料可以用在包括含有多层的抗蚀剂的图案化过程中以便保护和/或加强本发明。以上说明书旨在说明,而不是限制性的。因此,本领域技术人员应该认识到,在不脱离本发明权利要求设定的范围的情况下可以对本发明作出修改。

Claims (19)

1.一种抗蚀剂材料,所述抗蚀剂材料包括氧化物,所述氧化物包括选自由下列元素构成的组中的至少一种元素:Ta、Re、Os、Ir、Ni、Cu和Zn,其中,所述抗蚀剂材料对于具有小于11nm的波长的EUV光具有敏感性。
2.根据权利要求1所述的抗蚀剂材料,其中,所述氧化物溶解于无水酒精。
3.根据权利要求1所述的抗蚀剂材料,其中,所述元素是钽。
4.根据权利要求3所述的抗蚀剂材料,其中,所述氧化物是五乙醇钽。
5.根据权利要求1所述的抗蚀剂材料,其中,所述氧化物具有减小的光生电子的平均自由程。
6.根据权利要求5所述的抗蚀剂材料,其中,所述平均自由程是2nm。
7.根据权利要求1所述的抗蚀剂材料,其中,所述材料被提供为溶胶凝胶。
8.根据权利要求1所述的抗蚀剂材料,其中,所述抗蚀剂材料对于波长在5-8nm的范围内的EUV光具有敏感性。
9.根据权利要求1所述的抗蚀剂材料,其中,所述抗蚀剂材料对于波长在6.5-6.9nm的范围内的EUV光具有敏感性。
10.根据权利要求1所述的抗蚀剂材料,其中,所述抗蚀剂材料对于波长为6.7nm或6.8nm的EUV光具有敏感性。
11.一种光刻图案化方法,包括:
在衬底上形成抗蚀剂材料的膜;
用波长小于11nm的图案化的EUV光的辐射束照射抗蚀剂膜;以及
显影所述抗蚀剂膜;
其中,所述抗蚀剂材料包括氧化物,所述氧化物包括选自由下列元素构成的组中的至少一种元素:Ta、Re、Os、Ir、Ni、Cu和Zn。
12.根据权利要求11所述的方法,其中,所述膜具有在10nm至100nm的范围内的厚度。
13.根据权利要求12所述的方法,其中,所述膜具有小于50nm的厚度。
14.根据权利要求11至13中任一项所述的方法,其中,所述元素是钽。
15.一种制造器件的方法,其中通过一系列光刻步骤以及其他处理步骤将图案化的器件特征施加至衬底,并且其中,所述光刻步骤中的至少一个步骤是根据权利要求11至14中任一项所述的光刻图案化方法。
16.包括选自由下列元素构成的组中的至少一个元素的氧化物在用于EUV光刻方法的抗蚀剂材料中的用途:Ta、Re、Os、Ir、Ni、Cu和Zn,其中用在所述EUV光刻方法中的EUV辐射的波长小于11nm。
17.根据权利要求16所述的用途,其中,所述抗蚀剂材料被作为膜沉积在衬底上,并且其中,所述膜具有在10nm至100nm的范围内的厚度。
18.根据权利要求16所述的用途,其中,所述抗蚀剂材料被作为膜沉积在衬底上,并且其中,所述膜具有小于50nm的厚度。
19.根据权利要求16至18中任一项所述的用途,其中所述元素是钽。
CN201810445598.3A 2011-07-08 2012-05-30 抗蚀剂材料、光刻图案化方法和氧化物的用途 Active CN108594599B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161505768P 2011-07-08 2011-07-08
US61/505,768 2011-07-08
CN201280033742.3A CN103649830B (zh) 2011-07-08 2012-05-30 光刻图案化过程和其中使用的抗蚀剂
PCT/EP2012/060133 WO2013007442A1 (en) 2011-07-08 2012-05-30 Lithographic patterning process and resists to use therein

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201280033742.3A Division CN103649830B (zh) 2011-07-08 2012-05-30 光刻图案化过程和其中使用的抗蚀剂

Publications (2)

Publication Number Publication Date
CN108594599A CN108594599A (zh) 2018-09-28
CN108594599B true CN108594599B (zh) 2022-04-22

Family

ID=46208008

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201280033742.3A Active CN103649830B (zh) 2011-07-08 2012-05-30 光刻图案化过程和其中使用的抗蚀剂
CN201810445598.3A Active CN108594599B (zh) 2011-07-08 2012-05-30 抗蚀剂材料、光刻图案化方法和氧化物的用途

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201280033742.3A Active CN103649830B (zh) 2011-07-08 2012-05-30 光刻图案化过程和其中使用的抗蚀剂

Country Status (7)

Country Link
US (1) US9261784B2 (zh)
EP (1) EP2729844B1 (zh)
JP (2) JP6236000B2 (zh)
KR (2) KR101909567B1 (zh)
CN (2) CN103649830B (zh)
TW (1) TWI631423B (zh)
WO (1) WO2013007442A1 (zh)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9632411B2 (en) * 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
JP6218192B2 (ja) * 2013-09-26 2017-10-25 国立研究開発法人物質・材料研究機構 高感度積層レジスト膜及びレジスト膜の感光度向上方法
KR102306612B1 (ko) 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
KR102402923B1 (ko) * 2014-02-24 2022-05-27 도쿄엘렉트론가부시키가이샤 감광화된 화학적 증폭 레지스트 화학물질을 사용하는 방법과 기술 및 프로세스
US9746774B2 (en) 2014-02-24 2017-08-29 Tokyo Electron Limited Mitigation of EUV shot noise replicating into acid shot noise in photo-sensitized chemically-amplified resist (PS-CAR)
JP6283120B2 (ja) 2014-02-24 2018-02-21 東京エレクトロン株式会社 光増感化学増幅レジスト内の光増感剤濃度の測定メトロロジー
US10020195B2 (en) 2014-02-25 2018-07-10 Tokyo Electron Limited Chemical amplification methods and techniques for developable bottom anti-reflective coatings and dyed implant resists
FR3023843B1 (fr) 2014-07-21 2016-07-22 Michelin & Cie Polymere modifie le long de la chaine et son procede de synthese
KR102139060B1 (ko) 2015-09-30 2020-07-29 후지필름 가부시키가이샤 레지스트 조성물과, 이를 이용한 레지스트막, 패턴 형성 방법 및 전자 디바이스의 제조 방법
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10048594B2 (en) * 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10551743B2 (en) 2016-05-13 2020-02-04 Tokyo Electron Limited Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
JP6750155B2 (ja) 2016-05-13 2020-09-02 東京エレクトロン株式会社 光剤を用いた限界寸法制御
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US11092890B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US11092889B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
KR102307977B1 (ko) 2018-07-31 2021-09-30 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
WO2020176181A1 (en) 2019-02-25 2020-09-03 Applied Materials, Inc. A film stack for lithography applications
KR20220046598A (ko) 2019-08-16 2022-04-14 도쿄엘렉트론가부시키가이샤 확률 중심 결함 교정을 위한 방법 및 공정
KR102446362B1 (ko) 2019-10-15 2022-09-21 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
JP7189375B2 (ja) 2020-01-15 2022-12-13 ラム リサーチ コーポレーション フォトレジスト接着および線量低減のための下層
US20220005687A1 (en) * 2020-07-02 2022-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method
WO2022016124A1 (en) * 2020-07-17 2022-01-20 Lam Research Corporation Photoresists containing tantalum
WO2022202402A1 (ja) * 2021-03-26 2022-09-29 Jsr株式会社 半導体基板の製造方法及びレジスト下層膜形成用組成物

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1509423A (zh) * 2002-02-22 2004-06-30 ���ṫ˾ 抗蚀剂材料和微加工方法
JP2007086268A (ja) * 2005-09-21 2007-04-05 Toray Ind Inc 感光性シート
CN101004552A (zh) * 2005-12-27 2007-07-25 关西油漆株式会社 活化能线固化型树脂组合物及抗蚀图案的形成方法
CN101093354A (zh) * 2006-06-20 2007-12-26 三星Sdi株式会社 光敏性组合物、用其制备的障壁以及包含障壁的显示面板
CN101930170A (zh) * 2009-04-02 2010-12-29 台湾积体电路制造股份有限公司 正形感光层和工艺
CN101963754A (zh) * 2009-06-26 2011-02-02 罗门哈斯电子材料有限公司 形成电子器件的方法

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5837980B2 (ja) 1975-12-30 1983-08-19 富士通株式会社 フオトエツチングホウホウ
US5061599A (en) * 1986-06-11 1991-10-29 Hitachi, Ltd. Radiation sensitive materials
JP2516207B2 (ja) * 1987-03-05 1996-07-24 株式会社日立製作所 放射線感応性材料
US5178989A (en) * 1989-07-21 1993-01-12 Board Of Regents, The University Of Texas System Pattern forming and transferring processes
DE69125634T2 (de) * 1990-01-30 1998-01-02 Wako Pure Chem Ind Ltd Chemisch verstärktes Photolack-Material
JPH0422957A (ja) * 1990-05-17 1992-01-27 Fujitsu Ltd 電離放射線感光材料とパターン形成方法
JPH04107562A (ja) * 1990-08-29 1992-04-09 Fujitsu Ltd 有機ケイ素重合体およびレジスト組成物
JPH05117392A (ja) * 1991-10-30 1993-05-14 Fujitsu Ltd 有機ケイ素重合体およびレジスト組成物
JP2953252B2 (ja) * 1993-01-19 1999-09-27 信越化学工業株式会社 レジスト材料
KR0159808B1 (ko) * 1993-01-19 1999-02-18 가나가와 지히로 레지스트 조성물
JPH0792683A (ja) * 1993-09-22 1995-04-07 Hitachi Ltd 放射線感光材料
US5534312A (en) * 1994-11-14 1996-07-09 Simon Fraser University Method for directly depositing metal containing patterned films
JP3180629B2 (ja) * 1994-12-09 2001-06-25 三菱マテリアル株式会社 金属酸化物薄膜パターン形成用組成物及びその製造方法、金属酸化物薄膜パターンの形成方法並びに電子部品及び光学部品の製造方法
FR2759360B1 (fr) * 1997-02-10 1999-03-05 Commissariat Energie Atomique Materiau polymerique inorganique a base d'oxyde de tantale notamment a indice de refraction eleve, mecaniquement resistant a l'abrasion, son procede de fabrication et materiau optique comprenant ce materiau
TW574629B (en) * 1997-02-28 2004-02-01 Shinetsu Chemical Co Polystyrene derivative chemically amplified positive resist compositions, and patterning method
US6331378B1 (en) 1998-02-25 2001-12-18 Matsushita Electric Industrial Co., Ltd. Pattern forming method
JP3299214B2 (ja) 1999-03-12 2002-07-08 松下電器産業株式会社 パターン形成材料及びパターン形成方法
JP2001051418A (ja) * 1999-08-05 2001-02-23 Canon Inc 感光性樹脂及び該感光性樹脂を用いたレジスト組成物、並びに該レジスト組成物を用いた半導体装置・露光用マスクの製造方法及び該方法により製造された半導体装置・露光用マスク
US6849305B2 (en) 2000-04-28 2005-02-01 Ekc Technology, Inc. Photolytic conversion process to form patterned amorphous film
US6897140B2 (en) * 2001-02-05 2005-05-24 Quantiscript, Inc. Fabrication of structures of metal/semiconductor compound by X-ray/EUV projection lithography
US7008749B2 (en) * 2001-03-12 2006-03-07 The University Of North Carolina At Charlotte High resolution resists for next generation lithographies
KR20030076225A (ko) 2001-04-04 2003-09-26 아치 스페셜티 케미칼즈, 인코포레이티드 규소 함유 아세탈 보호된 중합체 및 이의 포토레지스트조성물
US7326514B2 (en) 2003-03-12 2008-02-05 Cornell Research Foundation, Inc. Organoelement resists for EUV lithography and methods of making the same
SG115693A1 (en) * 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
JP2004354417A (ja) * 2003-05-27 2004-12-16 Shin Etsu Chem Co Ltd ポジ型レジスト材料並びにこれを用いたパターン形成方法
US20060024589A1 (en) * 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
JP4595688B2 (ja) 2005-06-10 2010-12-08 ソニー株式会社 レジスト材料の製造方法およびレジスト材料ならびに露光方法
CN101374650A (zh) * 2006-01-30 2009-02-25 佳能株式会社 制备多层光记录介质的方法和压模以及制造该压模的方法
JP2008256838A (ja) * 2007-04-03 2008-10-23 Canon Inc レチクル及びレチクルの製造方法
US7914970B2 (en) * 2007-10-04 2011-03-29 International Business Machines Corporation Mixed lithography with dual resist and a single pattern transfer
JP5407941B2 (ja) * 2009-03-09 2014-02-05 信越化学工業株式会社 ポジ型レジスト材料並びにこれを用いたパターン形成方法
JP5708522B2 (ja) * 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1509423A (zh) * 2002-02-22 2004-06-30 ���ṫ˾ 抗蚀剂材料和微加工方法
JP2007086268A (ja) * 2005-09-21 2007-04-05 Toray Ind Inc 感光性シート
CN101004552A (zh) * 2005-12-27 2007-07-25 关西油漆株式会社 活化能线固化型树脂组合物及抗蚀图案的形成方法
CN101093354A (zh) * 2006-06-20 2007-12-26 三星Sdi株式会社 光敏性组合物、用其制备的障壁以及包含障壁的显示面板
CN101930170A (zh) * 2009-04-02 2010-12-29 台湾积体电路制造股份有限公司 正形感光层和工艺
CN101963754A (zh) * 2009-06-26 2011-02-02 罗门哈斯电子材料有限公司 形成电子器件的方法

Also Published As

Publication number Publication date
EP2729844B1 (en) 2021-07-28
US9261784B2 (en) 2016-02-16
KR20140047120A (ko) 2014-04-21
JP6637943B2 (ja) 2020-01-29
TW201305736A (zh) 2013-02-01
KR20180099913A (ko) 2018-09-05
KR101909567B1 (ko) 2018-10-18
US20140212819A1 (en) 2014-07-31
KR102009869B1 (ko) 2019-08-12
EP2729844A1 (en) 2014-05-14
CN103649830A (zh) 2014-03-19
WO2013007442A1 (en) 2013-01-17
JP2018025823A (ja) 2018-02-15
JP2014521111A (ja) 2014-08-25
JP6236000B2 (ja) 2017-11-22
CN108594599A (zh) 2018-09-28
CN103649830B (zh) 2018-06-01
TWI631423B (zh) 2018-08-01

Similar Documents

Publication Publication Date Title
CN108594599B (zh) 抗蚀剂材料、光刻图案化方法和氧化物的用途
JP5705103B2 (ja) パターン形成方法
TW201539539A (zh) 與光敏化化學放大光阻化學品及程序一起使用的方法及技術
JP2018502327A (ja) レジスト組成物、レジストパターン形成方法、レジスト組成物の製造方法、ペロブスカイト材料のリソグラフィプロセスへの使用およびレジスト組成物で被覆された基板
TWI504941B (zh) 多層鏡、微影裝置或輻射源及改良一多層鏡之強健度的方法
Brainard et al. Comparison of the lithographic properties of positive resists upon exposure to deep-and extreme-ultraviolet radiation
Thackeray et al. Optimization of Polymer-bound PAG (PBP) for 20nm EUV Lithography
Wang et al. Trends in photoresist materials for extreme ultraviolet lithography: A review
De Simone et al. Progresses and challenges of EUV lithography materials
TW201122570A (en) Spectral purity filter, lithographic apparatus, method for manufacturing a spectral purity filter and method of manufacturing a device using lithographic apparatus
JP5715134B2 (ja) スペクトル純度フィルタ及びスペクトル純度フィルタの製造方法
NL2005098A (en) Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter.
US9046780B2 (en) Multilayer mirror and lithographic apparatus
NL2007857A (en) Lithographic patterning process and resists to use therein.
KR20210099692A (ko) 포토레지스트 조성물, 이를 이용한 포토리소그래피 방법 및 이를 이용한 반도체 소자의 제조 방법
Luo Deposition, characterization, patterning and mechanistic study of inorganic resists for next-generation nanolithography
Nakahara et al. Effect of Amine Additive in Photoacid Generator Bonded Resist for Extreme Ultraviolet and Electron Beam Lithography
NL2004994A (nl) Multilayer mirror.

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant