CN101963754A - 形成电子器件的方法 - Google Patents

形成电子器件的方法 Download PDF

Info

Publication number
CN101963754A
CN101963754A CN2010102632008A CN201010263200A CN101963754A CN 101963754 A CN101963754 A CN 101963754A CN 2010102632008 A CN2010102632008 A CN 2010102632008A CN 201010263200 A CN201010263200 A CN 201010263200A CN 101963754 A CN101963754 A CN 101963754A
Authority
CN
China
Prior art keywords
photoresist
layer
composition
exposure
amine
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010102632008A
Other languages
English (en)
Other versions
CN101963754B (zh
Inventor
Y·C·裴
T·卡多拉西亚
刘沂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm and Haas Electronic Materials LLC
Original Assignee
Rohm and Haas Electronic Materials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm and Haas Electronic Materials LLC filed Critical Rohm and Haas Electronic Materials LLC
Publication of CN101963754A publication Critical patent/CN101963754A/zh
Application granted granted Critical
Publication of CN101963754B publication Critical patent/CN101963754B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0047Photosensitive materials characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/265Selective reaction with inorganic or organometallic reagents after image-wise exposure, e.g. silylation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

提供形成电子器件的方法。所述方法包括光刻胶图形的碱性处理且允许高密度的光刻胶图形的形成。该方法在半导体器件制造中有特别的用处。

Description

形成电子器件的方法
本申请在35U.S.C§119(e)下要求2009年6月26日提交的号为61/269,600和2009年11月19日提交的号为61/281,681的U.S.临时申请的优先权,这些申请的整个内容在此并入作为参考。
本发明一般地涉及电子器件的制造。更具体地,本发明涉及形成光刻图形的方法。本发明在用于形成高密度光刻图形和特征的半导体器件制造中有特别的用处。
在半导体制造工业中,光刻胶材料用于将图像转印到一个或更多的下层,例如沉积在半导体衬底上的金属、半导体或介电层,以及衬底本身。为提高半导体器件的集成密度和容许具有纳米级尺寸的结构的形成,具有高分辨率的光刻胶和光刻工艺工具已经且继续发展。
在半导体器件中实现纳米级特征尺寸的一个方法是在化学增强光刻胶曝光时使用短波长的光,例如,193nm或更小。浸没式光刻有效地增大成像器件,例如,具有KrF或ArF光源的扫描器的透镜的数值孔径。这通过在成像器件的最底部的表面和半导体晶片的最顶部表面之间使用相对高折射率的流体(即,浸没液)来实现。相比于空气或惰性气体媒介,浸没液允许更多量的光被聚焦进入光刻胶层。
根据下示的雷利公式定义理论上的分辨限制:
R = k 1 λ NA
其中k1是工艺因子,λ是成像工具的波长以及NA是成像透镜的数值孔径。当使用水作为浸没液,可提高最大数值孔径,例如从1.2到1.35。对于印刷线和间隙图形的情况,k1为0.25,193nm的浸没扫描器将只能分辨36nm的半间距线和间隙图形。由于具有暗场掩模的低虚像对比,印刷接触孔或任意2D图形的分辨率进一步被限制,其中理论上k1的限制是0.35。接触孔的最小半间距因此而限制为大约50nm。标准的浸没光刻工艺一般不适合于制造要求更高分辨率的器件。
为了越过理论分辨率的限制,实现更高的分辨率和扩展现有制造工具的能力,发展出了各种双重图形工艺,例如,自对准双重图形(SADP)、版-刻-版-刻(LELE)和版-版-刻(LLE)技术。虽然这些技术代表性地完善了,但是,总有一个或更多的缺陷。SADP工艺代表性地包括相对大数目的工艺步骤,从而不利地影响产出。产品污染和缺陷可由LELE技术中晶片在曝光和刻蚀工艺模块之间的来回输送以及蚀刻版画和光刻胶的去除工艺自身而产生。LLE工序包括第一平版(L1)光刻胶图形的形成和稳定化,紧接着第二平板(L2)图形的形成。提出了各种光刻胶稳定化技术,例如,离子注入、UV固化、热硬化、热固化和化学固化。美国专利申请公开号US2008/0199814A1,Brzozowy,等,公开了一种覆盖化学固化技术,其中光刻胶图形被定影剂溶液覆盖,定影剂溶液包括溶剂、具有至少两种与光刻胶聚合物中的锚基团(anchor group)反应的官能团的定影化合物和任选的添加剂例如催化剂、表面活性剂和聚合物。虽然LLE工艺比SADP和LELE包括更少的工艺步骤,但是其难以避免:在光刻胶稳定化中的图形变形;在L2光刻胶涂覆/软烘烤工艺中L1和L2光刻胶层之间的混杂;以及在L2曝光/显影工艺中L1图形的显影。
本领域不断地需要光刻工艺,该工艺解决与现有技术有关的一个或多个上述问题。
根据本发明的第一方面,提供形成电子器件的方法。上述方法包括:(a)提供包括要被图形化的一层或更多层的半导体衬底;(b)在要被图形化的一层或更多层上施加第一光敏组合物的第一层;(c)通过第一光掩模将该第一层曝光于活化辐射;(d)显影该曝光的第一层以形成光刻胶图形;(e)在硬烘烤工艺中热处理该光刻胶图形;(f)采用可有效地使该光刻胶图形的一个表面碱性化的材料处理该被硬烘烤的光刻胶图形;(g)在处理的光刻胶图形和要被图形化的一层或更多层上施加第二光敏组合物的第二层,该第二光敏组合物包含第二树脂成分和光酸产生剂;(h)将所述第二层曝光于活化辐射;以及(i)显影该被曝光的第二层,在显影后留下该第二层的一部分。
根据本发明的更进一步的方面,提供一种涂覆的衬底。该涂覆的衬底包括:(a)包括要被图形化的一层或更多层的半导体衬底;(b)在该要被图形化的一层或更多层上的光刻胶图形,该光刻胶图形具有碱性表面;以及(c)在所述被图形化的一层或更多层上且与该光刻胶图形的碱性表面接触的光敏层,该光敏层包括树脂成分和光酸产生剂成分。
本发明允许形成精细的光刻特征和精确控制形成的光刻图形的关键尺寸。形成的光刻胶图形的尺寸,例如,可控地增大、减小或维持。此外,可得到改善的光刻胶图形的线宽粗糙度。该光刻图形化技术可用于,例如双重图形化工艺如版-版-刻和自对准间隙双重图形,以及在接触孔和沟槽形成中有用的收缩工艺。
本发明将参考下面的附图讨论,其中相同的附图标记表示相同的特征,以及其中:
图1A-I示出根据本发明用于形成电子器件的工艺流程;
图2是示出根据本发明的方法中后表面处理烘烤温度(post surface-treatmentbaking temperature)对光刻图形的尺寸变化的影响的曲线图;
本发明的方法将参考示出用于根据本发明的示例性的光刻工艺流程的图1A-I描述。图1A描述了衬底100,其可包括形成在其表面上的各种层和特征。该衬底可为诸如半导体的材料,例如硅或化合物半导体(例如,III-V或II-VI)、玻璃、石英、陶瓷、铜等。典型地,该衬底是半导体晶片,例如单晶硅或化合物半导体晶片,以及可具有形成在其一个表面上的一个或更多个层和图形化特征。要被图形化的一层或更多层102可提供在衬底100上。任选地,下面的底衬底材料自身可被图形化,例如,当需要在衬底材料中形成沟槽时。在图形化底衬底材料自身的情况下,图形应被认为是形成在衬底的层中。
该些层可包括,例如,一层或更多层导电层,如铝、铜、钼、钽、钛、钨、这些金属的合金、这些金属的氮化物或硅化物、掺杂非晶硅或掺杂多晶硅的层,一层或更多层的介电层,如氧化硅、氮化硅、氮氧化硅或金属氧化物层,半导体层,如单晶硅,及其组合。要被刻蚀的这些层可通过各种技术形成,例如:化学气相沉积(CVD)如等离子体增强CVD、低压CVD或外延生长;物理气相沉积(PVD)如溅射或蒸镀;或电镀。要被刻蚀的一或更多层102的具体厚度将根据材料和将形成的具体器件而变化。
根据要被刻蚀的具体的层,要采用的膜厚度和照相平版印刷材料和工艺,可能需要设置其上将涂覆光刻胶层的一硬掩模层103和/或一底抗反射涂层(BARC)104在层102上。可能需要使用硬掩模层,例如,采用非常薄的光刻胶层,其中要被刻蚀的该些层要求重要的刻蚀深度,和/或其中具体的刻蚀剂具有差的光刻胶选择性。当使用硬掩模层时,要形成的该光刻胶图形可被转印到该硬掩模层,接着,可被用作刻蚀该些底层102的掩模。合适的硬掩模材料和形成方法是本领域众所周知的。典型的材料包括,例如,钨、钛、氮化钛、氧化钛、氧化锆、氧化铝、氮氧化铝、氧化铪、无定形碳、氮氧化硅和氮化硅。硬掩模层103可包括不同材料的单层或多层。硬掩模层可例如通过化学或物理气相沉积技术形成。
在光刻胶曝光过程中衬底和/或底层将反射大量入射辐射以至于形成的图形质量将被不利地影响的情况下,需要底部抗反射层104。这样的涂层可改善焦深(depth-of-focus)、曝光范围、线宽不均匀和CD控制。抗反射涂层典型地在光刻胶曝光于深紫外光(300nm或更小),例如,KrF准分子激光(248nm)、ArF准分子激光(193nm)、远紫外光(EUV)辐射(13.4nm)、电子束或软x-射线的情况中使用。抗反射涂层104可包括一单层或多个不同的层。合适的抗反射材料和形成方法是本领域众所周知的。抗反射材料可商业性地获得,例如,由罗门哈斯电子材料有限公司(Rohm and Hass Electronic Materials LLC)(美国马萨诸塞州,莫尔伯勒市)以AR商标出售的物品,例如,ARTM40A和ARTM124抗反射物。
在衬底上,第一光敏组合物被施加在该抗反射层104(如果存在的话)上以形成第一光敏层106。如此处所用,术语“光敏材料”、“光敏组合物”和“光刻胶”可交互使用。合适的光刻胶材料在本领域是公知的且包括,例如,基于丙烯酸脂、酚醛清漆和硅化学的材料。例如在美国申请公开号US20090117489A1、US20080193872A1、US20060246373A1、US20090117489A1、US20090123869A1和美国专利号7,332,616中描述了合适的光刻胶。在形成第一光敏层中有用的光刻胶材料包括正性和负性材料。合适的正性光刻胶材料包括正性化学增强光刻胶,其通过组合物的一种或更多种成分的酸不稳定基团(acid labile groups)的光酸-促进脱保护基反应以使光刻胶的涂覆层的曝光区域比未曝光区域在水性显影剂中更可溶。光刻胶树脂的典型的光酸不稳定基团包括酯基,所述酯基含有共价连接到酯的羧基氧的叔-非环状烷基碳(tertiary non-cyclic alkyl carbon)(例如叔丁基)或叔-脂环族碳(tertiary alicyclic carbon)(例如甲基金刚烷基)的酯基团。乙缩醛光酸不稳定基团也是典型的。
光敏组合物包括树脂成分和光敏成分。树脂优选地具有官能团,其赋予光敏组合物碱性水的显影能力。例如,典型的是包括极性官能团例如羟基或羧酸酯基的树脂粘合剂。在组合物中使用足够量的树脂成分以使组合物的曝光层在显影溶液例如碱性水溶液中可显影。树脂成分将典型地占光刻胶的总固体的大约70至大约97wt%。
光敏组合物进一步包括足够量的光敏成分以在曝光于活化辐射时在组合物的涂覆层中产生潜像(latent image)。例如,光敏成分将合适地以占光刻胶的总固体的大约1至20wt%的量存在。在光刻胶组合物中,典型的光敏成分是光酸产生剂。合适的PAG在化学增强光刻胶领域是公知的且包括,例如,鎓盐,例如,三苯基锍盐、硝基苄基衍生物、磺酸酯、重氮甲烷衍生物、乙二肟衍生物、N-羧基酰亚胺化合物的磺酸酯衍生物和含卤素的三嗪化合物。可使用一种或多种这样的PAG。
光刻胶的典型的任选的添加物是添加的碱(added base),特别地,氢氧化四丁铵(TBAH)或四丁铵乳酸盐,其可提高被显影的光刻胶浮雕图像的分辨率。对于在193nm下的光刻胶成像,典型的添加的碱是位阻胺,例如二氮杂双环十一碳烯或二氮杂二环壬烯。合适地使用相对少量的添加的碱,例如占光刻胶组合物总固体的大约0.03至5wt%。
根据本发明使用的光刻胶也可包括其它任选的材料。例如,其它任选的添加剂包括抗条纹剂、可塑剂和加速剂。这些任选添加剂典型地将在光刻胶组合物中以低的浓度存在,除了填充剂和染料,其可以相对大的浓度存在,例如,基于光刻胶的干组分的总重计,大约0.1至10wt%。
合适的负性光刻胶典型地将包括交联成分。交联成分典型地作为单独的光刻胶成分而出现。典型的是胺-基交联剂如三聚氰胺,例如Cymel三聚氰胺树脂。在本发明中有效的负性光刻胶组合物包括暴露于酸时将固化、交联或硬化的材料和本发明的光敏成分的混合物。特别有效的负性组合物包括树脂粘合剂例如酚醛树脂、交联成分和光敏成分。在欧洲专利号EP0164248B1和EP0232972B1以及美国专利号US5,128,232中公开了这样的组合物及其使用。用作树脂粘合剂成分的典型的酚醛树脂包括如上所描述的酚醛清漆和聚(乙烯基苯酚)。典型的交联剂包括胺-基材料,包括三聚氰胺、甘脲、苯胍胺-基材料和脲-基材料。三聚氰胺-甲醛树脂是基本上最典型的。这样的交联剂是商业地可获得的,例如:Cytec Industries以商标名Cymel 300、301和303销售的三聚氰胺树脂;CytecIndustries以商标名Cymel 1170、1171、1172销售的甘脲树脂;Teknor ApexCompany以商标名Beetle 60、65和80销售的脲-基树脂;和Cytec Industries以商标名Cymel 1123和1125销售的苯胍胺树脂。对于亚-200nm波长例如193nm的成像,在国际申请公开号WO03077029中公开了典型的负性光刻胶。
在本发明中有用的光刻胶一般根据公知的步骤准备。例如,光刻胶可作为涂料组合物制备,通过在合适的溶剂中溶解光刻胶组分,所述溶剂为例如二醇醚如2-甲氧基乙基醚(二甘醇二甲醚)、乙二醇单甲基醚、丙二醇单甲基醚、丙二醇单甲基醚醋酸盐;乳酸酯例如乳酸乙酯或乳酸甲酯;丙酸酯,特别是丙酸甲酯、丙酸乙酯和丙酸乙基乙氧基酯;溶纤剂酯(Cellosolve ester)例如甲基溶纤剂乙酸酯(methyl Cellosolve acetate);芳烃如甲苯或二甲苯;或酮如甲基乙基酮、环乙酮和2-庚酮。典型地,光刻胶的固体量在基于光刻胶组合物总重的大约2至25wt%之间变化。这样的溶剂的混合物也是合适的。
本发明的方法可采用多种成像波长,例如具有波长为亚-400nm、亚-300nm或亚-200nm波长的辐射,以I-线(365nm)、248nm和193nm为典型的曝光波长,以及157nm和EUV(13.4nm)的辐射。在一个示例方面,光刻胶适合于采用亚-200nm波长例如193nm并在亚-200nm波长例如193nm成像。在此波长下,使用浸没式光刻是典型的,虽然干工艺可使用。在浸没式光刻中,折射率在大约1和大约2之间的液体(即浸没液)在曝光中维持在曝光工具和光刻胶层之间。顶覆层典型地设置在光刻胶层上以防止浸没液和光刻胶层之间的直接接触,以避免光刻胶的成分沥滤到浸没液。
光敏组合物可通过旋涂、浸涂、滚涂或其它传统涂覆技术施加至衬底。其中,旋涂是典型的。对于旋涂,涂覆溶液中的固体含量可基于所使用的特定涂覆设备、溶液的粘性、涂覆工具的速度和允许的旋涂时间量进行调整以提供所需的膜厚度。对于第一光敏层106,典型的厚度是从大约500至
Figure BSA00000244311900061
该第一光敏层可接着被软烘烤以最小化在层中的溶剂含量,从而形成不粘(tack-free)涂层以及改善该层与衬底的粘附。该软烘烤可在热板上或烤炉中进行,典型的是热板。软烘烤的温度和时间取决于,例如,光敏层的具体材料和厚度。典型的软烘烤温度为从大约90至150℃,且时间为从大约30至90秒。
如果第一光敏层106是采用浸没式光刻工具曝光,例如193nm的浸没扫描器,顶覆层(未示出)可设置于光敏层106上。这样的顶覆层的使用可作为浸没液和底下的光敏层之间的阻障。这样,光敏组合物的成分向浸没液的沥滤(可能导致光学透镜的污染和改变有效折射率和浸没液的传输性能)可被最小化或避免。合适的顶覆层组合物可商业获得,例如,OPTICOATTM顶覆材料例如OCTM2000(罗门哈斯电子材料有限公司)和其它本领域公知的,例如,在美国申请公开号2006/0246373A1和2008年12月31日提交的美国临时申请号61/204,007中公开的。这些组合物可通过任何合适的方法例如上面所描述的关于光敏组合物的方法施加在光敏层上,典型的是旋涂。顶覆层的厚度典型的是λ/4n(或其的偶数倍),其中λ是曝光辐射的波长且n是顶覆层的折射率。如果存在顶覆层,该第一光敏层106可在顶覆层组合物已经施加后而非在顶覆层的施加之前软烘烤。这样,两层的溶剂可在单个热处理步骤中除去。
该第一光敏层106然后通过第一光掩模110曝光于活化辐射108以在曝光和未曝光区域形成溶解差。对于正性材料,如所示,光掩模具有光学透明和光学不透明区域,光学透明区域对应在其后的显影步骤中要去除的光敏层区域。对于负性材料,光学不透明区域将对应要被显影掉的光刻胶层部分。曝光能量典型地从大约1至100mJ/cm2,取决于曝光工具和光敏组合物的成分。此处关于曝光光敏组合物于活化组合物的辐射是指辐射能够在光敏组合物中形成潜像,例如通过造成光敏成分的反应例如通过从光酸产生剂产生光酸。光敏组合物典型地通过短曝光波长光活化,特别是亚-400nm、亚-300nm或亚-200nm的曝光波长,以I-线(365nm;)、248nm和193nm为典型的曝光波长,以及EUV和157nm。
紧随第一光敏层106的曝光,执行光敏层的后曝光烘烤(PEB)。PEB可例如在热板上或烤炉中执行。PEB的条件取决于,例如,光敏层的具体材料和厚度。典型地,PEB的执行温度为从大约80至150℃,且时间为从大约30至90秒。
曝光的光敏层106接着显影以形成第一光刻胶图形106’,如图1B所示。而显影剂材料取决于光敏层106的特定的材料,合适的显影剂和显影技术是本领域公知的。典型的显影剂包括,例如,水性碱显影剂如氢氧化季铵溶液,例如,氢氧化四-烷基铵溶液如0.26N氢氧化四甲基铵。
紧随着显影,第一光刻胶图形106’在第一硬烘烤工艺中热处理以从光刻胶进一步除去溶剂和形成硬化的光刻胶图形106”,如图1C所示。硬烘烤典型地采用热板或烤炉执行,且典型地执行温度为从大约150℃或更高的温度,例如从大约170至180℃,且时间为从大约30至120秒。
参考图1D,采用能有效地使第一光刻胶图形的表面碱性化的材料处理硬烘烤的第一光刻胶图形106”。在随后的施加在光刻胶图形上的光敏层的曝光中,碱性表面阻止反应。例如,在正性光敏层的情况下,酸-催化的脱保护反应在与底下的碱-处理的光刻胶图形的直接邻近的区域被阻止。结果,在显影后,部分光敏层将留在那些区域。在酸-催化剂的负性光敏层用于顶覆层的情况下,在碱性处理的抗蚀剂图形直接邻近层的中,聚合被阻止。
但不限于此,特别地,合适的材料包括碱性材料和不同于该碱性材料的表面活性剂。据信表面活性剂促进在该碱性材料处理的光刻胶图形上形成第二光刻胶的基本均匀的涂覆层。
碱性材料可采用多种形式且可以是以溶液的形式,该溶液通过在合适的溶剂中溶解固体化合物形成。用于光刻胶图形处理的合适的碱性材料包括,例如,水性碱显影剂如氢氧化季铵溶液,例如,氢氧化四-烷基铵溶液如0.26当量浓度(N)(2.38wt%)氢氧化四甲基铵(TMAH)。用于碱性材料和组合物的其它材料的溶剂材料应不溶解或最小限度溶解该底下的光刻胶。碱性材料(不包括任何溶剂,例如,水,醇等)典型地在组合物中以基于整个组合物的从大约1至10wt%的量出现。
用于光刻胶图形处理组合物的合适的表面活性剂包括那些具有两亲性质的材料,指它们可以同时具有亲水性和疏水性。两亲型分子表面活性剂具有一个或多个对水具有强亲合力的亲水头基团和长的亲有机物质且抵制水的疏水尾。合适的表面活性剂可为离子的(即,阴离子,阳离子)或非离子的。表面活性剂的进一步的例子包括硅酮表面活性剂,聚(环氧烷烃)表面活性剂和氟化学表面活性剂。合适的在水溶液中使用的非离子表面活性剂包括,但不限于,辛基和壬基乙氧基苯酚如X-114、X-100、X-45、X-15和支链化的仲醇乙氧化物如TERGITOLTM TMN-6(美国密歇根州米德兰的陶氏化学公司(TheDow Chemical Company,Midland,Michigan USA))。再进一步的示例性的表面活性剂包括醇(伯醇和仲醇)乙氧基化物、乙氧基化的胺、葡萄糖苷、葡糖胺、聚乙二醇、聚(乙二醇-共-丙二醇)(poly(ethylene glycol-co-propylene glycol))或在新泽西州Glen Rock的糖果制造商出版公司(Manufacturers ConfectionersPublishing Co.)出版的MC乳化剂和清洁剂(McCutcheon’s Emulsifiers andDetergents)(2000年北美版本)中公开的其它表面活性剂。
乙炔二醇衍生物的非离子表面活性剂也是合适的,包括下面通式的这样的表面活性剂:
Figure BSA00000244311900091
其中R1和R4是具有从3至10个碳原子的直链或支链的烷基链;R2和R3是H或适合的具有从1至5个碳原子的烷基链;且m、n、p和q是范围从0至20的数值。这样的表面活性剂从宾夕法尼亚州阿伦敦的空气产品和化学有限公司(Air Products and Chemicals,Inc.of Allentown,Pa.)商业地获得,商标为
Figure BSA00000244311900092
Figure BSA00000244311900093
用于本发明的涂料组合物的其它合适的表面活性剂包括其它聚合化合物如三嵌段EO-PO-EO共聚物
Figure BSA00000244311900094
25R2、L121、L123、L31、L81、L101和P123(巴斯夫有限公司(BASF,Inc.))。
特别合适的表面活性剂包括胺基团,典型地为伯胺和仲胺,即分别包括一个或多个伯胺基团和一个或多个仲胺基团的胺,及其混合物。除了伯胺和/或仲胺基团,可以存在叔胺基团。典型地,胺是多功能的胺。胺可以是聚胺如双胺、三胺或四胺。合适的伯胺包括如下通式(I)的化合物:
Figure BSA00000244311900095
其中R是选自任选取代的烷基,如任选取代的C1至C6烷基,如甲基、乙基或丙基,其中乙基是典型的。其它合适的伯胺包括聚(烯丙基胺),由下面的通式(II)表示:
其中:R1是选自氢和任选取代的烷基,如C1至C3烷基;R2是选自任选取代的亚烷基,如C1至C6亚烷基,典型地为亚甲基或亚乙基;且n为大于或等于3的整数。在一个通式(N-II)的伯胺示例中,R1是氢和R2是亚甲基。其它合适的胺包括那些以下面的一般通式(III)、(IV)和(V)表示的:
Figure BSA00000244311900102
其中R1和R2各自独立地为氢原子或具有1至10个碳原子的烷基,且n是从1至10的整数。其它合适的胺包括如下:
Figure BSA00000244311900103
其中,三(2-氨基乙基)胺(TAEA)是特别优选的。
表面活性剂典型地在组合物中以相对小的量存在,例如,以组合物的总固体(总固体是除了溶剂载体之外的所有组合物成分)为基准计,为0.01至5wt%,例如0.01至1wt%。
除了碱性材料和表面活性剂组分之外,光刻胶图形处理组合物可包括一种或多种任选成分。例如,除了用于碱性材料和表面活性剂的任何溶剂,组合物可包括一种或多种溶剂。如上所述,用于碱性材料和组合物的其它材料的溶剂材料应不溶解或最小限度地溶解底下的光刻胶。因此,合适的溶剂将由此取决于底下的光刻胶的特定材料且可包括,例如,水和醇如正丁醇。任选的成分也包括一种或更多种碱产生剂化合物,例如热致碱产生剂化合物和/或光致碱产生剂化合物。
光刻胶图形处理组合物可通过以任意顺序混合碱性材料和表面活性剂以及任何额外的成分如溶剂和碱产生剂化合物(base generator compound)来制备。一种或多种成分可以固体或使用合适溶剂的预混合的溶液的形式被添加。
优选地,碱性处理包括采用氢氧化季铵或胺。氢氧化季铵材料和胺可同时施加至衬底,例如,从预混合组合物或通过同时施加材料但是各自分离(在这种情况中,组合物是在原处形成的)。优选地,氢氧化季铵材料和胺是以依次的顺序施加的。氢氧化季铵和胺材料可以液体、气体或气相被施加,且可通过,例如,旋涂、浸涂、气相-涂覆、化学气相沉积(CVD)或其它传统涂覆技术施加。其中,旋涂液体材料是典型的。典型地,氢氧化季铵和胺材料可以水溶液施加。其中当氢氧化季铵和胺被同时施加时,表面处理的衬底可被漂洗,例如,采用去离子水。其中当氢氧化季铵和胺材料被依次施加时,胺可以水溶液的形式施加,也作为水漂洗。表面处理的基板可任选地被漂洗,例如采用去离子水以去除多余组合物。
相比于光刻胶图形106’的原始CD,由于表面处理,第一光刻胶图形106”的临界尺寸(critical dimension,CD)稍微减小了。据信此CD损失归因于第一光刻胶图形在表面处理的过程中进一步显影。表面处理形成了修正的第一光刻胶图形表面112,其是碱性的,且具有比处理前的表面更小的线宽粗糙度。
表面处理之后,基板可在第二硬烘烤工艺中任选地热处理。下面更详细地讨论,从工艺中形成的第一光刻胶图形的尺寸可通过选择合适的第二硬烘烤的条件而准确地调整和控制。此热处理工艺典型地在热板或烤炉中执行,且条件将取决于,例如,光刻胶图形的具体材料和厚度以及图形的CD所需要的改变。用于任选的热处理的典型条件包括从大约120至200℃的温度和从大约60至120秒的时间。
如上所述的第二光敏组合物涂覆在第一光刻胶图形106”和BARC层104上以形成第二光敏层114,如图1E所示。第二光敏组合物可与第一光敏组合物相同或不同,且除非另有说明,可与上面的关于第一光敏层的描述一样的方法(包括材料和条件)施加和处理。随着第一光敏组合物是正性或负性的材料,第二光敏组合物的品质可类似地为正性或负性。但是,一般,此组合物的选择将取决于所涉及的具体应用和几何。在示例的方法中,第一和第二光敏组合物都是正性的。根据具体的工艺可施加第二光敏组合物,以完全覆盖第一光刻胶图形106”或施加至小于或等于第一光刻胶图形106”厚度的高度,取决于具体应用。
第二光敏层114可随后被软烘烤。如果采用浸没式光刻工具曝光第二光敏层114,如上所述的顶覆层(未示出)可施加在第二光敏层114上。如果使用了顶覆层,第二光敏层114可在顶覆层组合物已经施加后而非在顶覆层组合物的施加之前软烘烤。
参考图1(F),第二光敏层114曝光于活化辐射108。根据具体的应用和工艺的目的,该第二光敏层可整片曝光(flood-exposed),如所示,或可通过光掩膜以图形方式曝光于活化辐射。该曝光的第二光敏层用后曝光烘烤热处理和显影。第一光刻胶图形106”的碱性-修正的表面区域112阻止在表面区域附近的第二光刻胶层114中的光反应。结果,未反应的第二光敏组合物的层114’留在第一光刻胶图形106”上。与第一光刻胶层显影后的光刻胶图形相比,最终的显影图像具有改善的(即减小的)表面粗糙度。
在第二光敏层显影后,使用修正的光刻胶图形106”作为刻蚀掩模曝光底下的硬掩模层103而选择性地刻蚀BARC层104。随后,再次使用修正的光刻胶图形106”作为刻蚀掩模选择性地刻蚀硬掩模层,形成图形化的BARC和硬掩模层104’、103’,如图1H所示。合适的用于刻蚀BARC层和硬掩模层的刻蚀技术和化学物是本领域公知的且将取决于,例如,这些层的具体材料。干刻蚀工艺如反应离子刻蚀是典型的。随后使用公知的技术如氧等离子体灰化从衬底去除修正的第一光刻胶图形106”和图形化的BARC层104’。
使用硬掩模图形103’作为刻蚀掩模选择性刻蚀该一层或更多层102。合适的用于刻蚀底下的层102的刻蚀技术和化学物是本领域公知的,典型的是干刻蚀工艺如反应离子刻蚀。随后可使用公知的技术,例如,干刻蚀工艺如反应离子刻蚀从衬底表面去除图形化的硬掩模层103’。最终结构是如图1I所示的刻蚀的特征102’的图形。
在另一示例方法中,可能需要使用修正的第一光刻胶图形106”直接图形化层102,而不使用硬掩模层103。是否可以采用直接使用光刻胶图形图形化将取决于这些因素,如涉及的材料、光刻胶的选择性、光刻胶图形的厚度和图形尺寸。
参考图2,已发现,相比于表面处理工艺之前的CD,在第二光刻胶层114显影后的第一光刻胶图形的CD随着第二硬烘烤(在碱性表面处理之后)温度的增大而减小以及随着第二硬烘烤温度的减小而增大。基于此发现,可通过选择合适的第二硬烘烤的条件利用第二硬烘烤工艺精确地控制CD的生长。更具体地,可选择将形成所需的增大的、减小的或不变的CD的合适的硬烘烤温度。CD的变化和硬烘烤温度和它们的数值之间的精确关系将取决于例如第一光刻胶图形所使用的具体材料、表面处理化学物和第二光刻胶组合物,图2示出了基本关系。此关系的结果是,可过重复上面描述的从第一硬烘烤开始到显影的工艺(如图1中的虚箭头所示)进一步调整在第二光刻胶层显影后获得光刻胶图形的尺寸。顺序可重复一次或多次以得到所需的特征宽度。
本发明可应用于在电子器件的制造中的多个情形。例如,本发明发现了作为用于双重图形化如双曝光单刻蚀双重图形化和自对准间隙双重图形化、收缩工艺如那些在形成接触孔和沟槽中有用的中的基础、改写不合格的光刻图形以及改进光刻胶图形的线宽粗糙度中的特别用处。光刻胶图形可按照需要可控的调整或加宽。
下面的非限制性的例子是本发明的示例。
实施例
双重曝光辅助的收缩工艺(SPADE)
实施例1
L1光刻胶聚合物(聚(IAM/α-GBLMA/ODOTMA/HAMA))合成
10.51g的2-甲基-丙烯酸1-异丙基-金刚酯(IAM),6.82g的2-甲基-丙烯酸2-氧代-四氢-呋喃-3基酯(α-GBLMA),6.36g的2-甲基-丙烯酸3-氧代-4,10-二氧代-三环[5.2.1.02,6]癸-8-基酯(ODOTMA)和6.31g的2-甲基-丙烯酸3-羟基-金刚酯(HAMA)溶解在27g的四氢呋喃(THF)中。混合物通过20分钟的氮气鼓泡脱气。装备有冷凝器、氮气进气口和机械搅拌器的500ml的烧瓶中装入11g的THF,且溶液升温至67℃的温度。5.23g的2,2-偶氮二异丁酸二甲酯(以整个单体计,为17mol%)溶解在5g的THF中且装入烧瓶。单体溶液以16.0毫升/小时(mL/h)的速度供应到反应器,供应3小时30分钟。聚合混合物在67℃再搅拌30分钟。随后向反应器加入5g的THF并将聚合混合物冷却至室温。在1.0L的异丙醇中进行沉淀。过滤后,干燥聚合物,重新溶解在50gTHF中,在1.1L的异丙醇中再沉淀,过滤,以及在45℃真空炉中干燥48小时,形成25.4g的聚(IAM/α-GBLMA/ODOTMA/HAMA)聚合物(Mw=7,934且Mw/Mn=~1.46),如下所示:
Figure BSA00000244311900141
L1光刻胶配方
3.169g的上述形成的聚合物溶解在96.38g的溶剂混合物中,溶剂混合物为70wt%丙二醇单甲醚乙酸酯(PGMEA)和30wt%的环己酮的混合物。向此混合物加入0.405g的三苯基锍(金刚烷-1基甲氧羰基)-二氟-甲基磺酸盐、0.041g的1-(叔丁氧羰基)-4-羟基哌啶和0.005g的
Figure BSA00000244311900142
PF-656表面活性剂(Omnova Solutions Inc.)。最终的混合物在滚筒上滚动6小时且然后通过具有0.2微米孔径的Teflon过滤器过滤以形成正性光刻胶组合物。
表面处理溶液配方
制备表面处理溶液,通过混合47.601g的氢氧化四甲铵(TMAH)溶液(25wt%的去离子水溶液)(Sigma-Aldrich)、0.5g的三(2-氨基乙)胺(TAEA)(Sigma-Aldrich)和451.90g的去离子水。该溶液通过具有0.1微米孔径的尼龙过滤器过滤。
线和间隙的第一光刻(L1)图形化
在TEL CLEAN TRACKTM LITHIUSTMi+涂覆机/显影机上,用ARTM40A抗反射剂(罗门哈斯电子材料有限公司)旋涂300mm的硅晶片以形成第一底部抗反射涂层(BARC)。晶片在215℃下烘烤60秒,形成厚度为75nm的第一BARC层。然后在第一BARC上用ARTM124抗反射剂(罗门哈斯电子材料有限公司)涂覆第二BARC层,且在205℃下烘烤60秒,形成23nm的顶部BARC层。
将上述形成的L1光刻胶组合物涂覆在双BARC上且在110℃下软烘烤60秒以提供厚度为
Figure BSA00000244311900151
的光刻胶层。第一光刻胶层被顶覆层(OCTM2000顶覆层材料,罗门哈斯电子材料有限公司)涂覆且使用具有数值孔径为1.35且X-偏振的偶极-35Y发光(0.89外sigma/0.76内sigma)的ASMLTWINSCANTMXT:1900i浸没式扫描器以从16至38mJ/cm2的各种剂量的穿过具有包括在90nm节距下的45nm线和间隙(L/S)的临界尺寸的十字线曝光。晶片然后在100℃下被曝光后烘烤(PEB)60秒且使用MicropositTM MF CD-26显影剂(罗门哈斯电子材料有限公司)显影12秒以提供第一光刻(L1)图形。间隙CD用一台Hitachi CG 4000SEM测量。
固化和表面处理
晶片在180℃下硬烘烤60秒。随后晶片在TEL晶片轨道上旋转,被用如上描述的表面处理溶液配方漂洗。晶片然后被用去离子水漂洗以去除多余的表面处理液。
第二光刻胶工艺(L2)
在某旋转速度下的涂覆机/显影机上,EPICTM2098光刻胶(罗门哈斯电子材料有限公司)涂覆在表面处理过的L1图形上,这将在空白硅片上提供
Figure BSA00000244311900152
厚的膜。晶片在120℃下软烘烤60秒,且然后涂覆OCTM2000顶覆层材料(罗门哈斯电子材料有限公司)。第二光刻(L2)通过使用与第一光刻工艺相同的扫描装置执行,但是无掩模地在从12至34mJ/cm2的各种曝光剂量下使用整片-曝光。晶片然后在90℃下被曝光后烘烤(PEB)60秒且使用MicropositTM MF CD-26显影剂(罗门哈斯电子材料有限公司)显影12秒。再次测量间隙CD。对于L2曝光剂量23mJ/cm2,由于在线图形上的CD生长,间隙CD从49nm减小至42nm。
例2-9:
重复参考例1的上述工序,除了用上述的通式(A-2)至(A-9)的胺分别代替TAEA。实现在L1显影和L2显影之间的间隙CD的减小。

Claims (10)

1.一种形成电子器件的方法,包括:
(a)提供包括要被图形化的一层或更多层的半导体衬底;
(b)在所述要被图形化的一层或更多层上施加第一光敏组合物的第一层;
(c)通过第一光掩模将该第一层曝光于活化辐射;
(d)显影该曝光的第一层以形成光刻胶图形;
(e)在硬烘烤工艺中热处理该光刻胶图形;
(f)采用可有效地使该光刻胶图形的表面碱性化的材料处理该被硬烘烤的光刻胶图形;
(g)在被处理过的光刻胶图形和要被图形化的一层或更多层上施加第二光敏组合物的第二层,该第二光敏组合物包含树脂成分和光酸产生剂;
(h)将第二层曝光于活化辐射;以及
(i)显影该被曝光的第二层,在显影后留下该第二层的一部分。
2.如权利要求1所述的方法,其特征在于,采用可有效地使该光刻胶图形的表面碱性化的材料处理已硬烘烤的光刻胶图形包括采用碱性材料和表面活性剂处理该光刻胶图形。
3.如权利要求1所述的方法,其特征在于,采用可有效地使该光刻胶图形的表面碱性化的材料处理已硬烘烤的第一光刻胶图形包括采用伯胺或仲胺处理该光刻胶图形。
4.如权利要求3所述的方法,其特征在于,采用可有效地使该第一光刻胶图形的表面碱性化的材料处理已硬烘烤的光刻胶图形包括依次采用氢氧化季铵溶液处理该光刻胶图形,接着采用伯胺或仲胺处理该光刻胶图形。
5.如权利要求3所述的方法,其特征在于,该胺是如通式(I)所示的化合物:
Figure FSA00000244311800011
其中R是选自任选取代的C1-C6烷基。
6.如权利要求3所述的方法,其特征在于,该胺是选自如下的通式(III)、(IV)和(V)的化合物:
Figure FSA00000244311800021
其中R1和R2各自独立地为氢原子或C1-C10烷基;且n是从1至10的整数。
7.如权利要求1所述的方法,其特征在于,第二光敏组合物的层的曝光步骤是整片曝光。
8.如权利要求1所述的方法,其特征在于,第二光敏组合物的层的曝光步骤通过图形化的光掩模进行。
9.如权利要求1所述的方法,进一步包括在采用可有效地使该光刻胶图形的表面碱性化的材料处理该被硬烘烤的光刻胶图形之后且在施加第二光敏组合物的层的步骤之前热处理该硬烘烤的第一光刻胶图形。
10.一种被涂覆的衬底,包括:
(a)包括要被图形化的一层或更多层的半导体衬底;
(b)在该要被图形化的一层或更多层上的光刻胶图形,该光刻胶图形具有碱性表面;以及
(c)在要被图形化的一层或更多层上且与该光刻胶图形的碱性表面接触的光敏层,该光敏层包含树脂成分和光酸产生剂成分。
CN2010102632008A 2009-06-26 2010-06-25 形成电子器件的方法 Expired - Fee Related CN101963754B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US26960009P 2009-06-26 2009-06-26
US61/269,600 2009-06-26
US28168109P 2009-11-19 2009-11-19
US61/281,681 2009-11-19

Publications (2)

Publication Number Publication Date
CN101963754A true CN101963754A (zh) 2011-02-02
CN101963754B CN101963754B (zh) 2012-12-19

Family

ID=42711855

Family Applications (3)

Application Number Title Priority Date Filing Date
CN2010102568270A Expired - Fee Related CN101937838B (zh) 2009-06-26 2010-06-25 形成电子器件的方法
CN2010102632008A Expired - Fee Related CN101963754B (zh) 2009-06-26 2010-06-25 形成电子器件的方法
CN201010272367.0A Expired - Fee Related CN101963756B (zh) 2009-06-26 2010-06-25 形成电子器件的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2010102568270A Expired - Fee Related CN101937838B (zh) 2009-06-26 2010-06-25 形成电子器件的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201010272367.0A Expired - Fee Related CN101963756B (zh) 2009-06-26 2010-06-25 形成电子器件的方法

Country Status (6)

Country Link
US (7) US8338079B2 (zh)
EP (3) EP2287669A1 (zh)
JP (5) JP5698922B2 (zh)
KR (5) KR101724384B1 (zh)
CN (3) CN101937838B (zh)
TW (3) TWI420571B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102437018A (zh) * 2011-11-02 2012-05-02 上海宏力半导体制造有限公司 用于改进蚀刻后晶圆内关键尺寸均匀性的烘烤方法及设备
WO2013056484A1 (zh) * 2011-10-17 2013-04-25 深圳市华星光电技术有限公司 控制液晶显示装置接触孔孔壁角度的制作方法
CN103839783A (zh) * 2012-11-21 2014-06-04 中芯国际集成电路制造(上海)有限公司 自对准双重图形的形成方法
CN107703722A (zh) * 2016-08-08 2018-02-16 中芯国际集成电路制造(上海)有限公司 图案化光阻的形成方法
CN108594599A (zh) * 2011-07-08 2018-09-28 Asml荷兰有限公司 抗蚀剂材料、光刻图案化方法和氧化物的用途

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2287669A1 (en) 2009-06-26 2011-02-23 Rohm and Haas Electronic Materials, L.L.C. Methods of forming electronic devices
JP5698923B2 (ja) * 2009-06-26 2015-04-08 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 自己整合型スペーサー多重パターニング方法
TWI442453B (zh) 2009-11-19 2014-06-21 羅門哈斯電子材料有限公司 形成電子裝置之方法
JP5542500B2 (ja) 2010-03-30 2014-07-09 東京応化工業株式会社 レジストパターン形成方法およびレジスト組成物
KR20120027989A (ko) * 2010-09-14 2012-03-22 삼성전자주식회사 반도체 소자의 패턴 형성방법
KR101865296B1 (ko) * 2011-06-15 2018-06-07 삼성전자주식회사 반도체 장치의 제조 방법
CN102856190B (zh) * 2011-06-30 2015-04-01 中芯国际集成电路制造(上海)有限公司 条形结构的刻蚀方法
US9064808B2 (en) 2011-07-25 2015-06-23 Synopsys, Inc. Integrated circuit devices having features with reduced edge curvature and methods for manufacturing the same
US8609550B2 (en) * 2011-09-08 2013-12-17 Synopsys, Inc. Methods for manufacturing integrated circuit devices having features with reduced edge curvature
CN103034048B (zh) * 2011-09-29 2015-04-22 中芯国际集成电路制造(北京)有限公司 光刻方法
US8604427B2 (en) * 2012-02-02 2013-12-10 Applied Materials Israel, Ltd. Three-dimensional mapping using scanning electron microscope images
JP6028357B2 (ja) 2012-03-22 2016-11-16 ソニー株式会社 ヘッドマウントディスプレイ及び手術システム
WO2014013396A2 (en) * 2012-07-16 2014-01-23 Basf Se Composition for manufacturing integrated circuit devices, optical devices, micromachines and mechanical precision devices
CN102751238A (zh) * 2012-07-27 2012-10-24 上海华力微电子有限公司 通孔优先铜互连制作方法
US9086631B2 (en) * 2012-08-27 2015-07-21 Tokyo Electron Limited EUV resist sensitivity reduction
CN102810510A (zh) * 2012-09-11 2012-12-05 上海华力微电子有限公司 一种铜互连制作方法
CN102832168A (zh) * 2012-09-11 2012-12-19 上海华力微电子有限公司 一种沟槽优先铜互连制作方法
JP6119669B2 (ja) * 2013-06-11 2017-04-26 信越化学工業株式会社 下層膜材料及びパターン形成方法
DE102013108876B4 (de) * 2013-08-16 2022-08-18 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Fotolithografisches Verfahren zur Herstellung einer Struktur in einem Strahlung emittierenden Halbleiterbauelement
CN104425225A (zh) * 2013-09-04 2015-03-18 中芯国际集成电路制造(上海)有限公司 三重图形的形成方法
JP6340304B2 (ja) * 2013-11-29 2018-06-06 富士フイルム株式会社 パターン形成方法、及び電子デバイスの製造方法
US9793268B2 (en) 2014-01-24 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for gap filling improvement
KR20150093618A (ko) * 2014-02-07 2015-08-18 아이엠이씨 브이제트더블유 포스트-리소그래피 라인 폭 러프니스를 감소시키기 위한 플라즈마 방법
KR20150136387A (ko) 2014-05-27 2015-12-07 삼성전자주식회사 반도체 소자의 제조 방법
US9715724B2 (en) 2014-07-29 2017-07-25 Applied Materials Israel Ltd. Registration of CAD data with SEM images
KR102270752B1 (ko) 2014-08-11 2021-07-01 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
TWI675258B (zh) * 2014-09-26 2019-10-21 日商東京應化工業股份有限公司 光阻圖型形成方法、光阻圖型分離劑、分離圖型改善化劑、光阻圖型分離材料及分離圖型形成用之正型光阻劑組成物
TWI632437B (zh) * 2014-11-07 2018-08-11 羅門哈斯電子材料有限公司 用於形成凸紋影像的方法
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9754791B2 (en) * 2015-02-07 2017-09-05 Applied Materials, Inc. Selective deposition utilizing masks and directional plasma treatment
KR102370616B1 (ko) * 2015-02-09 2022-03-04 삼성전자주식회사 미세 패턴 형성 방법
US9633847B2 (en) * 2015-04-10 2017-04-25 Tokyo Electron Limited Using sub-resolution openings to aid in image reversal, directed self-assembly, and selective deposition
JP2016213475A (ja) * 2015-05-13 2016-12-15 東京エレクトロン株式会社 シュリンク及び成長方法を使用する極端紫外線感度低下
US10061199B2 (en) * 2015-06-24 2018-08-28 Tokyo Electron Limited Methods of forming a mask for substrate patterning
US9741586B2 (en) * 2015-06-30 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating package structures
US10162265B2 (en) * 2015-12-09 2018-12-25 Rohm And Haas Electronic Materials Llc Pattern treatment methods
US10503070B2 (en) * 2015-12-10 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
KR102515807B1 (ko) * 2016-01-11 2023-03-31 삼성디스플레이 주식회사 표시 장치 및 이의 제조 방법
JP6741471B2 (ja) * 2016-05-17 2020-08-19 東京応化工業株式会社 レジストパターン形成方法
US10115594B1 (en) * 2017-09-05 2018-10-30 Nanya Technology Corporation Method of forming fine island patterns of semiconductor devices
JP2019078812A (ja) * 2017-10-20 2019-05-23 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 高精細パターンの製造方法およびそれを用いた表示素子の製造方法
JP2019078810A (ja) * 2017-10-20 2019-05-23 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 微細パターンの製造方法およびそれを用いた表示素子の製造方法
US10410878B2 (en) 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications
CN107761663A (zh) * 2017-11-08 2018-03-06 江苏科技大学 一种板桩码头结构及其施工方法
US11139402B2 (en) 2018-05-14 2021-10-05 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
US11143953B2 (en) 2019-03-21 2021-10-12 International Business Machines Corporation Protection of photomasks from 193nm radiation damage using thin coatings of ALD Al2O3
US11264458B2 (en) 2019-05-20 2022-03-01 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
US11886121B2 (en) * 2019-08-30 2024-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming patterned photoresist

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6534243B1 (en) * 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
CN101030616A (zh) * 2007-03-21 2007-09-05 山东华光光电子有限公司 一种高亮度发光二极管芯片的制备方法
CN101189557A (zh) * 2005-06-28 2008-05-28 富士胶片株式会社 永久图案形成方法
US20080199814A1 (en) * 2006-12-06 2008-08-21 Fujifilm Electronic Materials, U.S.A., Inc. Device manufacturing process utilizing a double patterning process
EP1975718A2 (en) * 2007-03-26 2008-10-01 FUJIFILM Corporation Surface-treating agent for pattern formation and pattern-forming method using the surface-treating agent
WO2008143301A1 (ja) * 2007-05-23 2008-11-27 Jsr Corporation パターン形成方法及びそれに用いる樹脂組成物
US20090053657A1 (en) * 2007-08-22 2009-02-26 Shin-Etsu Chemical Co., Ltd. Patterning process and pattern surface coating composition

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3474054A (en) 1966-09-13 1969-10-21 Permalac Corp The Surface coating compositions containing pyridine salts or aromatic sulfonic acids
US4251665A (en) 1978-05-22 1981-02-17 King Industries, Inc. Aromatic sulfonic acid oxa-azacyclopentane adducts
US4200729A (en) 1978-05-22 1980-04-29 King Industries, Inc Curing amino resins with aromatic sulfonic acid oxa-azacyclopentane adducts
JPS5844715A (ja) * 1981-09-11 1983-03-15 Fujitsu Ltd 微細パタ−ン形成方法
ATE68272T1 (de) 1984-06-01 1991-10-15 Rohm & Haas Lichtempfindliche beschichtungszusammensetzung, aus diesem hergestellte thermisch stabile beschichtungen und verfahren zur herstellung von thermisch stabilen polymerbildern.
CA1307695C (en) 1986-01-13 1992-09-22 Wayne Edmund Feely Photosensitive compounds and thermally stable and aqueous developablenegative images
ES2067547T3 (es) * 1988-08-26 1995-04-01 Nippon Oils & Fats Co Ltd Agente dispersante de pigmento.
US5128232A (en) 1989-05-22 1992-07-07 Shiply Company Inc. Photoresist composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units
US5100696A (en) * 1989-07-10 1992-03-31 Ncr Corporation Magnetic thermal transfer ribbon
US5187019A (en) 1991-09-06 1993-02-16 King Industries, Inc. Latent catalysts
JP3340493B2 (ja) * 1993-02-26 2002-11-05 沖電気工業株式会社 パターン形成方法、位相シフト法用ホトマスクの形成方法
US5656121A (en) * 1994-08-19 1997-08-12 Minnesota Mining And Manufacturing Company Method of making multi-layer composites having a fluoropolymer layer
JP3444692B2 (ja) * 1995-04-14 2003-09-08 沖電気工業株式会社 パターン形成方法
JPH09191007A (ja) * 1996-01-11 1997-07-22 Sumitomo Chem Co Ltd フォトレジスト用剥離液
JPH11214510A (ja) * 1998-01-26 1999-08-06 Toshiba Corp 自己整合型パターン形成方法
JPH11251214A (ja) * 1998-02-27 1999-09-17 Sharp Corp タンタル薄膜回路素子の製造方法
US6218085B1 (en) 1999-09-21 2001-04-17 Lucent Technologies Inc. Process for photoresist rework to avoid sodium incorporation
JP2001135565A (ja) * 1999-11-08 2001-05-18 Sony Corp 半導体装置の製造方法
TWI281101B (en) * 2000-02-28 2007-05-11 Mitsubishi Electric Corp Developing process, process for forming pattern and process for preparing semiconductor device using same
JP4329216B2 (ja) * 2000-03-31 2009-09-09 Jsr株式会社 レジストパターン縮小化材料及びそれを使用する微細レジストパターンの形成方法
JP2002006512A (ja) * 2000-06-20 2002-01-09 Mitsubishi Electric Corp 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法
KR100546098B1 (ko) * 2000-12-27 2006-01-24 주식회사 하이닉스반도체 열산 발생제를 포함하는 포토레지스트 조성물을 이용하여포토레지스트 패턴 폭 감소 현상을 개선하는 방법
JP2002278053A (ja) * 2001-03-16 2002-09-27 Fuji Photo Film Co Ltd ポジ型フォトレジスト組成物
WO2003006948A2 (en) * 2001-07-10 2003-01-23 Wisconsin Alumni Research Foundation Surface plasmon resonance imaging of micro-arrays
JP3878451B2 (ja) * 2001-10-22 2007-02-07 富士フイルムホールディングス株式会社 感光性樹脂転写材料、画像形成方法、カラーフィルターとその製造方法、フォトマスクとその製造方法
JP2003228179A (ja) * 2002-01-31 2003-08-15 Mitsubishi Gas Chem Co Inc 銅配線基板向けアミン含有レジスト剥離液および剥離方法
EP1481282A4 (en) 2002-03-04 2009-10-28 Shipley Co Llc NEGATIVE PHOTORESISTS FOR IMAGING WITH SHORT WAVE LENGTH
JP4041750B2 (ja) * 2002-06-28 2008-01-30 富士フイルム株式会社 染料含有硬化性組成物、カラーフィルタ及びその製造方法
JP3675434B2 (ja) * 2002-10-10 2005-07-27 東京応化工業株式会社 微細パターンの形成方法
US6740473B1 (en) * 2002-11-28 2004-05-25 United Microelectronics Corp. Method for shrinking critical dimension of semiconductor devices
US6916594B2 (en) * 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
EP1653286A4 (en) * 2003-07-17 2010-01-06 Az Electronic Materials Usa METHOD AND MATERIAL FOR FORMING A FINISHING PATTERN
US7232641B2 (en) 2003-10-08 2007-06-19 Shin-Etsu Chemical Co., Ltd. Polymerizable compound, polymer, positive-resist composition, and patterning process using the same
JP4143023B2 (ja) * 2003-11-21 2008-09-03 株式会社東芝 パターン形成方法および半導体装置の製造方法
US20060003271A1 (en) * 2004-06-30 2006-01-05 Clark Shan C Basic supercritical solutions for quenching and developing photoresists
KR100560633B1 (ko) * 2004-08-16 2006-03-17 삼성전자주식회사 커패시터 제조 방법
KR100575001B1 (ko) 2004-12-10 2006-04-28 삼성전자주식회사 상호 결합 없는 이중 포토 리소그라피 방법
EP1720072B1 (en) 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
DE102005037022A1 (de) * 2005-06-28 2007-01-04 Osram Opto Semiconductors Gmbh Strahlungsemittierender optoelektronischer Halbleiterchip mit einer Diffusionsbarriere
JP4830596B2 (ja) * 2006-04-10 2011-12-07 凸版印刷株式会社 レジストパターン形成用基板、レジストパターン形成方法およびパネル
WO2007148160A2 (en) * 2006-06-20 2007-12-27 Freescale Semiconductor, Inc. Method of multi-layer lithography
KR20080023814A (ko) * 2006-09-12 2008-03-17 주식회사 하이닉스반도체 반도체소자의 미세패턴 형성방법
US7568362B2 (en) * 2006-10-16 2009-08-04 Calibre International, Llc Bean bag holder to be used to hold a can or bottle
CN102253596B (zh) 2006-10-30 2014-05-14 罗门哈斯电子材料有限公司 浸渍平版印刷用组合物和浸渍平版印刷方法
KR100876783B1 (ko) * 2007-01-05 2009-01-09 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP5270840B2 (ja) * 2007-01-23 2013-08-21 東京応化工業株式会社 パターン微細化用被覆形成剤及びそれを用いた微細パターンの形成方法
JP2008268855A (ja) * 2007-03-26 2008-11-06 Fujifilm Corp パターン形成用表面処理剤、及び該処理剤を用いたパターン形成方法
JP4840255B2 (ja) * 2007-05-29 2011-12-21 Jsr株式会社 パターン形成方法及びそれに用いる樹脂組成物
US8642474B2 (en) * 2007-07-10 2014-02-04 Advanced Micro Devices, Inc. Spacer lithography
JP2009053547A (ja) * 2007-08-28 2009-03-12 Tokyo Ohka Kogyo Co Ltd パターン形成方法及び被覆膜形成用材料
JP5013119B2 (ja) * 2007-09-20 2012-08-29 信越化学工業株式会社 パターン形成方法並びにこれに用いるレジスト材料
JP2009199058A (ja) 2007-11-05 2009-09-03 Rohm & Haas Electronic Materials Llc 液浸リソグラフィーのための組成物および方法
US7659208B2 (en) * 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7838200B2 (en) * 2007-12-13 2010-11-23 International Business Machines Corporation Photoresist compositions and method for multiple exposures with multiple layer resist systems
US7838198B2 (en) * 2007-12-13 2010-11-23 International Business Machines Corporation Photoresist compositions and method for multiple exposures with multiple layer resist systems
JP2009194207A (ja) * 2008-02-15 2009-08-27 Tokyo Electron Ltd パターン形成方法、半導体装置の製造方法及び半導体装置の製造装置
JP5154395B2 (ja) * 2008-02-28 2013-02-27 東京エレクトロン株式会社 半導体装置の製造方法及びレジスト塗布・現像処理システム
EP2101217B1 (en) * 2008-03-14 2011-05-11 Shin-Etsu Chemical Co., Ltd. Sulfonium salt-containing polymer, resist compositon, and patterning process
US20090253080A1 (en) * 2008-04-02 2009-10-08 Dammel Ralph R Photoresist Image-Forming Process Using Double Patterning
US20090253078A1 (en) * 2008-04-07 2009-10-08 Sokudo Co., Ltd. Double exposure lithography using low temperature oxide and uv cure process
ES2433422T3 (es) * 2008-10-06 2013-12-11 Union Carbide Chemicals & Plastics Technology Llc Métodos para preparar triaminas N-aminofuncionales cíclicas
KR101523951B1 (ko) * 2008-10-09 2015-06-02 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
JP5071688B2 (ja) * 2009-02-18 2012-11-14 信越化学工業株式会社 パターン形成方法及びレジスト変性用組成物
KR20100117025A (ko) * 2009-04-23 2010-11-02 스미또모 가가꾸 가부시키가이샤 포토레지스트 패턴 형성 방법
JP5212245B2 (ja) * 2009-04-23 2013-06-19 住友化学株式会社 レジストパターンの製造方法
JP5112380B2 (ja) * 2009-04-24 2013-01-09 信越化学工業株式会社 パターン形成方法
TWI418533B (zh) * 2009-05-25 2013-12-11 Shinetsu Chemical Co 光阻改質用組成物及圖案形成方法
TWI403520B (zh) * 2009-05-25 2013-08-01 Shinetsu Chemical Co 光阻改質用組成物及圖案形成方法
JP5573356B2 (ja) * 2009-05-26 2014-08-20 信越化学工業株式会社 レジスト材料及びパターン形成方法
JP5698923B2 (ja) * 2009-06-26 2015-04-08 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 自己整合型スペーサー多重パターニング方法
EP2287669A1 (en) 2009-06-26 2011-02-23 Rohm and Haas Electronic Materials, L.L.C. Methods of forming electronic devices
JP2011022187A (ja) * 2009-07-13 2011-02-03 Konica Minolta Business Technologies Inc 静電荷像現像用トナー、フルカラートナーキット、画像形成方法
TWI442453B (zh) * 2009-11-19 2014-06-21 羅門哈斯電子材料有限公司 形成電子裝置之方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6534243B1 (en) * 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
CN101189557A (zh) * 2005-06-28 2008-05-28 富士胶片株式会社 永久图案形成方法
US20080199814A1 (en) * 2006-12-06 2008-08-21 Fujifilm Electronic Materials, U.S.A., Inc. Device manufacturing process utilizing a double patterning process
CN101030616A (zh) * 2007-03-21 2007-09-05 山东华光光电子有限公司 一种高亮度发光二极管芯片的制备方法
EP1975718A2 (en) * 2007-03-26 2008-10-01 FUJIFILM Corporation Surface-treating agent for pattern formation and pattern-forming method using the surface-treating agent
WO2008143301A1 (ja) * 2007-05-23 2008-11-27 Jsr Corporation パターン形成方法及びそれに用いる樹脂組成物
US20090053657A1 (en) * 2007-08-22 2009-02-26 Shin-Etsu Chemical Co., Ltd. Patterning process and pattern surface coating composition

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108594599A (zh) * 2011-07-08 2018-09-28 Asml荷兰有限公司 抗蚀剂材料、光刻图案化方法和氧化物的用途
CN108594599B (zh) * 2011-07-08 2022-04-22 Asml荷兰有限公司 抗蚀剂材料、光刻图案化方法和氧化物的用途
WO2013056484A1 (zh) * 2011-10-17 2013-04-25 深圳市华星光电技术有限公司 控制液晶显示装置接触孔孔壁角度的制作方法
CN102437018A (zh) * 2011-11-02 2012-05-02 上海宏力半导体制造有限公司 用于改进蚀刻后晶圆内关键尺寸均匀性的烘烤方法及设备
CN103839783A (zh) * 2012-11-21 2014-06-04 中芯国际集成电路制造(上海)有限公司 自对准双重图形的形成方法
CN103839783B (zh) * 2012-11-21 2017-06-09 中芯国际集成电路制造(上海)有限公司 自对准双重图形的形成方法
CN107703722A (zh) * 2016-08-08 2018-02-16 中芯国际集成电路制造(上海)有限公司 图案化光阻的形成方法
CN107703722B (zh) * 2016-08-08 2020-12-15 中芯国际集成电路制造(上海)有限公司 图案化光阻的形成方法

Also Published As

Publication number Publication date
JP5731764B2 (ja) 2015-06-10
EP2287669A1 (en) 2011-02-23
CN101963754B (zh) 2012-12-19
TWI420571B (zh) 2013-12-21
KR20110002798A (ko) 2011-01-10
CN101963756A (zh) 2011-02-02
US8465901B2 (en) 2013-06-18
US8507185B2 (en) 2013-08-13
US8492068B2 (en) 2013-07-23
JP2011070164A (ja) 2011-04-07
KR20110002799A (ko) 2011-01-10
US20100330500A1 (en) 2010-12-30
JP5698924B2 (ja) 2015-04-08
US20100330471A1 (en) 2010-12-30
KR101724384B1 (ko) 2017-04-07
US8492075B2 (en) 2013-07-23
KR101766289B1 (ko) 2017-08-08
TW201110196A (en) 2011-03-16
US8338083B2 (en) 2012-12-25
JP2011066393A (ja) 2011-03-31
TWI449084B (zh) 2014-08-11
US20130069246A1 (en) 2013-03-21
US20100330503A1 (en) 2010-12-30
KR101698400B1 (ko) 2017-01-20
US20100330501A1 (en) 2010-12-30
CN101963756B (zh) 2014-12-17
JP5698925B2 (ja) 2015-04-08
CN101937838B (zh) 2012-10-03
JP2011071479A (ja) 2011-04-07
US20100330499A1 (en) 2010-12-30
TW201110195A (en) 2011-03-16
KR20110002800A (ko) 2011-01-10
JP2011071480A (ja) 2011-04-07
KR101698396B1 (ko) 2017-01-20
TW201118924A (en) 2011-06-01
KR20110002797A (ko) 2011-01-10
JP2011070165A (ja) 2011-04-07
JP5698926B2 (ja) 2015-04-08
KR101671289B1 (ko) 2016-11-16
EP2287670A1 (en) 2011-02-23
US20110008729A1 (en) 2011-01-13
US8338079B2 (en) 2012-12-25
TWI474378B (zh) 2015-02-21
JP5698922B2 (ja) 2015-04-08
KR20110002801A (ko) 2011-01-10
CN101937838A (zh) 2011-01-05
EP2287668A1 (en) 2011-02-23

Similar Documents

Publication Publication Date Title
CN101963754B (zh) 形成电子器件的方法
CN102074462B (zh) 形成电子器件的方法
CN101963755B (zh) 自对准间隔物多重图形化方法
CN102338982B (zh) 形成光刻图案的方法
TWI485535B (zh) 形成光微影圖案之顯像劑組成物及方法
US8906598B2 (en) Pattern forming method, method for manufacturing semiconductor device, and material for forming coating layer of resist pattern
KR100599146B1 (ko) 포토레지스트용 반사 방지 코팅재

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20121219

Termination date: 20200625

CF01 Termination of patent right due to non-payment of annual fee