JP5731764B2 - 電子デバイスを形成する方法 - Google Patents
電子デバイスを形成する方法 Download PDFInfo
- Publication number
- JP5731764B2 JP5731764B2 JP2010145376A JP2010145376A JP5731764B2 JP 5731764 B2 JP5731764 B2 JP 5731764B2 JP 2010145376 A JP2010145376 A JP 2010145376A JP 2010145376 A JP2010145376 A JP 2010145376A JP 5731764 B2 JP5731764 B2 JP 5731764B2
- Authority
- JP
- Japan
- Prior art keywords
- resist pattern
- layer
- resist
- pattern
- patterned
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000000034 method Methods 0.000 title claims description 79
- 239000000203 mixture Substances 0.000 claims description 71
- 230000008569 process Effects 0.000 claims description 32
- 239000000758 substrate Substances 0.000 claims description 24
- 229920005989 resin Polymers 0.000 claims description 15
- 239000011347 resin Substances 0.000 claims description 15
- 239000004065 semiconductor Substances 0.000 claims description 15
- 230000005855 radiation Effects 0.000 claims description 13
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 13
- 238000012545 processing Methods 0.000 claims description 12
- 230000003213 activating effect Effects 0.000 claims description 8
- 239000010410 layer Substances 0.000 description 125
- 239000000463 material Substances 0.000 description 56
- 229920002120 photoresistant polymer Polymers 0.000 description 33
- 238000000059 patterning Methods 0.000 description 23
- 239000004094 surface-active agent Substances 0.000 description 23
- 235000012431 wafers Nutrition 0.000 description 23
- 239000000243 solution Substances 0.000 description 22
- 150000001412 amines Chemical class 0.000 description 20
- 238000011161 development Methods 0.000 description 17
- 239000002904 solvent Substances 0.000 description 16
- 238000004381 surface treatment Methods 0.000 description 16
- 239000000126 substance Substances 0.000 description 15
- WYURNTSHIVDZCO-UHFFFAOYSA-N Tetrahydrofuran Chemical compound C1CCOC1 WYURNTSHIVDZCO-UHFFFAOYSA-N 0.000 description 12
- 238000007654 immersion Methods 0.000 description 12
- 238000000576 coating method Methods 0.000 description 11
- 150000001875 compounds Chemical class 0.000 description 11
- 239000012530 fluid Substances 0.000 description 11
- 239000011248 coating agent Substances 0.000 description 10
- 239000000908 ammonium hydroxide Substances 0.000 description 9
- 238000005530 etching Methods 0.000 description 9
- 125000001453 quaternary ammonium group Chemical group 0.000 description 9
- 239000007787 solid Substances 0.000 description 9
- 230000015572 biosynthetic process Effects 0.000 description 7
- 238000001459 lithography Methods 0.000 description 7
- 238000004519 manufacturing process Methods 0.000 description 7
- 229920000642 polymer Polymers 0.000 description 7
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 description 6
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 6
- 239000006117 anti-reflective coating Substances 0.000 description 6
- 230000003667 anti-reflective effect Effects 0.000 description 6
- 238000001723 curing Methods 0.000 description 6
- 239000012776 electronic material Substances 0.000 description 6
- 238000003384 imaging method Methods 0.000 description 6
- 238000000671 immersion lithography Methods 0.000 description 6
- JDSHMPZPIAZGSV-UHFFFAOYSA-N melamine Chemical compound NC1=NC(N)=NC(N)=N1 JDSHMPZPIAZGSV-UHFFFAOYSA-N 0.000 description 6
- 150000003141 primary amines Chemical class 0.000 description 6
- 229910052710 silicon Inorganic materials 0.000 description 6
- 239000010703 silicon Substances 0.000 description 6
- 238000004528 spin coating Methods 0.000 description 6
- YLQBMQCUIZJEEH-UHFFFAOYSA-N tetrahydrofuran Natural products C=1C=COC=1 YLQBMQCUIZJEEH-UHFFFAOYSA-N 0.000 description 6
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 6
- 229920000877 Melamine resin Polymers 0.000 description 5
- 238000005229 chemical vapour deposition Methods 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- 229920003270 Cymel® Polymers 0.000 description 4
- 239000000654 additive Substances 0.000 description 4
- 230000008859 change Effects 0.000 description 4
- 239000003795 chemical substances by application Substances 0.000 description 4
- JHIVVAPYMSGYDF-UHFFFAOYSA-N cyclohexanone Chemical compound O=C1CCCCC1 JHIVVAPYMSGYDF-UHFFFAOYSA-N 0.000 description 4
- 239000011148 porous material Substances 0.000 description 4
- VDZOOKBUILJEDG-UHFFFAOYSA-M tetrabutylammonium hydroxide Chemical compound [OH-].CCCC[N+](CCCC)(CCCC)CCCC VDZOOKBUILJEDG-UHFFFAOYSA-M 0.000 description 4
- GPRLSGONYQIRFK-MNYXATJNSA-N triton Chemical compound [3H+] GPRLSGONYQIRFK-MNYXATJNSA-N 0.000 description 4
- ZWEHNKRNPOVVGH-UHFFFAOYSA-N 2-Butanone Chemical compound CCC(C)=O ZWEHNKRNPOVVGH-UHFFFAOYSA-N 0.000 description 3
- NECRQCBKTGZNMH-UHFFFAOYSA-N 3,5-dimethylhex-1-yn-3-ol Chemical compound CC(C)CC(C)(O)C#C NECRQCBKTGZNMH-UHFFFAOYSA-N 0.000 description 3
- 239000004971 Cross linker Substances 0.000 description 3
- 239000004677 Nylon Substances 0.000 description 3
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 description 3
- XSQUKJJJFZCRTK-UHFFFAOYSA-N Urea Chemical compound NC(N)=O XSQUKJJJFZCRTK-UHFFFAOYSA-N 0.000 description 3
- 239000002253 acid Substances 0.000 description 3
- 125000000217 alkyl group Chemical group 0.000 description 3
- 239000007864 aqueous solution Substances 0.000 description 3
- 239000011230 binding agent Substances 0.000 description 3
- 239000004202 carbamide Substances 0.000 description 3
- 125000004432 carbon atom Chemical group C* 0.000 description 3
- 239000011247 coating layer Substances 0.000 description 3
- 230000000052 comparative effect Effects 0.000 description 3
- 238000001312 dry etching Methods 0.000 description 3
- 238000001914 filtration Methods 0.000 description 3
- 238000009472 formulation Methods 0.000 description 3
- 125000000524 functional group Chemical group 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 238000005259 measurement Methods 0.000 description 3
- 229920001778 nylon Polymers 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- LLHKCFNBLRBOGN-UHFFFAOYSA-N propylene glycol methyl ether acetate Chemical compound COCC(C)OC(C)=O LLHKCFNBLRBOGN-UHFFFAOYSA-N 0.000 description 3
- 125000000467 secondary amino group Chemical class [H]N([*:1])[*:2] 0.000 description 3
- 230000006641 stabilisation Effects 0.000 description 3
- 238000011105 stabilization Methods 0.000 description 3
- MBYLVOKEDDQJDY-UHFFFAOYSA-N tris(2-aminoethyl)amine Chemical compound NCCN(CCN)CCN MBYLVOKEDDQJDY-UHFFFAOYSA-N 0.000 description 3
- XLLIQLLCWZCATF-UHFFFAOYSA-N 2-methoxyethyl acetate Chemical compound COCCOC(C)=O XLLIQLLCWZCATF-UHFFFAOYSA-N 0.000 description 2
- GZVHEAJQGPRDLQ-UHFFFAOYSA-N 6-phenyl-1,3,5-triazine-2,4-diamine Chemical compound NC1=NC(N)=NC(C=2C=CC=CC=2)=N1 GZVHEAJQGPRDLQ-UHFFFAOYSA-N 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 2
- VGGSQFUCUMXWEO-UHFFFAOYSA-N Ethene Chemical compound C=C VGGSQFUCUMXWEO-UHFFFAOYSA-N 0.000 description 2
- 239000005977 Ethylene Substances 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- LRHPLDYGYMQRHN-UHFFFAOYSA-N N-Butanol Chemical compound CCCCO LRHPLDYGYMQRHN-UHFFFAOYSA-N 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- 229920002359 Tetronic® Polymers 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- 230000002411 adverse Effects 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 239000008199 coating composition Substances 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 239000008367 deionised water Substances 0.000 description 2
- 229910021641 deionized water Inorganic materials 0.000 description 2
- 238000010511 deprotection reaction Methods 0.000 description 2
- 239000003599 detergent Substances 0.000 description 2
- SBZXBUIDTXKZTM-UHFFFAOYSA-N diglyme Chemical compound COCCOCCOC SBZXBUIDTXKZTM-UHFFFAOYSA-N 0.000 description 2
- 238000007598 dipping method Methods 0.000 description 2
- 238000004090 dissolution Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 239000003995 emulsifying agent Substances 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 150000002148 esters Chemical class 0.000 description 2
- LZCLXQDLBQLTDK-UHFFFAOYSA-N ethyl 2-hydroxypropanoate Chemical compound CCOC(=O)C(C)O LZCLXQDLBQLTDK-UHFFFAOYSA-N 0.000 description 2
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 2
- FKRCODPIKNYEAC-UHFFFAOYSA-N ethyl propionate Chemical compound CCOC(=O)CC FKRCODPIKNYEAC-UHFFFAOYSA-N 0.000 description 2
- VPVSTMAPERLKKM-UHFFFAOYSA-N glycoluril Chemical compound N1C(=O)NC2NC(=O)NC21 VPVSTMAPERLKKM-UHFFFAOYSA-N 0.000 description 2
- CATSNJVOTSVZJV-UHFFFAOYSA-N heptan-2-one Chemical compound CCCCCC(C)=O CATSNJVOTSVZJV-UHFFFAOYSA-N 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 230000002209 hydrophobic effect Effects 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 125000000325 methylidene group Chemical group [H]C([H])=* 0.000 description 2
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 2
- 239000000178 monomer Substances 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 239000002736 nonionic surfactant Substances 0.000 description 2
- 229920003986 novolac Polymers 0.000 description 2
- 229920001568 phenolic resin Polymers 0.000 description 2
- 239000005011 phenolic resin Substances 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 229920001983 poloxamer Polymers 0.000 description 2
- 238000006116 polymerization reaction Methods 0.000 description 2
- 238000007639 printing Methods 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 238000001878 scanning electron micrograph Methods 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 239000002356 single layer Substances 0.000 description 2
- 125000000547 substituted alkyl group Chemical group 0.000 description 2
- 150000005622 tetraalkylammonium hydroxides Chemical class 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- SWKVXOGJOAPZTH-UHFFFAOYSA-N (1-propan-2-yl-2-adamantyl) 2-methylprop-2-enoate Chemical compound C1C(C2)CC3CC2C(OC(=O)C(C)=C)C1(C(C)C)C3 SWKVXOGJOAPZTH-UHFFFAOYSA-N 0.000 description 1
- QSUJHKWXLIQKEY-UHFFFAOYSA-N (2-oxooxolan-3-yl) 2-methylprop-2-enoate Chemical compound CC(=C)C(=O)OC1CCOC1=O QSUJHKWXLIQKEY-UHFFFAOYSA-N 0.000 description 1
- MSLTZKLJPHUCPU-WNQIDUERSA-M (2s)-2-hydroxypropanoate;tetrabutylazanium Chemical compound C[C@H](O)C([O-])=O.CCCC[N+](CCCC)(CCCC)CCCC MSLTZKLJPHUCPU-WNQIDUERSA-M 0.000 description 1
- OOIBFPKQHULHSQ-UHFFFAOYSA-N (3-hydroxy-1-adamantyl) 2-methylprop-2-enoate Chemical compound C1C(C2)CC3CC2(O)CC1(OC(=O)C(=C)C)C3 OOIBFPKQHULHSQ-UHFFFAOYSA-N 0.000 description 1
- CVOFUHUIXVFPCH-UHFFFAOYSA-N (3-oxo-4,10-dioxatricyclo[5.2.1.02,6]decan-8-yl) 2-methylprop-2-enoate Chemical compound C12COC(=O)C2C2CC(OC(=O)C(=C)C)C1O2 CVOFUHUIXVFPCH-UHFFFAOYSA-N 0.000 description 1
- 125000006273 (C1-C3) alkyl group Chemical group 0.000 description 1
- 125000004169 (C1-C6) alkyl group Chemical group 0.000 description 1
- 125000003161 (C1-C6) alkylene group Chemical group 0.000 description 1
- LJHFIVQEAFAURQ-ZPUQHVIOSA-N (NE)-N-[(2E)-2-hydroxyiminoethylidene]hydroxylamine Chemical class O\N=C\C=N\O LJHFIVQEAFAURQ-ZPUQHVIOSA-N 0.000 description 1
- ARXJGSRGQADJSQ-UHFFFAOYSA-N 1-methoxypropan-2-ol Chemical compound COCC(C)O ARXJGSRGQADJSQ-UHFFFAOYSA-N 0.000 description 1
- OPUSNKQMMLRYMS-UHFFFAOYSA-M 2-(1-adamantylmethoxy)-1,1-difluoro-2-oxoethanesulfonate;triphenylsulfanium Chemical compound C1=CC=CC=C1[S+](C=1C=CC=CC=1)C1=CC=CC=C1.C1C(C2)CC3CC2CC1(COC(=O)C(F)(F)S(=O)(=O)[O-])C3 OPUSNKQMMLRYMS-UHFFFAOYSA-M 0.000 description 1
- XNWFRZJHXBZDAG-UHFFFAOYSA-N 2-METHOXYETHANOL Chemical compound COCCO XNWFRZJHXBZDAG-UHFFFAOYSA-N 0.000 description 1
- JESXATFQYMPTNL-UHFFFAOYSA-N 2-ethenylphenol Chemical class OC1=CC=CC=C1C=C JESXATFQYMPTNL-UHFFFAOYSA-N 0.000 description 1
- ZNQVEEAIQZEUHB-UHFFFAOYSA-N 2-ethoxyethanol Chemical class CCOCCO ZNQVEEAIQZEUHB-UHFFFAOYSA-N 0.000 description 1
- KXGFMDJXCMQABM-UHFFFAOYSA-N 2-methoxy-6-methylphenol Chemical compound [CH]OC1=CC=CC([CH])=C1O KXGFMDJXCMQABM-UHFFFAOYSA-N 0.000 description 1
- QDFXRVAOBHEBGJ-UHFFFAOYSA-N 3-(cyclononen-1-yl)-4,5,6,7,8,9-hexahydro-1h-diazonine Chemical compound C1CCCCCCC=C1C1=NNCCCCCC1 QDFXRVAOBHEBGJ-UHFFFAOYSA-N 0.000 description 1
- WADSJYLPJPTMLN-UHFFFAOYSA-N 3-(cycloundecen-1-yl)-1,2-diazacycloundec-2-ene Chemical compound C1CCCCCCCCC=C1C1=NNCCCCCCCC1 WADSJYLPJPTMLN-UHFFFAOYSA-N 0.000 description 1
- LPEKGGXMPWTOCB-UHFFFAOYSA-N 8beta-(2,3-epoxy-2-methylbutyryloxy)-14-acetoxytithifolin Natural products COC(=O)C(C)O LPEKGGXMPWTOCB-UHFFFAOYSA-N 0.000 description 1
- NIXOWILDQLNWCW-UHFFFAOYSA-M Acrylate Chemical compound [O-]C(=O)C=C NIXOWILDQLNWCW-UHFFFAOYSA-M 0.000 description 1
- 241000726096 Aratinga Species 0.000 description 1
- KSSJBGNOJJETTC-UHFFFAOYSA-N COC1=C(C=CC=C1)N(C1=CC=2C3(C4=CC(=CC=C4C=2C=C1)N(C1=CC=C(C=C1)OC)C1=C(C=CC=C1)OC)C1=CC(=CC=C1C=1C=CC(=CC=13)N(C1=CC=C(C=C1)OC)C1=C(C=CC=C1)OC)N(C1=CC=C(C=C1)OC)C1=C(C=CC=C1)OC)C1=CC=C(C=C1)OC Chemical compound COC1=C(C=CC=C1)N(C1=CC=2C3(C4=CC(=CC=C4C=2C=C1)N(C1=CC=C(C=C1)OC)C1=C(C=CC=C1)OC)C1=CC(=CC=C1C=1C=CC(=CC=13)N(C1=CC=C(C=C1)OC)C1=C(C=CC=C1)OC)N(C1=CC=C(C=C1)OC)C1=C(C=CC=C1)OC)C1=CC=C(C=C1)OC KSSJBGNOJJETTC-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 241000254173 Coleoptera Species 0.000 description 1
- YXHKONLOYHBTNS-UHFFFAOYSA-N Diazomethane Chemical class C=[N+]=[N-] YXHKONLOYHBTNS-UHFFFAOYSA-N 0.000 description 1
- 239000004640 Melamine resin Substances 0.000 description 1
- RJUFJBKOKNCXHH-UHFFFAOYSA-N Methyl propionate Chemical compound CCC(=O)OC RJUFJBKOKNCXHH-UHFFFAOYSA-N 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- CTQNGGLPUBDAKN-UHFFFAOYSA-N O-Xylene Chemical compound CC1=CC=CC=C1C CTQNGGLPUBDAKN-UHFFFAOYSA-N 0.000 description 1
- 208000034809 Product contamination Diseases 0.000 description 1
- XBDQKXXYIPTUBI-UHFFFAOYSA-N Propionic acid Chemical class CCC(O)=O XBDQKXXYIPTUBI-UHFFFAOYSA-N 0.000 description 1
- 239000004809 Teflon Substances 0.000 description 1
- 229920006362 Teflon® Polymers 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 238000003848 UV Light-Curing Methods 0.000 description 1
- DHKHKXVYLBGOIT-UHFFFAOYSA-N acetaldehyde Diethyl Acetal Natural products CCOC(C)OCC DHKHKXVYLBGOIT-UHFFFAOYSA-N 0.000 description 1
- 150000001241 acetals Chemical class 0.000 description 1
- 150000001298 alcohols Chemical class 0.000 description 1
- 125000002723 alicyclic group Chemical group 0.000 description 1
- 239000012670 alkaline solution Substances 0.000 description 1
- 125000002947 alkylene group Chemical group 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910003481 amorphous carbon Inorganic materials 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 125000000129 anionic group Chemical group 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 150000004945 aromatic hydrocarbons Chemical class 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 230000005587 bubbling Effects 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 150000007942 carboxylates Chemical group 0.000 description 1
- 239000003054 catalyst Substances 0.000 description 1
- 125000002091 cationic group Chemical group 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 229920001577 copolymer Polymers 0.000 description 1
- 239000003431 cross linking reagent Substances 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 150000004985 diamines Chemical class 0.000 description 1
- MTHSVFCYNBDYFN-UHFFFAOYSA-N diethylene glycol Chemical compound OCCOCCO MTHSVFCYNBDYFN-UHFFFAOYSA-N 0.000 description 1
- 150000002009 diols Chemical class 0.000 description 1
- ODQWQRRAPPTVAG-GZTJUZNOSA-N doxepin Chemical compound C1OC2=CC=CC=C2C(=C/CCN(C)C)/C2=CC=CC=C21 ODQWQRRAPPTVAG-GZTJUZNOSA-N 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 125000004185 ester group Chemical group 0.000 description 1
- UHKJHMOIRYZSTH-UHFFFAOYSA-N ethyl 2-ethoxypropanoate Chemical compound CCOC(C)C(=O)OCC UHKJHMOIRYZSTH-UHFFFAOYSA-N 0.000 description 1
- 229940116333 ethyl lactate Drugs 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 238000007687 exposure technique Methods 0.000 description 1
- 239000000945 filler Substances 0.000 description 1
- 239000007789 gas Substances 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229930182478 glucoside Natural products 0.000 description 1
- 150000008131 glucosides Chemical class 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 238000013007 heat curing Methods 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- ZHUXMBYIONRQQX-UHFFFAOYSA-N hydroxidodioxidocarbon(.) Chemical group [O]C(O)=O ZHUXMBYIONRQQX-UHFFFAOYSA-N 0.000 description 1
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 1
- 238000005286 illumination Methods 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 239000004615 ingredient Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 150000002576 ketones Chemical class 0.000 description 1
- 150000003893 lactate salts Chemical class 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 239000011344 liquid material Substances 0.000 description 1
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- 229940057867 methyl lactate Drugs 0.000 description 1
- 229940017219 methyl propionate Drugs 0.000 description 1
- -1 methyladamantyl Chemical group 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 125000006502 nitrobenzyl group Chemical group 0.000 description 1
- 229920000847 nonoxynol Polymers 0.000 description 1
- 229920002113 octoxynol Polymers 0.000 description 1
- 125000002347 octyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 239000005416 organic matter Substances 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 239000004014 plasticizer Substances 0.000 description 1
- 229920000233 poly(alkylene oxides) Polymers 0.000 description 1
- 229920000083 poly(allylamine) Polymers 0.000 description 1
- 229920000768 polyamine Polymers 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920001223 polyethylene glycol Polymers 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 229920001296 polysiloxane Polymers 0.000 description 1
- 238000001556 precipitation Methods 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 238000002203 pretreatment Methods 0.000 description 1
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- DNIAPMSPPWPWGF-UHFFFAOYSA-N propylene glycol Substances CC(O)CO DNIAPMSPPWPWGF-UHFFFAOYSA-N 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 238000007761 roller coating Methods 0.000 description 1
- 150000003839 salts Chemical class 0.000 description 1
- 150000003333 secondary alcohols Chemical class 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 239000011877 solvent mixture Substances 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 150000003871 sulfonates Chemical class 0.000 description 1
- 150000003457 sulfones Chemical class 0.000 description 1
- 230000003746 surface roughness Effects 0.000 description 1
- 238000003786 synthesis reaction Methods 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- PWQLFIKTGRINFF-UHFFFAOYSA-N tert-butyl 4-hydroxypiperidine-1-carboxylate Chemical compound CC(C)(C)OC(=O)N1CCC(O)CC1 PWQLFIKTGRINFF-UHFFFAOYSA-N 0.000 description 1
- 125000000999 tert-butyl group Chemical group [H]C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- 150000003512 tertiary amines Chemical group 0.000 description 1
- 238000001029 thermal curing Methods 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 150000003918 triazines Chemical class 0.000 description 1
- WLOQLWBIJZDHET-UHFFFAOYSA-N triphenylsulfonium Chemical class C1=CC=CC=C1[S+](C=1C=CC=CC=1)C1=CC=CC=C1 WLOQLWBIJZDHET-UHFFFAOYSA-N 0.000 description 1
- 239000008096 xylene Substances 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/481—Internal lead connections, e.g. via connections, feedthrough structures
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/0035—Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/0045—Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/0047—Photosensitive materials characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/265—Selective reaction with inorganic or organometallic reagents after image-wise exposure, e.g. silylation
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/38—Treatment before imagewise removal, e.g. prebaking
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/40—Treatment after imagewise removal, e.g. baking
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Inorganic Chemistry (AREA)
- Organic Chemistry (AREA)
- Ceramic Engineering (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
- Materials For Photolithography (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Drying Of Semiconductors (AREA)
Description
本発明は概して、電子デバイスの製造に関する。より具体的には、本発明は、複数のパターニング技術を用いてフォトリソグラフィパターンを形成する方法に関する。本発明は、高密度リソグラフィパターンおよびフィーチャーを形成するための半導体デバイスの製造における特定の用途を見いだす。
本発明の典型的な形態が図1のA〜Iに記載され、これは、本発明に従った、電子デバイスを形成するための、典型的なリソ−リソ−エッチダブルパターニングプロセスフローを示す。図1Aは、その表面上に形成された様々な層およびフィーチャーを含むことができる基体100を示す。基体は、半導体、例えばケイ素、または化合物半導体(例えば、III−VまたはII−VI)、ガラス、石英、セラミック、銅などの物質からなることができる。典型的には、基体は半導体ウェハ、例えば、単結晶シリコン、または化合物半導体ウェハであり、基体はその表面上に形成された1以上の層およびパターン形成されたフィーチャーを有することができる。パターン形成される1以上の層102が基体100上に提供されうる。場合によっては、例えば、基体物質に溝を形成することが望まれる場合には、下にあるベース基体物質自体がパターン形成されてよい。ベース基体物質自体をパターニングする場合には、このパターンは基体の層に形成されると見なされる。
次の非限定的な実施例は本発明の例示である。
L1レジストポリマー(ポリ(IAM/α−GBLMA/ODOTMA/HAMA))合成
10.51gの2−メチル−アクリル酸1−イソプロピル−アダマンタニルエステル(IAM)、6.82gの2−メチル−アクリル酸2−オキソ−テトラヒドロ−フラン−3−イルエステル(α−GBLMA)、6.36gの2−メチル−アクリル酸3−オキソ−4,10−ジオキサ−トリシクロ[5.2.1.02,6]デセ−8−イルエステル(ODOTMA)および6.31gの2−メチル−アクリル酸3−ヒドロキシ−アダマンタニルエステル(HAMA)を27gのテトラヒドロフラン(THF)に溶解した。この混合物を窒素で20分間バブリングすることにより脱ガスした。凝縮器、窒素入口、および機械式攪拌機を備えた500mlのフラスコに11gのTHFを入れ、溶液を67℃の温度にした。5.23gのジメチル−2,2−アゾジイソブチラート(全モノマーを基準にして17mol%)を5gのTHFに溶解し、フラスコに入れた。モノマー溶液を反応器に、1時間あたり16.0ミリリットル(mL/時)の割合で3時間30分間供給した。次いで、重合混合物をさらに30分間67℃で攪拌した。次いで、反応器に5gのTHFを添加し、重合混合物を室温まで冷却した。1.0Lのイソプロピルアルコール中で沈殿を行った。ろ過後、ポリマーを乾燥させ、50gのTHFに再溶解させ、1.1Lのイソプロピルアルコール中で再沈殿させ、ろ過し、真空オーブン中45℃で48時間乾燥させて、25.4gの以下に示されるポリ(IAM/α−GBLMA/ODOTMA/HAMA)ポリマー(Mw=7,934およびMw/Mn=〜1.46)を得た:
3.169gの上述のように形成されたポリマーを、70重量%のプロピレングリコールモノメチルエーテルアセタート(PGMEA)と30重量%のシクロヘキサノンとの溶媒混合物96.38gに溶解した。この混合物に、0.405gのトリフェニルスルホニウム(アダマンタン−1−イルメトキシカルボニル)−ジフルオロ−メタンスルホナート、0.041gの1−(tert−ブトキシカルボニル)−4−ヒドロキシピペリジンおよび0.005gのポリフォックス(POLYFOX登録商標)PF−656界面活性剤(オムノバソリューションズインコーポレーテッド;Omnova Solutions Inc.)を添加した。得られた混合物をローラー上で6時間延ばして、次いで、0.2ミクロン孔サイズのテフロン登録商標フィルターを通してろ過し、それにより、ポジ型フォトレジスト組成物を形成した。
表面処理溶液は、(TAEA)(シグマアルドリッチ)0.01gを99.99gの界面活性剤溶液(オプティパターン(OptiPattern商標)クリア−I;エアプロダクツアンドケミカルズインコーポレーテッド;米国、ペンシルベニア州、アレンタウン)に添加することによって製造された。得られた溶液は0.1ミクロン孔サイズのナイロンフィルターを通してろ過された。
TEL CLEAN TRACK商標LITHIUS商標i+コーター/デベロッパにおいて、300mmのシリコンウェハにAR商標40A反射防止剤(ロームアンドハースエレクトロニックマテリアルズ)をスピンコートし、第1の反射防止塗膜(BARC)を形成した。この第1のBARCコーティングされたウェハを215℃で60秒間ベークし、75nmの第1のBARC膜厚を生じさせた。この第1のBARC上にAR商標124反射防止剤(ロームアンドハースエレクトロニックマテリアルズ)を用いて、第2のBARC層がコーティングされた。このウェハは205℃で60秒間ベークされ、23nmの上部BARC層を生じさせた。このウェハが、後述の、その後の第1のリソグラフィ(L1)像のパターニングに使用された。
前記コーター/デベロッパにおいて、L1レジスト配合物が二層BARCコーティングウェハ上にコーティングされ、110℃で60秒間ソフトベークされ、950Åの第1のレジスト層厚を生じさせた。トップコート層が第1のレジスト層上に形成され、開口数1.35を有するASML TWINSCAN商標XT:1900i液浸スキャナーおよびダイポール(dipole)照明(0.89アウターシグマ/0.76インナーシグマ)を用いて、図3に示されるようなラインアンドスペースパターンを有するバイナリレチクルを通して露光された。このレチクルの臨界寸法(CD)は90nmピッチで45nmラインを含んでいた(45nm 1:1ラインアンドスペース)。このレチクルは、図3Aに示されるように、それぞれのダイにおいて、パターン形成されたラインアンドスペースが水平方向であるような向きであった。16〜38mJ/cm2の様々な露光線量を用いて、90nmピッチで様々なCDがウェハ上に印刷された。ダイは一定の焦点深度で、かつウェハ上のノッチが下の位置にあり、それぞれの列において、左から右に露光線量が増加するように徐々に変化する露光線量で像形成された。ウェハは、次いで、100℃で60秒間露光後ベーク(PEB)され、マイクロポジット(Microposit商標)MF CD−26現像剤(ロームアンドハースエレクトロニックマテリアルズ)を用いて12秒間現像され、L1パターンを像形成した。
L1パターン形成されたウェハは180℃で60秒間硬化ベークプロセスにかけられ、その後第2のリソグラフィプロセスにかけられた。比較例1を除いて、熱で硬化したウェハは、ウェハを回転しつつ、ウェハトラック上を表面処理溶液で処理され、次いで、図4に示されるような様々な温度でハードベークされた。
L1パターン形成されたウェハは次いで、裸のシリコンウェハ上で測定して650Åの膜厚を生じさせるように、前記コーター/デベロッパにおいて、EPIC商標2098フォトレジスト(ロームアンドハースエレクトロニックマテリアルズ)でコーティングされ、110℃で60秒間ソフトベークされた。トップコート層が第2のレジスト層上に形成された。L1プロセスにおけるのと同じであるが、図3B〜Cに示されるようにウェハがL1の向きについて90度回転させられたことのみが異なる、スキャナセッティングおよびレチクルを用いて、トップコートおよび第2のレジスト層が露光、現像されて、第2の(L2)レジストパターンを生じさせた。得られたL2パターンは、それぞれのダイにおいて、ノッチが下で、垂直方向に向けられ、それにより、水平方向を向いているL1パターンにおけるラインアンドスペースと一緒になって、図3Dに示されるような交差グリッドを形成した。
ウェハをダブるパターニングした後、CG4000SEM(日立ハイテクノロジーズアメリカインコーポレーテッド)でウェハのCDが測定された。それぞれのダイについて、3つの領域が検査された:(1)L2プロセス中にフラッド露光されたL1パターン(ダイの左下コーナー);(2)L1後のオープンスペースにプリントされたL2パターン(ダイの右上コーナー);および(3)L1ラインとL2ラインが交差するコンタクトホール領域(ダイの右下コーナー)。
表面処理溶液が、表1の成分を一緒にし、0.1ミクロン孔サイズのナイロンフィルタを通してろ過することにより調製されることを除いて、実施例1の手順が繰り返される。コンタクトホール像が生じるであろうことが予想される。
(1)第2の(L2)リソグラフィ露光について、マスクが回転されずに、L2ラインがL1ラインの間でかつL1ラインの中央に配置されるようにハーフピッチの距離だけ横方向にずらされること;および(2)表面処理溶液が表1の成分を一緒にし、0.1ミクロン孔サイズのナイロンフィルタを通してろ過することにより調製されること:を除いて、実施例1の手順が繰り返される。密になったラインアンドスペースのパターンが生じるであろうことが予想される。
2S−1=テルジトル(Tergitol商標)TMN−6、水中10重量%(ザダウケミカルカンパニー、米国、ミシガン州、ミッドランド);
S−2=トライトン(Triton商標)X−100、水中10重量%(ザダウケミカルカンパニー);
S−3=テトロニクス(Tetronics商標)304、水中10重量%(BASFコーポレーション、米国、ニュージャージー州、フロハムパーク);
S−4=テトロニクス1307(BASFコーポレーション、米国、ニュージャージー州、フロハムパーク);
S−5=サーフィノール(Surfynol商標)2502(エアプロダクツアンドケミカルズインコーポレーデッド、米国、ペンシルベニア州、アレンタウン)。
102 層
102’ エッチングされたフィーチャーのパターン
103 ハードマスク
103’ パターン形成されたハードマスク
104 反射防止塗膜(BARC)
104’パターン形成された反射防止塗膜(BARC)
106 第1の感光層
106’第1のレジストパターン
106’’ ハードベークされた第1のレジストパターン
108 活性化放射線
110 第1のフォトマスク
112 改変された第1のレジストパターン表面
114 第2の感光層
114a’ 未反応の第2の感光性組成物の層
114b’ レジストライン
116 第2のフォトマスク
118 空間
120 エッチングされたフィーチャー
Claims (7)
- (a)パターン形成される1以上の層を含む半導体基体を提供し;
(b)第1の樹脂成分と第1の光活性成分とを含む第1の感光性組成物の第1の層を、前記パターン形成される1以上の層上に適用し;
(c)前記第1の層を、パターン化されたフォトマスクを通した活性化放射線に露光し;
(d)露光された第1の層を現像して第1のレジストパターンを形成し;
(e)ハードベークプロセスにおいて前記第1のレジストパターンを熱処理し;
(f)水性塩基現像剤で、前記ハードベークされた第1のレジストパターンを処理し;
(g)第2の樹脂成分と光酸発生剤とを含み、ポジ型である第2の感光性組成物の第2の層を、前記パターン形成される1以上の層上に、かつ前記第1のレジストパターンのアルカリ性表面と接触するように適用し;
(h)前記第2の層を、パターン化されたフォトマスクを通した活性化放射線に露光し;並びに
(i)露光された第2の層を現像して、第2のレジストパターンを形成する;
ことを含む電子デバイスを形成する方法。 - 第1のレジストパターンおよび第2のレジストパターンがそれぞれ複数のラインを含む、請求項1に記載の方法。
- 第2のレジストパターンの複数のラインが第1のレジストパターンの複数のラインと交差している、請求項2に記載の方法。
- 第1のレジストパターンおよび第2のレジストパターンが複数のコンタクトホールパターンを画定する、請求項3に記載の方法。
- 第2のレジストパターンの隣り合うラインが、第1のレジストパターンの隣り合うそれぞれのラインの間に配置される、請求項2に記載の方法。
- 第1のハードベークプロセスが約150℃以上の温度で行われる、請求項1に記載の方法。
- 水性塩基現像剤で処理する工程の後で、かつ第2の感光性組成物の層を適用する工程の前に、ハードベークされた第1のレジストパターンを熱処理することをさらに含む、請求項1に記載の方法。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US26960009P | 2009-06-26 | 2009-06-26 | |
US61/269,600 | 2009-06-26 | ||
US28168109P | 2009-11-19 | 2009-11-19 | |
US61/281,681 | 2009-11-19 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2011071480A JP2011071480A (ja) | 2011-04-07 |
JP5731764B2 true JP5731764B2 (ja) | 2015-06-10 |
Family
ID=42711855
Family Applications (5)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2010145317A Active JP5698925B2 (ja) | 2009-06-26 | 2010-06-25 | 電子デバイスを形成するための組成物および方法 |
JP2010144950A Expired - Fee Related JP5698922B2 (ja) | 2009-06-26 | 2010-06-25 | 電子デバイスを形成する方法 |
JP2010145349A Expired - Fee Related JP5698926B2 (ja) | 2009-06-26 | 2010-06-25 | 電子デバイスを形成する方法 |
JP2010145376A Active JP5731764B2 (ja) | 2009-06-26 | 2010-06-25 | 電子デバイスを形成する方法 |
JP2010145284A Expired - Fee Related JP5698924B2 (ja) | 2009-06-26 | 2010-06-25 | 電子デバイスを形成する方法 |
Family Applications Before (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2010145317A Active JP5698925B2 (ja) | 2009-06-26 | 2010-06-25 | 電子デバイスを形成するための組成物および方法 |
JP2010144950A Expired - Fee Related JP5698922B2 (ja) | 2009-06-26 | 2010-06-25 | 電子デバイスを形成する方法 |
JP2010145349A Expired - Fee Related JP5698926B2 (ja) | 2009-06-26 | 2010-06-25 | 電子デバイスを形成する方法 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2010145284A Expired - Fee Related JP5698924B2 (ja) | 2009-06-26 | 2010-06-25 | 電子デバイスを形成する方法 |
Country Status (6)
Country | Link |
---|---|
US (7) | US8338083B2 (ja) |
EP (3) | EP2287668A1 (ja) |
JP (5) | JP5698925B2 (ja) |
KR (5) | KR101698400B1 (ja) |
CN (3) | CN101963754B (ja) |
TW (3) | TWI449084B (ja) |
Families Citing this family (54)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI449084B (zh) * | 2009-06-26 | 2014-08-11 | 羅門哈斯電子材料有限公司 | 形成電子裝置之方法 |
JP5698923B2 (ja) * | 2009-06-26 | 2015-04-08 | ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. | 自己整合型スペーサー多重パターニング方法 |
JP5753351B2 (ja) | 2009-11-19 | 2015-07-22 | ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC | 電子デバイスを形成する方法 |
JP5542500B2 (ja) | 2010-03-30 | 2014-07-09 | 東京応化工業株式会社 | レジストパターン形成方法およびレジスト組成物 |
KR20120027989A (ko) * | 2010-09-14 | 2012-03-22 | 삼성전자주식회사 | 반도체 소자의 패턴 형성방법 |
KR101865296B1 (ko) * | 2011-06-15 | 2018-06-07 | 삼성전자주식회사 | 반도체 장치의 제조 방법 |
CN102856190B (zh) * | 2011-06-30 | 2015-04-01 | 中芯国际集成电路制造(上海)有限公司 | 条形结构的刻蚀方法 |
EP2729844B1 (en) * | 2011-07-08 | 2021-07-28 | ASML Netherlands B.V. | Lithographic patterning process and resists to use therein |
US9064808B2 (en) | 2011-07-25 | 2015-06-23 | Synopsys, Inc. | Integrated circuit devices having features with reduced edge curvature and methods for manufacturing the same |
US8609550B2 (en) * | 2011-09-08 | 2013-12-17 | Synopsys, Inc. | Methods for manufacturing integrated circuit devices having features with reduced edge curvature |
CN103034048B (zh) * | 2011-09-29 | 2015-04-22 | 中芯国际集成电路制造(北京)有限公司 | 光刻方法 |
CN102364389A (zh) * | 2011-10-17 | 2012-02-29 | 深圳市华星光电技术有限公司 | 控制液晶显示装置接触孔孔壁角度的制作方法 |
CN102437018B (zh) * | 2011-11-02 | 2017-11-24 | 上海华虹宏力半导体制造有限公司 | 用于改进蚀刻后晶圆内关键尺寸均匀性的烘烤方法及设备 |
US8604427B2 (en) * | 2012-02-02 | 2013-12-10 | Applied Materials Israel, Ltd. | Three-dimensional mapping using scanning electron microscope images |
JP6028357B2 (ja) | 2012-03-22 | 2016-11-16 | ソニー株式会社 | ヘッドマウントディスプレイ及び手術システム |
RU2015104902A (ru) * | 2012-07-16 | 2016-09-10 | Басф Се | Композиция, используемая в изготовлении интегральных схем, оптических устройств, микромашин и механических прецизионных устройств |
CN102751238A (zh) * | 2012-07-27 | 2012-10-24 | 上海华力微电子有限公司 | 通孔优先铜互连制作方法 |
US9086631B2 (en) | 2012-08-27 | 2015-07-21 | Tokyo Electron Limited | EUV resist sensitivity reduction |
CN102810510A (zh) * | 2012-09-11 | 2012-12-05 | 上海华力微电子有限公司 | 一种铜互连制作方法 |
CN102832168A (zh) * | 2012-09-11 | 2012-12-19 | 上海华力微电子有限公司 | 一种沟槽优先铜互连制作方法 |
CN103839783B (zh) * | 2012-11-21 | 2017-06-09 | 中芯国际集成电路制造(上海)有限公司 | 自对准双重图形的形成方法 |
JP6119669B2 (ja) * | 2013-06-11 | 2017-04-26 | 信越化学工業株式会社 | 下層膜材料及びパターン形成方法 |
DE102013108876B4 (de) * | 2013-08-16 | 2022-08-18 | OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung | Fotolithografisches Verfahren zur Herstellung einer Struktur in einem Strahlung emittierenden Halbleiterbauelement |
CN104425225A (zh) * | 2013-09-04 | 2015-03-18 | 中芯国际集成电路制造(上海)有限公司 | 三重图形的形成方法 |
JP6340304B2 (ja) * | 2013-11-29 | 2018-06-06 | 富士フイルム株式会社 | パターン形成方法、及び電子デバイスの製造方法 |
US9793268B2 (en) | 2014-01-24 | 2017-10-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and structure for gap filling improvement |
KR20150093618A (ko) * | 2014-02-07 | 2015-08-18 | 아이엠이씨 브이제트더블유 | 포스트-리소그래피 라인 폭 러프니스를 감소시키기 위한 플라즈마 방법 |
KR20150136387A (ko) | 2014-05-27 | 2015-12-07 | 삼성전자주식회사 | 반도체 소자의 제조 방법 |
US9715724B2 (en) | 2014-07-29 | 2017-07-25 | Applied Materials Israel Ltd. | Registration of CAD data with SEM images |
KR102270752B1 (ko) | 2014-08-11 | 2021-07-01 | 삼성전자주식회사 | 반도체 소자의 미세 패턴 형성 방법 |
TWI675258B (zh) * | 2014-09-26 | 2019-10-21 | 日商東京應化工業股份有限公司 | 光阻圖型形成方法、光阻圖型分離劑、分離圖型改善化劑、光阻圖型分離材料及分離圖型形成用之正型光阻劑組成物 |
TWI632437B (zh) * | 2014-11-07 | 2018-08-11 | 羅門哈斯電子材料有限公司 | 用於形成凸紋影像的方法 |
US9673059B2 (en) * | 2015-02-02 | 2017-06-06 | Tokyo Electron Limited | Method for increasing pattern density in self-aligned patterning integration schemes |
US9754791B2 (en) * | 2015-02-07 | 2017-09-05 | Applied Materials, Inc. | Selective deposition utilizing masks and directional plasma treatment |
KR102370616B1 (ko) * | 2015-02-09 | 2022-03-04 | 삼성전자주식회사 | 미세 패턴 형성 방법 |
US9633847B2 (en) * | 2015-04-10 | 2017-04-25 | Tokyo Electron Limited | Using sub-resolution openings to aid in image reversal, directed self-assembly, and selective deposition |
JP2016213475A (ja) * | 2015-05-13 | 2016-12-15 | 東京エレクトロン株式会社 | シュリンク及び成長方法を使用する極端紫外線感度低下 |
US10061199B2 (en) * | 2015-06-24 | 2018-08-28 | Tokyo Electron Limited | Methods of forming a mask for substrate patterning |
US9741586B2 (en) | 2015-06-30 | 2017-08-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating package structures |
US10162265B2 (en) * | 2015-12-09 | 2018-12-25 | Rohm And Haas Electronic Materials Llc | Pattern treatment methods |
US10503070B2 (en) * | 2015-12-10 | 2019-12-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Photosensitive material and method of lithography |
KR102515807B1 (ko) * | 2016-01-11 | 2023-03-31 | 삼성디스플레이 주식회사 | 표시 장치 및 이의 제조 방법 |
JP6741471B2 (ja) * | 2016-05-17 | 2020-08-19 | 東京応化工業株式会社 | レジストパターン形成方法 |
CN107703722B (zh) * | 2016-08-08 | 2020-12-15 | 中芯国际集成电路制造(上海)有限公司 | 图案化光阻的形成方法 |
US10115594B1 (en) * | 2017-09-05 | 2018-10-30 | Nanya Technology Corporation | Method of forming fine island patterns of semiconductor devices |
JP2019078810A (ja) * | 2017-10-20 | 2019-05-23 | メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH | 微細パターンの製造方法およびそれを用いた表示素子の製造方法 |
JP2019078812A (ja) * | 2017-10-20 | 2019-05-23 | メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH | 高精細パターンの製造方法およびそれを用いた表示素子の製造方法 |
US10410878B2 (en) | 2017-10-31 | 2019-09-10 | American Air Liquide, Inc. | Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications |
CN107761663A (zh) * | 2017-11-08 | 2018-03-06 | 江苏科技大学 | 一种板桩码头结构及其施工方法 |
KR102462051B1 (ko) * | 2018-01-05 | 2022-11-01 | 도쿄엘렉트론가부시키가이샤 | 진보된 콘택 홀 패터닝 방법 |
US11139402B2 (en) | 2018-05-14 | 2021-10-05 | Synopsys, Inc. | Crystal orientation engineering to achieve consistent nanowire shapes |
US11143953B2 (en) | 2019-03-21 | 2021-10-12 | International Business Machines Corporation | Protection of photomasks from 193nm radiation damage using thin coatings of ALD Al2O3 |
US11264458B2 (en) | 2019-05-20 | 2022-03-01 | Synopsys, Inc. | Crystal orientation engineering to achieve consistent nanowire shapes |
US11886121B2 (en) * | 2019-08-30 | 2024-01-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming patterned photoresist |
Family Cites Families (80)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3474054A (en) | 1966-09-13 | 1969-10-21 | Permalac Corp The | Surface coating compositions containing pyridine salts or aromatic sulfonic acids |
US4251665A (en) | 1978-05-22 | 1981-02-17 | King Industries, Inc. | Aromatic sulfonic acid oxa-azacyclopentane adducts |
US4200729A (en) | 1978-05-22 | 1980-04-29 | King Industries, Inc | Curing amino resins with aromatic sulfonic acid oxa-azacyclopentane adducts |
JPS5844715A (ja) * | 1981-09-11 | 1983-03-15 | Fujitsu Ltd | 微細パタ−ン形成方法 |
DK241885A (da) | 1984-06-01 | 1985-12-02 | Rohm & Haas | Fotosensible belaegningssammensaetninger, termisk stabile belaegninger fremstillet deraf og anvendelse af saadanne belaegninger til dannelse af termisk stabile polymerbilleder |
CA1307695C (en) | 1986-01-13 | 1992-09-22 | Wayne Edmund Feely | Photosensitive compounds and thermally stable and aqueous developablenegative images |
DE68919600T2 (de) * | 1988-08-26 | 1995-05-11 | Nippon Oils & Fats Co Ltd | Pigmentdispergiermittel. |
US5128232A (en) | 1989-05-22 | 1992-07-07 | Shiply Company Inc. | Photoresist composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units |
US5100696A (en) * | 1989-07-10 | 1992-03-31 | Ncr Corporation | Magnetic thermal transfer ribbon |
US5187019A (en) | 1991-09-06 | 1993-02-16 | King Industries, Inc. | Latent catalysts |
JP3340493B2 (ja) * | 1993-02-26 | 2002-11-05 | 沖電気工業株式会社 | パターン形成方法、位相シフト法用ホトマスクの形成方法 |
US5656121A (en) * | 1994-08-19 | 1997-08-12 | Minnesota Mining And Manufacturing Company | Method of making multi-layer composites having a fluoropolymer layer |
JP3444692B2 (ja) * | 1995-04-14 | 2003-09-08 | 沖電気工業株式会社 | パターン形成方法 |
JPH09191007A (ja) * | 1996-01-11 | 1997-07-22 | Sumitomo Chem Co Ltd | フォトレジスト用剥離液 |
JPH11214510A (ja) * | 1998-01-26 | 1999-08-06 | Toshiba Corp | 自己整合型パターン形成方法 |
JPH11251214A (ja) * | 1998-02-27 | 1999-09-17 | Sharp Corp | タンタル薄膜回路素子の製造方法 |
US6218085B1 (en) | 1999-09-21 | 2001-04-17 | Lucent Technologies Inc. | Process for photoresist rework to avoid sodium incorporation |
JP2001135565A (ja) * | 1999-11-08 | 2001-05-18 | Sony Corp | 半導体装置の製造方法 |
TWI281101B (en) * | 2000-02-28 | 2007-05-11 | Mitsubishi Electric Corp | Developing process, process for forming pattern and process for preparing semiconductor device using same |
JP4329216B2 (ja) * | 2000-03-31 | 2009-09-09 | Jsr株式会社 | レジストパターン縮小化材料及びそれを使用する微細レジストパターンの形成方法 |
JP2002006512A (ja) * | 2000-06-20 | 2002-01-09 | Mitsubishi Electric Corp | 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法 |
US6534243B1 (en) * | 2000-10-23 | 2003-03-18 | Advanced Micro Devices, Inc. | Chemical feature doubling process |
KR100546098B1 (ko) * | 2000-12-27 | 2006-01-24 | 주식회사 하이닉스반도체 | 열산 발생제를 포함하는 포토레지스트 조성물을 이용하여포토레지스트 패턴 폭 감소 현상을 개선하는 방법 |
JP2002278053A (ja) * | 2001-03-16 | 2002-09-27 | Fuji Photo Film Co Ltd | ポジ型フォトレジスト組成物 |
US7670556B2 (en) * | 2001-07-10 | 2010-03-02 | Wisconsin Alumni Research Foundation | Surface plasmon resonance imaging of micro-arrays |
JP3878451B2 (ja) * | 2001-10-22 | 2007-02-07 | 富士フイルムホールディングス株式会社 | 感光性樹脂転写材料、画像形成方法、カラーフィルターとその製造方法、フォトマスクとその製造方法 |
JP2003228179A (ja) * | 2002-01-31 | 2003-08-15 | Mitsubishi Gas Chem Co Inc | 銅配線基板向けアミン含有レジスト剥離液および剥離方法 |
EP1481282A4 (en) | 2002-03-04 | 2009-10-28 | Shipley Co Llc | NEGATIVE PHOTORESISTS FOR IMAGING WITH SHORT WAVE LENGTH |
JP4041750B2 (ja) * | 2002-06-28 | 2008-01-30 | 富士フイルム株式会社 | 染料含有硬化性組成物、カラーフィルタ及びその製造方法 |
JP3675434B2 (ja) * | 2002-10-10 | 2005-07-27 | 東京応化工業株式会社 | 微細パターンの形成方法 |
US6740473B1 (en) * | 2002-11-28 | 2004-05-25 | United Microelectronics Corp. | Method for shrinking critical dimension of semiconductor devices |
US6916594B2 (en) * | 2002-12-30 | 2005-07-12 | Hynix Semiconductor Inc. | Overcoating composition for photoresist and method for forming photoresist pattern using the same |
WO2005008340A1 (ja) * | 2003-07-17 | 2005-01-27 | Az Electronic Materials (Japan) K.K. | 微細パターン形成材料およびそれを用いた微細パターン形成方法 |
US7232641B2 (en) | 2003-10-08 | 2007-06-19 | Shin-Etsu Chemical Co., Ltd. | Polymerizable compound, polymer, positive-resist composition, and patterning process using the same |
JP4143023B2 (ja) * | 2003-11-21 | 2008-09-03 | 株式会社東芝 | パターン形成方法および半導体装置の製造方法 |
US20060003271A1 (en) * | 2004-06-30 | 2006-01-05 | Clark Shan C | Basic supercritical solutions for quenching and developing photoresists |
KR100560633B1 (ko) * | 2004-08-16 | 2006-03-17 | 삼성전자주식회사 | 커패시터 제조 방법 |
KR100575001B1 (ko) | 2004-12-10 | 2006-04-28 | 삼성전자주식회사 | 상호 결합 없는 이중 포토 리소그라피 방법 |
EP1720072B1 (en) | 2005-05-01 | 2019-06-05 | Rohm and Haas Electronic Materials, L.L.C. | Compositons and processes for immersion lithography |
JP2007010785A (ja) * | 2005-06-28 | 2007-01-18 | Fujifilm Holdings Corp | 永久パターン形成方法 |
DE102005037022A1 (de) * | 2005-06-28 | 2007-01-04 | Osram Opto Semiconductors Gmbh | Strahlungsemittierender optoelektronischer Halbleiterchip mit einer Diffusionsbarriere |
JP4830596B2 (ja) * | 2006-04-10 | 2011-12-07 | 凸版印刷株式会社 | レジストパターン形成用基板、レジストパターン形成方法およびパネル |
WO2007148160A2 (en) * | 2006-06-20 | 2007-12-27 | Freescale Semiconductor, Inc. | Method of multi-layer lithography |
KR20080023814A (ko) * | 2006-09-12 | 2008-03-17 | 주식회사 하이닉스반도체 | 반도체소자의 미세패턴 형성방법 |
US7568362B2 (en) * | 2006-10-16 | 2009-08-04 | Calibre International, Llc | Bean bag holder to be used to hold a can or bottle |
JP2008191644A (ja) | 2006-10-30 | 2008-08-21 | Rohm & Haas Electronic Materials Llc | 液浸リソグラフィーのための組成物および方法 |
KR20090095604A (ko) * | 2006-12-06 | 2009-09-09 | 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. | 이중 패터닝 공정을 이용한 장치 제조 방법 |
KR100876783B1 (ko) * | 2007-01-05 | 2009-01-09 | 주식회사 하이닉스반도체 | 반도체 소자의 미세 패턴 형성 방법 |
JP5270840B2 (ja) * | 2007-01-23 | 2013-08-21 | 東京応化工業株式会社 | パターン微細化用被覆形成剤及びそれを用いた微細パターンの形成方法 |
CN100490059C (zh) * | 2007-03-21 | 2009-05-20 | 山东华光光电子有限公司 | 一种高亮度发光二极管芯片的制备方法 |
JP2008268855A (ja) * | 2007-03-26 | 2008-11-06 | Fujifilm Corp | パターン形成用表面処理剤、及び該処理剤を用いたパターン形成方法 |
US8088566B2 (en) * | 2007-03-26 | 2012-01-03 | Fujifilm Corporation | Surface-treating agent for pattern formation and pattern-forming method using the surface-treating agent |
US8211624B2 (en) | 2007-05-23 | 2012-07-03 | Jsr Corporation | Method for pattern formation and resin composition for use in the method |
JP4840255B2 (ja) * | 2007-05-29 | 2011-12-21 | Jsr株式会社 | パターン形成方法及びそれに用いる樹脂組成物 |
US8642474B2 (en) * | 2007-07-10 | 2014-02-04 | Advanced Micro Devices, Inc. | Spacer lithography |
JP4973876B2 (ja) * | 2007-08-22 | 2012-07-11 | 信越化学工業株式会社 | パターン形成方法及びこれに用いるパターン表面コート材 |
JP2009053547A (ja) * | 2007-08-28 | 2009-03-12 | Tokyo Ohka Kogyo Co Ltd | パターン形成方法及び被覆膜形成用材料 |
JP5013119B2 (ja) * | 2007-09-20 | 2012-08-29 | 信越化学工業株式会社 | パターン形成方法並びにこれに用いるレジスト材料 |
US8257902B2 (en) | 2007-11-05 | 2012-09-04 | Deyan Wang | Compositons and processes for immersion lithography |
US7659208B2 (en) * | 2007-12-06 | 2010-02-09 | Micron Technology, Inc | Method for forming high density patterns |
US7838198B2 (en) * | 2007-12-13 | 2010-11-23 | International Business Machines Corporation | Photoresist compositions and method for multiple exposures with multiple layer resist systems |
US7838200B2 (en) * | 2007-12-13 | 2010-11-23 | International Business Machines Corporation | Photoresist compositions and method for multiple exposures with multiple layer resist systems |
JP2009194207A (ja) * | 2008-02-15 | 2009-08-27 | Tokyo Electron Ltd | パターン形成方法、半導体装置の製造方法及び半導体装置の製造装置 |
JP5154395B2 (ja) * | 2008-02-28 | 2013-02-27 | 東京エレクトロン株式会社 | 半導体装置の製造方法及びレジスト塗布・現像処理システム |
EP2101217B1 (en) * | 2008-03-14 | 2011-05-11 | Shin-Etsu Chemical Co., Ltd. | Sulfonium salt-containing polymer, resist compositon, and patterning process |
US20090253080A1 (en) * | 2008-04-02 | 2009-10-08 | Dammel Ralph R | Photoresist Image-Forming Process Using Double Patterning |
US20090253078A1 (en) * | 2008-04-07 | 2009-10-08 | Sokudo Co., Ltd. | Double exposure lithography using low temperature oxide and uv cure process |
BRPI0914009A2 (pt) * | 2008-10-06 | 2015-07-28 | Union Carbide Chem Plastic | Método para reparar uma triamina cíclica |
KR101523951B1 (ko) * | 2008-10-09 | 2015-06-02 | 삼성전자주식회사 | 반도체 소자의 미세 패턴 형성 방법 |
JP5071688B2 (ja) * | 2009-02-18 | 2012-11-14 | 信越化学工業株式会社 | パターン形成方法及びレジスト変性用組成物 |
KR20100117025A (ko) * | 2009-04-23 | 2010-11-02 | 스미또모 가가꾸 가부시키가이샤 | 포토레지스트 패턴 형성 방법 |
JP5212245B2 (ja) * | 2009-04-23 | 2013-06-19 | 住友化学株式会社 | レジストパターンの製造方法 |
JP5112380B2 (ja) * | 2009-04-24 | 2013-01-09 | 信越化学工業株式会社 | パターン形成方法 |
JP5545029B2 (ja) * | 2009-05-25 | 2014-07-09 | 信越化学工業株式会社 | レジスト変性用組成物及びパターン形成方法 |
TWI403520B (zh) * | 2009-05-25 | 2013-08-01 | Shinetsu Chemical Co | 光阻改質用組成物及圖案形成方法 |
JP5573356B2 (ja) * | 2009-05-26 | 2014-08-20 | 信越化学工業株式会社 | レジスト材料及びパターン形成方法 |
JP5698923B2 (ja) * | 2009-06-26 | 2015-04-08 | ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. | 自己整合型スペーサー多重パターニング方法 |
TWI449084B (zh) * | 2009-06-26 | 2014-08-11 | 羅門哈斯電子材料有限公司 | 形成電子裝置之方法 |
JP2011022187A (ja) * | 2009-07-13 | 2011-02-03 | Konica Minolta Business Technologies Inc | 静電荷像現像用トナー、フルカラートナーキット、画像形成方法 |
JP5753351B2 (ja) * | 2009-11-19 | 2015-07-22 | ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC | 電子デバイスを形成する方法 |
-
2010
- 2010-06-25 TW TW099120756A patent/TWI449084B/zh not_active IP Right Cessation
- 2010-06-25 JP JP2010145317A patent/JP5698925B2/ja active Active
- 2010-06-25 CN CN2010102632008A patent/CN101963754B/zh not_active Expired - Fee Related
- 2010-06-25 EP EP10167367A patent/EP2287668A1/en not_active Withdrawn
- 2010-06-25 EP EP10167371A patent/EP2287670A1/en not_active Withdrawn
- 2010-06-25 JP JP2010144950A patent/JP5698922B2/ja not_active Expired - Fee Related
- 2010-06-25 TW TW99120755A patent/TWI474378B/zh not_active IP Right Cessation
- 2010-06-25 JP JP2010145349A patent/JP5698926B2/ja not_active Expired - Fee Related
- 2010-06-25 JP JP2010145376A patent/JP5731764B2/ja active Active
- 2010-06-25 TW TW099120758A patent/TWI420571B/zh not_active IP Right Cessation
- 2010-06-25 JP JP2010145284A patent/JP5698924B2/ja not_active Expired - Fee Related
- 2010-06-25 CN CN2010102568270A patent/CN101937838B/zh not_active Expired - Fee Related
- 2010-06-25 CN CN201010272367.0A patent/CN101963756B/zh not_active Expired - Fee Related
- 2010-06-25 EP EP10167369A patent/EP2287669A1/en not_active Withdrawn
- 2010-06-28 KR KR1020100061499A patent/KR101698400B1/ko active IP Right Grant
- 2010-06-28 US US12/825,157 patent/US8338083B2/en not_active Expired - Fee Related
- 2010-06-28 KR KR1020100061502A patent/KR101766289B1/ko active IP Right Grant
- 2010-06-28 US US12/825,096 patent/US8465901B2/en active Active
- 2010-06-28 US US12/825,134 patent/US8492068B2/en not_active Expired - Fee Related
- 2010-06-28 KR KR1020100061498A patent/KR101724384B1/ko active IP Right Grant
- 2010-06-28 US US12/825,150 patent/US8492075B2/en not_active Expired - Fee Related
- 2010-06-28 US US12/825,170 patent/US8507185B2/en not_active Expired - Fee Related
- 2010-06-28 KR KR1020100061494A patent/KR101698396B1/ko active IP Right Grant
- 2010-06-28 US US12/825,124 patent/US8338079B2/en active Active
- 2010-06-28 KR KR1020100061495A patent/KR101671289B1/ko active IP Right Grant
-
2012
- 2012-09-15 US US13/620,754 patent/US20130069246A1/en not_active Abandoned
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5731764B2 (ja) | 電子デバイスを形成する方法 | |
JP5753351B2 (ja) | 電子デバイスを形成する方法 | |
JP5698923B2 (ja) | 自己整合型スペーサー多重パターニング方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20130624 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20140709 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20140714 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20141014 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20141210 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20150310 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20150402 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20150410 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 5731764 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |