KR20110002800A - 전자 장치 형성 방법 - Google Patents

전자 장치 형성 방법 Download PDF

Info

Publication number
KR20110002800A
KR20110002800A KR1020100061499A KR20100061499A KR20110002800A KR 20110002800 A KR20110002800 A KR 20110002800A KR 1020100061499 A KR1020100061499 A KR 1020100061499A KR 20100061499 A KR20100061499 A KR 20100061499A KR 20110002800 A KR20110002800 A KR 20110002800A
Authority
KR
South Korea
Prior art keywords
resist pattern
layer
resist
alkaline
patterned
Prior art date
Application number
KR1020100061499A
Other languages
English (en)
Other versions
KR101698400B1 (ko
Inventor
배영철
토마스 카도랙시아
이 류
Original Assignee
롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. filed Critical 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨.
Publication of KR20110002800A publication Critical patent/KR20110002800A/ko
Application granted granted Critical
Publication of KR101698400B1 publication Critical patent/KR101698400B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0047Photosensitive materials characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/265Selective reaction with inorganic or organometallic reagents after image-wise exposure, e.g. silylation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

본 발명은 개괄적으로 전자 장치의 제조에 관한 것이다. 보다 구체적으로, 본 발명은 포토리소그라픽 패턴을 형성하는 방법에 관한 것이다. 본 발명은 고밀도 리소그라픽 패턴 및 피처(feature)를 형성하기 위한 반도체 장치의 제조에 특히 유용하다.

Description

전자 장치 형성 방법{METHODS OF FORMING ELECTRONIC DEVICES}
본 출원은 2009년 6월 26일 출원된 미국 가출원 제61/269,600호 및 2009년 11월 19일 출원된 미국 가출원 제61/281,681호에 대하여 35 U.S.C. §119(e)하의 우선권의 이익을 주장하며, 이들 출원의 전체 내용은 본 명세서에 참조로서 삽입된다.
본 발명은 개괄적으로 전자 장치의 제조에 관한 것이다. 보다 구체적으로, 본 발명은 포토리소그라픽 패턴을 형성하는 방법에 관한 것이다. 본 발명은 고밀도 리소그래픽 패턴 및 피처(feature)를 형성하기 위한 반도체 장치의 제조에 특히 유용하다.
반도체 제조 산업에 있어서, 포토레지스트 물질은 이미지를 하나 이상의 하부에 위치하는(underlying) 층, 예컨대 기판 자체뿐만 아니라, 반도체 기판 위에 배치된 금속, 반도체 또는 유전체 층에 전달하는데 사용된다. 반도체 장치의 집적 밀도를 증가시키고 나노미터 범위의 치수(dimension)를 갖는 구조물의 형성을 가능케 하기 위하여, 고해상능을 가진 포토레지스트 및 포토리소그라피 공정 툴(tools)이 개발되었고 계속 개발중이다.
반도체 장치에서 나노미터(nm)-스케일 피처 크기를 달성하기 위한 한 방법은 화학적으로 증폭된 포토레지스트의 노광 동안 빛의 단파장, 예를 들어 193nm 이하의 단파장을 사용하는 것이다. 침지(immersion) 리소그라피는, 예를 들어 KrF 또는 ArF 광원을 갖는 스캐너와 같은 이미징 장치의 렌즈의 개구수(numerical aperture)를 효과적으로 증가시킨다. 이는 이미징 장치의 최종 표면과 반도체 웨이퍼의 상부 표면 사이에 상대적으로 고굴절 인덱스 유체(즉, 침지 유체)를 사용함에 의해 달성된다. 침지 유체는 공기 또는 비활성 가스 매체를 사용한 경우보다 훨씬 많은 양의 빛이 레지스트 층에 집중되게 할 수 있다.
레일리 방정식(Rayleigh equation)에 의해 정의되는 이론적 분해 한계(resolution limit)를 다음에 나타내었다:
Figure pat00001
상기 식에서, k 1은 공정 인자(process factor)이고, λ는 이미징 툴의 파장이며, NA는 이미징 렌즈의 개구수이다. 침지 유체로 물을 사용할 경우, 최대 개구수는 예를 들어, 1.2에서 1.35로 증가할 수 있다. 라인 및 스페이스 패턴을 프린팅하는 경우 k 1이 0.25일 때, 193nm 침지 스캐너가 유일하게 36nm 절반(half)-피치 라인 및 스페이스 패턴을 분할(resolve)할 수 있다. 접촉 홀 또는 임의의 2D 패턴을 프린팅하기 위한 해상도는, k 1에 대한 이론적 한계가 0.35인 다크 필드 마스크(dark field mask)를 갖는 낮은 공간 이미지 콘트라스트(contrast)로 인해 보다 제한적이다. 따라서 접촉 홀의 가장 작은 절반-피치는 약 50nm로 제한된다. 표준 침지 리소그라피 공정은 보다 높은 해상도를 요구하는 장치의 제조에는 일반적으로 적합하지 않다.
더 높은 해상도를 달성하고 기존의 제조 툴의 능력을 확대하기 위한 노력으로, 다양한 더블 패터닝 기술, 예를 들면 자기 정렬 더블 패터닝(self-aligned double patterning, SADP), 리소-에치-리소-에치(litho-etch-litho-etch, LELE) 및 리소-리소-에치(litho-litho-etch, LLE) 기술이 제안되어 왔다. 그러나 전형적으로 실행되는 이러한 기술들은 하나 이상의 단점을 가지고 있다. SADP 공정은 전형적으로 비교적 많은 수의 공정 단계를 포함하고, 그에 따라 생산 처리량에 불리하게 작용한다. LELE 기술은 웨이퍼가 포토리소그라피와 에칭 공정 모듈 간을 왔다갔다 운반되는 것 및 에칭과 레지스트 제거 공정 그 자체에 의하여 생산물 오염 및 결함이 발생할 수 있다. LLE 공정은 제1 리소그라픽(L1) 레지스트 패턴의 형성 및 안정화와 이에 뒤따르는 제2 리소그라픽(L2) 패턴의 형성을 포함한다. 이온주입법(ion implantation), UV 경화(UV curing), 열 경질화(thermal hardening), 열 경화(thermal curing) 및 화학적 경화(chemical curing) 같은 다양한 레지스트 안정화 기술이 제안되어 왔다. 미국 특허출원공개 US 2008/0199814 A1(Brzozowy 등)에서는 레지스트 패턴이 용매; 레지스트 폴리머 내의 앵커 그룹(anchor group)과 반응하는 적어도 2개의 작용기를 포함하는 픽서(fixer) 화합물; 및 촉매, 계면활성제 및 폴리머 같은 임의적 첨가제를 포함하는 픽서 용액으로 코팅되는 오버코트(overcoat) 화학적 경화 기술을 개시하고 있다. LLE 공정이 SADP 및 LELE 보다 더 적은 공정 단계를 포함하는 반면에, 레지스트 안정화 동안의 패턴 변형, L2 레지스트 코팅/소프트베이크 공정 동안의 L1과 L2 레지스트 층간의 섞임, 및 L2 노광/현상 공정 동안의 L1 패턴의 현상을 피하기는 어렵다.
당업계의 현 기술 수준과 관련된 하나 이상의 상기 문제점들을 해결하는 포토리소그라픽 패터닝 방법에 대한 필요성이 당업계에 지속적으로 있어 왔다.
본 발명의 제1 측면에 따르면, 전자 장치 형성 방법이 제공된다. 상기 방법은 (a) 패턴화될 하나 이상의 층을 포함하는 반도체 기판을 제공하는 단계; (b) 제1 레진 성분 및 제1 광활성 성분을 포함하는 제1 감광성 조성물의 제1 층을 패턴화될 하나 이상의 층 상에 도포하는 단계; (c) 제1 층을 패턴화 포토마스크를 통해 활성화 조사선에 노광시키는 단계; (d) 노광된 제1 층을 현상하여 제1 레지스트 패턴을 형성하는 단계; (e) 하드베이킹 공정에서 제1 레지스트 패턴을 열처리하는 단계; (f) 하드베이킹된 제1 레지스트 패턴을 제1 레지스트 패턴의 표면을 알칼리성으로 하기에 효과적인 물질로 처리하는 단계; (g) 제2 레진 성분 및 광산 발생제를 포함하고, 네거티브-작용성인 제2 감광성 조성물의 제2 층을 패턴화될 하나 이상의 층 상에 제1 레지스트 패턴의 알칼리성 표면과 접촉하여 도포하는 단계; (h) 제2 층을 활성화 조사선에 노광시키는 단계; 및 (i) 노광된 제2 층을 현상하여 제2 레지스트 패턴을 형성하는 단계를 포함하고, 여기에서 제1 레지스트 패턴에 근접한 제2 층의 지역이 제거되어 제1 및 제2 레지스트 패턴 간의 오프닝(opening)을 한정(define)한다.
본 발명의 다른 측면에 따르면, 코팅된 기판이 제공된다. 상기 코팅된 기판은 (a) 패턴화될 하나 이상의 층을 포함하는 반도체 기판; (b) 패턴화될 하나 이상의 층 위의 레지스트 패턴(상기 레지스트 패턴은 알칼리성 표면을 갖는다); 및 패턴화될 하나 이상의 층 위에 있고, 레지스트 패턴의 알칼리성 표면과 접촉하는 감광성 조성물의 층을 포함하고, 여기에서 상기 감광성 조성물은 제2 레진 성분 및 광산 발생제를 포함하고, 네거티브-작용성이다.
본 발명을 하기 도면을 참조하여 설명한다.
도 1A-H는 본 발명에 따른 패턴 형성 공정에 대한 공정 과정을 나타낸 것이다.
본 발명의 패턴 형성 공정은, 예를 들면 전자 장치 제조에서 트렌치(trench) 또는 다른 장치 피처(feature)의 형성에 유용한 공정을 축소하기 위해 적용할 수 있다. 도 1A는 기판(100)을 도시한 것으로, 그 표면상에 형성된 다양한 층 및 피처를 포함할 수 있다. 기판은 예컨대 실리콘 또는 화합물 반도체(예를 들어, III-V 또는 II-VI)와 같은 반도체, 유리, 석영, 세라믹, 구리 등과 같은 물질일 수 있다. 전형적으로, 기판은 단일 크리스탈 실리콘 또는 화합물 반도체 웨이퍼와 같은 반도체 웨이퍼이며, 그 표면상에 형성된 하나 이상의 층 및 패턴화된 피처를 가진다. 패턴화될 하나 이상의 층(102)은 기판(100) 위에 제공될 수 있다. 임의로, 하부 베이스 기판 물질 자체도 패턴화될 수 있는데, 예를 들어 기판 물질 내에 트렌치를 형성하고자 할 때이다. 베이스 기판 물질 자체를 패터닝할 경우, 패턴은 기판의 층 내에 형성된다고 인정된다.
상기 층은, 하나 이상의 전도층 예컨대 알루미늄층, 구리층, 몰리브덴층, 탄탈륨층, 티타늄층, 텅스텐층, 이들 금속의 합금층, 니트라이드층 또는 실리사이드층(silicides), 도핑된 무정형 실리콘층 또는 도핑된 폴리실리콘층, 하나 이상의 유전체층, 예컨대 실리콘 옥사이드층, 실리콘 니트라이드층, 실리콘 옥시니트라이드층, 또는 금속 옥사이드층, 단-결정 실리콘과 같은 반도체층 및 이들의 조합을 포함할 수 있다. 에칭될 층은 플라즈마-증강 CVD, 저압력 CVD 또는 에피탁시 성장과 같은 화학적 증기 증착법(CVD); 스퍼터링 또는 증발과 같은 물리적 증기 증착법(PVD); 또는 일렉트로플레이팅법 등과 같은 다양한 기술로 형성될 수 있다. 에칭될 하나 이상의 층(102)의 두께는 형성될 물질 및 장비에 의존하여 변경된다.
에칭될 특정 층, 필름 두께 및 사용될 포토리소그라피 물질 및 방법에 따라, 층(102) 위에 하드 마스크층(103) 및/또는 바닥 항반사 코팅(bottom antireflective coating, BARC; 104)을 배치하고 그 위에 포토레지스트층이 코팅되는 것이 요망될 수 있다. 하드마스크층은, 예를 들면, 매우 얇은 레지스트층과 함께 에칭될 층이 상당한 에칭 깊이를 필요로 하고/거나 특정 부식제가 레지스트 선택성이 낮은 경우, 그 사용이 요망될 수 있다. 하드마스크층이 사용되는 경우, 형성되는 레지스트 패턴은 하드마스크층으로 이송될 수 있으며, 이는 차례로 하부층(102)을 에칭하는 마스크로 사용될 수 있다. 적합한 하드마스크 물질 및 형성 방법은 본 기술분야에 공지되어 있다. 전형적인 물질은, 예를 들면, 텅스텐, 티타늄, 티타늄 니트라이드, 티타늄 옥사이드, 지르코늄 옥사이드, 알루미늄 옥사이드, 알루미늄 옥시니트라이드, 하프늄 옥사이드, 무정형 카본, 실리콘 옥시니트라이드 및 실리콘 니트라이드를 포함한다. 하드마스크층(103)은 상이한 물질의 단수 또는 복수의 층을 포함할 수 있다. 하드마스크층은 예를 들면, 화학적 또는 물리적 증착기술에 의해 형성될 수 있다.
기판 및/또는 하부층이 포토레지스트 노출 동안 입사광선의 상당량을 반사하여 형성되는 패턴의 품질에 유해한 영향을 줄 수 있는 경우, 바닥 항반사 코팅(104)이 요망된다. 이러한 코팅은 초점심도, 노출위도, 라인폭의 균일성 및 CD 조절을 개선할 수 있다. 항반사 코팅은 전형적으로 레지스트가 심자외선(300 nm 이하), 예를 들면 KrF 엑시머 레이저광(248 nm), ArF 엑시머 레이저광(193 nm), 전자빔 및 소프트 x-레이에 노출될 때 사용된다. 항반사코팅(104)는 단수 또는 복수의 상이한 층을 포함할 수 있다. 적합한 항반사물질 및 형성방법은 본 기술분야에 공지이다. 항반사 물질은 상업적으로 입수할 수 있으며, 예를 들면 Rohm and Haas Electronic Materials LLC(Marlborough, MA USA)에서 AR 상표로 시판되는 ARTM40A 및 ARTM124 항반사제를 들 수 있다.
제1 감광성 조성물을 항반사층(104, 존재하는 경우) 위의 기판에 적용하여 제1 감광층(106)을 형성한다. 제1 감광층 조성물은 레진 성분 및 광활성 성분을 포함한다. 본 발명에서 "감광성 물질", "감광성 조성물" 및 "포토레지스트"는 상호호환적으로 사용된다. 적합한 포토레지스트 물질은 본 기술분야에 공지되어 있으며, 예를 들면 아크릴레이트, 노볼락 및 실리콘 화학에 기초한 물질들이다. 적합한 레지스트는 예를 들면 미국 특허출원공개 US20090117489 A1, US20080193872 A1, US 20060246373 A1, US20090117489 A1, US20090123869 A1 및 미국특허 제7,332,616호에 개시되어 있다. 본 발명의 제1 레지스트 패턴의 형성방법에 유용한 포토레지스트물질은 포지티브- 및 네거티브-작용성 물질 양자를 포함한다.
적합한 포지티브-작용성 물질은 포지티브-작용성 화학 증폭 포토레지스트를 포함하며, 이는 조성물의 하나 이상의 성분의 산 불안정성기의 광산(photoacid)-촉진 탈보호 반응을 진행시켜 레지스트의 코팅층의 노출 영역이 미노출 영역보다 수성 현상제에 더욱 가용성이 되도록 한다. 포토레지스트 레진의 전형적인 광산-불안정성기는 3차 비-사이클릭 알킬 카본(예로, t-부틸) 또는 에스테르의 카복실 산소에 공유결합된 3차 알리사이클릭 카본(예로, 메틸아다만틸)을 함유하는 에스테르기를 포함한다. 아세탈 광산-불안정성기 또한 통상적으로 사용된다.
적합한 네거티브-작용성 레지스트는 전형적으로 가교 성분을 포함한다. 가교 성분은 전형적으로 별도의 레지스트 성분으로 존재한다. 멜라민과 같은 아민-기초의 가교제, 예를 들면, 사이멜 멜라민 레진이 통상적이다. 본 발명에 유용한 네거티브-작용 포토레지스트 조성물은 산 노출시 경화(curing), 가교 또는 경질화(harden)하는 물질, 및 본 발명의 광활성 성분의 혼합물을 포함한다. 특히 유용한 네거티브 작용성 조성물은 페놀성 수지와 같은 레진 바인더, 가교제 성분 및 광활성 성분을 포함한다. 이러한 조성물 및 그 사용은 유럽특허 EP 0164248 B1 및 EP 0232972 B1, 및 미국특허 제5,128,232호에 개시되어 있다. 레진 바인더 성분으로 사용되는 전형적인 페놀성 수지는 상술한 것과 같은 노볼락 및 폴리(비닐페놀)을 포함한다. 전형적인 가교제는 멜라민, 글리콜우릴 등을 포함하는 아민계 물질, 벤조구아나민계 물질 및 요소계 물질들을 포함한다. 멜라민-포름알데하이드 레진이 일반적으로 가장 전형적으로 사용된다. 이러한 가교제는 상업적으로 입수가능하며, 예컨대 Cytec Industries에서 상표명 Cymel 300, 301 및 303로 시판하는 멜라민 레진; Cytec Industries에서 상표명 Cymel 1170, 1171, 1172로 시판중인 글리콜우릴 레진; Teknor Apex Company에서 상표명 Beetle 60, 65 및 80로 시판중인 요소계 레진; 및 Cytec Industries에서 상표명 Cymel 1123 및 1125로 시판중인 벤조구아나민 레진을 들 수 있다. 서브-200 nm 파장, 예컨대 193 nm에서 이미징하는데 사용되는 전형적인 네거티브-작용성 포토레지스트는 국제출원 공개번호 WO 03/077029에 개시되어 있다.
제1 감광성 조성물의 레진은 바람직하게 레지스트 조성물에 알칼리 수성 현상성을 부여하는 작용기를 가진다. 예를 들면, 통상 하이드록실 또는 카복실레이트와 같은 극성 작용기를 포함하는 레진 바인더이다. 레진 성분은 조성물 내에서, 포지티브-작용성 물질의 경우 노출영역에, 또는 네거티브-작용성 물질의 경우 미노출 영역에, 수성 알칼리 용액과 같은 현상제 용액에 현상성을 부여하기에 충분한 양으로 사용된다. 레진 성분은 전형적으로 레지스트의 총 고형분의 약 70 내지 약 97 wt%를 구성한다.
본 감광성 조성물은 활성화 조사선에 노출시 조성물의 코팅층에 잠상을 생성하기에 충분한 양으로 사용되는 광활성 성분을 추가로 포함한다. 예를 들면, 광활성 성분은 레지스트의 총 고형분의 약 1 내지 20 wt%의 양으로 적합하게 존재한다. 레지스트 조성물에서 전형적인 광활성 성분은 하나 이상의 광산 발생제이다. 적합한 PAGs는 화학 증폭 포토레지스트 분야에 공지되어 있으며, 예를 들면 하기를 포함한다: 오늄염, 예를 들면 트리페닐 설포늄염, 니트로벤질 유도체, 설폰산 에스테르, 디아조메탄 유도체, 글리옥심 유도체, N-하이드록시이미드 화합물의 설폰산 에스테르 유도체 및 할로겐-함유 트리아진 화합물.
레지스트의 전형적인 임의의 첨가제는 현상된 레지스트 릴리프 이미지의 해상도를 개선시킬 수 있는 부가 염기(added base), 특히 테트라부틸암모늄 하이드록사이드(TBAH), 또는 테트라부틸암모늄 락테이트이다. 193 nm에서 이미지화된 레지스트에 대해서, 전형적인 부가 염기는 디아자바이사이클로운데센(diazabicyclo undecene) 또는 디아자바이사이클로노넨(diazabicyclononene)과 같은 힌더드(hindered) 아민이다. 이 부가 염기는 적절하게는 비교적 적은 양으로, 예컨대 총 고체에 대하여 약 0.03 내지 5 wt%로 사용된다.
본 발명에 따라 사용되는 포토레지스트는 다른 임의의 물질들을 또한 함유할 수 있다. 예를 들어, 다른 임의의 첨가제는 줄무늬 방지제(anti-striation agent), 가소제 및 속도 증강제(speed enhancer)를 포함한다. 이러한 임의의 첨가제는 전형적으로 낮은 농도(minor concentration)로 포토레지스트 조성물 내에 존재할 것이나, 단, 필러(filler) 및 염료(dye)는 예외인데, 이들은 상대적으로 높은 농도, 예컨대 레지스트의 건조 성분 총중량을 기준으로 약 0.1 내지 10 wt%의 양으로 존재할 수 있다.
본 발명에 유용한 포토레지스트는 다음의 공지된 방법에 따라 일반적으로 제조된다. 예를 들어, 포토레지스트의 성분들을 적절한 용매, 예컨대, 2-메톡시에틸 에테르 (디글라임(diglyme)), 에틸렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노메틸 에테르와 같은 글리콜 에테르; 프로필렌 글리콜 모노메틸 에테르 아세테이트; 에틸 락테이트 또는 메틸 락테이트와 같은 락테이트; 프로피오네이트, 특히 메틸 프로피오네이트, 에틸 프로피오네이트 및 에틸 에톡시 프로피오네이트; 메틸 셀로솔브 아세테이트와 같은 셀로솔브(Cellosolve) 에스테르; 톨루엔 또는 자일렌과 같은 방향족 탄화수소; 또는 메틸에틸 케톤, 사이클로헥사논 및 2-헵타논과 같은 케톤에 용해시키는 것에 의해 레지스트가 코팅 조성물로서 제조될 수 있다. 전형적으로, 포토레지스트의 고체 함량은 포토레지스트 조성물의 총중량을 기준으로 약 2 내지 25 wt% 사이에서 다양하다. 이러한 용매들의 블렌드(blend)도 또한 적절하다.
본 발명의 방법은 다양한 이미징 파장, 예컨대, 서브-400 nm, 서브-300 nm 또는 서브-200 nm의 노출 파장을 갖는 조사선과 함께 사용가능하며, 전형적인 노출 파장은 I-라인(365 nm), 248 nm 및 193 nm, 그리고 EUV 및 157 nm이다. 예시적인 측면에서, 포토레지스트는 193 nm와 같은 서브-200 nm 파장으로 이미지화하는 데 사용하기에 적절하다. 이러한 파장에서는, 건식(dry) 공정이 사용될 수도 있지만 침지(immersion) 리소그라피의 사용이 전형적이다. 침지 리소그라피에서는, 약 1에서 약 2 사이의 굴절율(refractive index)을 갖는 유체(즉, 침지 유체)가 노광 도구와 포토레지스트 층 사이에서 노광 동안 유지된다. 탑코트(topcoat)층이 전형적으로 포토레지스트 층 위에 놓여져 침지 유체와 포토레지스트 층 간의 직접 접촉을 방지하여 포토레지스트의 성분들이 침지 유체 내로 침출(leaching)하는 것을 막는다.
감광성 조성물은 스핀-코팅, 디핑(dipping), 롤러-코팅 또는 다른 통상적인 코팅 기술에 의해 기판에 도포될 수 있다. 이들 중에서, 스핀-코팅이 전형적이다. 스핀-코팅에 있어서 코팅 용액의 고체 함량은, 활용되는 특정 코팅 장비, 용액의 점도, 코팅 도구의 속도 및 스피닝에 허용되는 시간의 양에 기초하여 원하는 필름 두께를 얻고자 조절될 수 있다. 제1 감광층(106)의 전형적인 두께는 약 500 내지 1500Å이다. 제1 감광층은 다음으로, 층 내의 용매 함량을 최소화하고자 소프트베이킹될 수 있고, 이로 인해 끈적이지 않는(tack-free) 코팅을 형성하며 기판에 대한 층의 부착력을 향상시킨다. 소프트베이킹은 핫플레이트 상에서 또는 오븐 내에서 수행될 수 있으며, 전형적으로는 핫플레이트로 한다. 소프트베이킹 온도 및 시간은, 예컨대 감광층의 특정물질 및 두께에 의존할 것이다. 전형적인 소프트베이킹은 약 90 내지 150℃의 온도에서 약 30 내지 90초의 시간 동안 수행된다.
제1 감광층(106)이 침지 리소그라피 도구, 예컨대 193 nm 침지 스캐너를 사용하여 노광된다면, 탑코트층(표시되지 않음)이 감광층(106) 위에 배치될 수 있다. 이러한 탑코트층은 침지 유체와 하부 감광층 사이의 배리어로서 작용할 수 있다. 이러한 방식으로 감광 조성물 성분들이 침지 유체 내로 침출하는 것(이는 광학 렌즈의 오염과 침지 유체의 유효 굴절율 및 전달 물성의 변화를 낳을 수 있다)이 최소화되거나 방지된다. 적절한 탑코트 조성물, 예컨대 OC™ 2000(Rohm and Haas Electronic Materials)과 같은 OPTICOAT™ 탑코트 물질들이 상업적으로 입수가능하며, 다른 것들, 예컨대 미국 특허출원공개 2006/0246373 A1 및 미국가출원 제61/204,007호(2008. 12. 31. 출원)에 기재된 것들이 당 분야에 공지되어 있다. 이러한 조성물들은 감광 조성물에 대한 참조로 상기 기재된 바와 같은 임의의 적절한 방법에 의해 감광층 위에 도포될 수 있으며, 스핀 코팅이 전형적이다. 탑코트층 두께는 전형적으로 λ/4n(또는 그의 홀수배(odd multiple))이며, 여기서 λ는 노광 조사선의 파장이고, n은 탑코트층의 굴절율이다. 탑코트층이 존재한다면, 제1 감광층(106)은 탑코트층이 도포되기 전보다는 도포된 후에 소프트베이킹될 수 있다. 이 방식으로, 용매가 단일 열처리 단계에서 두 층 모두로부터 제거될 수 있다.
제1 감광층(106)은 다음으로, 제1 포토마스크(110)를 통해 활성화 조사선(108)에 노출되어 노광 영역과 비노광 영역 사이의 용해도 차이를 만들어 낸다. 예시된 바와 같은 포지티브-작용성 물질의 경우, 포토마스크가 광학적으로 투명하고 광학적으로 불투명한 영역을 가지며, 광학적으로 투명한 영역이 후속 현상 단계에서 제거되어야 할 감광층 영역에 대응한다. 네가티브-작용성 물질의 경우, 광학적으로 불투명한 영역이 현상으로 제거되어야 할 레지스트층 부분과 대응할 것이다. 노광 에너지는 전형적으로 약 1 내지 100 mJ/cm2이며, 노광 도구 및 감광성 조성물의 성분들에 의존한다. 여기에서 조성물에 대해 활성화인 조사선에 감광성 조성물을 노출한다는 언급은, 그 조사선이 광활성 성분의 반응을 야기하는 것, 예컨대 광산 발생제 화합물로부터 광산을 생성시키는 것 등에 의해 감광 조성물 내에 잠재적(latent) 이미지를 형성할 수 있음을 나타낸다. 감광 조성물은 전형적으로 짧은 노출 파장, 특히 400 nm 이하, 300 nm 이하 또는 200 nm 이하의 노출 파장에 의해 광활성화되며, 전형적인 노출 파장은 I-라인(365 nm), 248 nm 및 193 nm, 그리고 EUV 및 157 nm이다.
제1 감광층(106)의 노광에 이어서, 감광층의 노광후 베이킹(post-exposure bake (PEB))이 전형적으로 이 층의 연화점 이상 온도에서 실행된다. PEB는, 예컨대 핫플레이트 위에서 또는 오븐 내에서 수행될 수 있다. PEB를 위한 조건은, 예컨대 감광층의 특정 물질 및 두께에 의존할 것이다. PEB는 전형적으로 약 80 내지 150℃의 온도에서 약 30 내지 90초의 시간 동안 수행된다.
노광된 감광층(106)은 다음으로, 현상되어 도 1B에 나타낸 바와 같은 제1 레지스트 패턴(106')을 형성한다. 현상제 물질은 감광층(106)의 특정 물질에 의존하며, 적절한 현상제 및 현상 기술들이 당 분야에 공지되어 있다. 전형적인 현상제는 수성 염기성 현상제, 예컨대 4차 암모늄 하이드록사이드 용액, 예컨대 테트라-알킬 암모늄 하이드록사이드 용액, 예컨대 0.26 N 테트라메틸암모늄 하이드록사이드를 포함한다.
현상에 이어서, 제1 레지스트 패턴(106')은 제1 하드베이킹(hardbake) 공정에서 열처리되어, 패턴을 건조시키고 도 1C에 나타낸 바와 같은 경화된 레지스트 패턴(106")을 형성한다. 이 열처리는 뒤이어서 레지스트 패턴에 적용되는 표면처리 화학물질의 흡착을 촉진한다고 믿어진다. 하드베이킹은 전형적으로 핫플레이트 또는 오븐으로 수행되며, 전형적으로 약 150℃ 이상의 온도, 예컨대 약 170 내지 180℃에서 약 30 내지 120초의 시간 동안 수행된다.
도 1D와 관련하여, 하드베이킹된 제1 레지스트 패턴(106")을 레지스트 패턴의 표면을 알칼리성으로 만드는데 효과적인 물질로 처리한다. 알칼리성 표면은, 후에 레지스트 패턴 상에 도포되는 감광층을 노광시키는 동안 반응을 억제시킨다. 예를 들면, 네거티브-작용성 감광층의 경우, 밑에 놓인 알칼리 처리된 레지스트 패턴의 바로 근처의 지역에서 산-촉매 탈보호 반응을 억제시킨다. 오버코팅된 층을 위한 산-촉매 네거티브-작용성 감광층의 경우, 알칼리 처리된 레지스트 패턴의 바로 인접한 지역에서 그 층의 중합을 저해할 수 있다.
이에 한정되는 것은 아니나, 특히 적절한 물질은 알칼리성 물질 및 알칼리성 물질과 상이한 계면활성제를 포함한다. 계면활성제는 레지스트 패턴을 처리한 알칼리성 물질 상에 제2 레지스트의 코팅층을 실질적으로 균일하게 형성시키는 것을 촉진하는 것으로 알려져 있다.
알칼리성 물질은 다양한 형태를 취할 수 있어서, 적당한 용매에 고체 화합물을 용해시킴으로써 형성되는 용액 형태일 수 있다. 레지스트 패턴을 처리하는데 적절한 알칼리성 물질은, 예를 들면, 테트라-알킬 암모늄 하이드록시드 용액, 이를 테면, 0.26 노르말농도(N)(2.38 wt%) 테트라메틸암모늄 하이드록시드(TMAH)와 같은 4급 수산화암모늄 용액 등의 수성 염기 현상액을 포함한다. 알칼리성 물질 및 조성물에서 다르게 사용되는 용매 물질은 밑에 놓인 포토레지스트를 용해시키지 않거나 용해를 최소화시켜야 한다. 알칼리성 물질(물, 알코올 등의 임의의 용매가 없는)은 전형적으로 조성물 내에서, 총 조성물을 기준으로 약 1 내지 10 wt%의 양으로 존재한다.
레지스트 패턴 처리 조성물에 적절한 계면활성제는 친수성 및 소수성을 동시에 갖는 것을 의미하는, 양친매성(amphiphilic nature)을 나타내는 것들을 포함한다. 양친매성 계면활성제는 물에 강한 친화력을 갖는 친수성 머리 부분 또는 부분들과, 친유성이면서 물에 반발하는 긴 소수성 꼬리를 갖는다. 적절한 계면활성제는 이온성(즉, 음이온성, 양이온성) 또는 비이온성일 수 있다. 계면활성제의 또 다른 예들은 실리콘 계면활성제, 폴리(알킬렌 옥사이드) 계면활성제 및 불소계 계면활성제를 포함한다. 수성 용액에 사용하기에 적합한 비이온성 계면활성제에는, TRITON® X-114, X-100, X-45, X-15 등의 옥틸 및 노닐 페놀 에톡실레이트, 및 TERGITOL™ TMN-6(The Dow Chemical Company, Midland, Michigan, USA)등의 분지형 2차 알코올 에톡실레이트 등이 포함되나, 이에 한하지 않는다. 계면활성제의 또 다른 예에는, 알코올(1차 및 2차) 에톡실레이트, 아민 에톡실레이트, 글루코사이드, 글루카민, 폴리에틸렌 글리콜, 폴리(에틸렌 글리콜-코-프로필렌 글리콜), 또는 McCutcheon's Emulsifiers and Detergents(Glen Rock, N.J.저, Manufaturers Confectioners Publishing Co.(Glen Rock, N.J.), 2000년 출판, North American Edition)에 개시된 기타 계면활성제가 포함된다.
비이온성 계면활성제는 하기식으로 나타나는 아세틸렌의 디올 유도체와 같은 계면활성제가 적절할 수 있다:
Figure pat00002

상기 식에서, R1 및 R4는 3 내지 10 탄소원자를 갖는 직쇄 또는 분기쇄 알킬; R2 및 R3는 H 또는 1 내지 5 탄소원자를 적절하게 갖는 알킬쇄; 및 m, n, p, 및 q는 0 내지 20의 수이다. 이러한 계면활성제는, Air Products and Chemicals Inc.(Allentown, Pa)의 상품명 SURFYNOL® 및 DYNOL®로부터 상업적으로 구매가능하다.
본 발명의 코팅 조성물에 사용하기에 적합한 추가적인 계면활성제에는, 트리-블록 EO-PO-EO 코폴리머 PLURONIC® 25R2, L121, L123, L31, L81, L101 및 P123(BASF 사) 등의 기타 폴리머 화합물이 포함된다.
특히 적절한 계면활성제에는, 아민류, 일반적으로 1급 및 2급 아민류, 즉, 각각 하나 이상의 1급 아민기 및 하나 이상의 2급 아민기를 포함하는 아민, 및 이들의 조합이 포함된다. 1급 및/또는 2급 아민기 외에 3급 아민기가 존재할 수 있다. 전형적으로, 아민은 다기능성 아민이다. 아민은 디아민, 트리아민, 또는 테트라-아민 등의 폴리아민일 수 있다. 적절한 1급 아민류에는 하기 식(I)의 화합물이 포함된다:
Figure pat00003

상기 식에서, R은 임의로 치환된 알킬, 이를 테면, 메틸, 에틸 또는 프로필, 일반적으로 에틸 등의 임의로 치환된 C1 내지 C6 알킬 등으로부터 선택된다. 기타 적절한 1급 아민류는 하기 식(II)로 나타나는 폴리(알릴 아민류)를 포함한다:
Figure pat00004

상기 식에서, R1은 수소 및 임의로 치환된 알킬, 이를 테면, C1 내지 C3 알킬로부터 선택되고; R2는 임의로 치환된 알킬렌, 이를 테면, C1 내지 C6 알킬렌, 일반적으로 메틸렌 또는 에틸렌으로부터 선택되며; n은 3 이상의 정수이다. 화학식(N-II)의 예시적인 1급 아민에 있어서, R1은 수소 및 R2는 메틸렌이다. 기타 적절한 아민류에는 하기 일반식(III), (IV) 및 (V)로 표시되는 것들이 포함된다:
Figure pat00005
Figure pat00006
Figure pat00007

상기 식에서, R1 및 R2는 각각 독립적으로 수소 원자 또는 1 내지 10 탄소 원자의 알킬기이고, n은 1 내지 10의 정수이다. 기타 적절한 아민류는 하기를 포함한다:
Figure pat00008
Figure pat00009
Figure pat00010

여기에서, 트리스(2-아미노에틸)아민(TAEA)가 특히 바람직하다.
계면활성제는, 전형적으로 조성물 내에서 상대적으로 적은 양, 예를 들면, 조성물 내의 총 고체의 중량(총 고체는 용매 담체를 제외한 모든 조성물 성분임)을 기준으로, 0.01 내지 5 wt%, 예를 들면, 0.01 내지 1 wt%로 존재한다.
레지스트 패턴 처리 조성물은 알칼리성 물질 및 계면활성제 성분 외에도 하나 이상의 임의의 성분을 포함할 수 있다. 예를 들면, 조성물은 알칼리성 물질 및 계면활성제에 사용되는 임의의 용매 외에 하나 이상의 용매를 포함할 수 있다. 상술한 바와 같이, 알칼리성 물질 및 조성물에서 다르게 사용되는 용매 물질은 밑에 놓인 포토레지스트를 용해시키지 않거나 용해를 최소화시켜야 한다. 따라서, 적절한 용매는, 밑에 놓인 특정 레지스트 물질에 의존하여, 예를 들면, 물, 및 n-부탄올과 같은 알코올을 포함할 수 있다. 임의의 성분은 또한, 열적 염기 발생제 화합물 및/또는 광염기 발생제 화합물 등, 하나 이상의 염기 발생제 화합물을 포함한다.
포토레지스트 패턴 처리 조성물은, 알칼리성 물질과 계면활성제 화합물, 및 용매 및 염기 발생제 화합물과 같은 임의의 추가 성분을 임의의 순서로 혼합하여 제조할 수 있다. 하나 이상의 성분들이, 고체 또는 적절한 용매를 사용하는 미리 혼합된 용액으로 추가될 수 있다.
바람직하게, 알칼리 처리에는 4급 암모늄 하이드록시드 및 아민으로의 처리가 포함된다. 4급 암모늄 하이드록시드 물질 및 아민은, 예를 들면, 미리 혼합된 용액으로부터 도포하거나, 물질들을 동시에 도포함으로써, 동시에 기판에 도포할 수 있으나, in situ로 형성되는 경우에는 서로 구별된다. 바람직하게, 4급 암모늄 하이드록시드 물질 및 아민은 순차적으로 도포된다. 4급 암모늄 하이드록시드 및 아민 물질들은 액체, 기체 또는 증기로 도포될 수 있고, 예를 들면, 스핀-코팅, 딥핑, 증기-코팅, 화학적 증착(CVD) 또는 기타 통상적인 코팅 기술로 도포될 수 있다. 이들 중, 액체 물질의 스핀-코팅이 일반적이다. 전형적으로, 4급 암모늄 하이드록시드 및 아민 물질은 수성 용액(들)으로 도포될 수 있다. 4급 암모늄 하이드록시드 및 아민이 동시에 도포되는 경우, 표면 처리된 기판을, 예를 들면, 탈이온수로 세정할 수 있다. 4급 암모늄 하이드록시드 및 아민 물질을 순차적으로 도포하는 경우, 아민은 세정수로도 작용하는 수성 용액으로 도포될 수 있다. 표면 처리된 기판을 임의로, 예를 들면, 과량의 조성물을 제거하기 위해 탈이온수로 세정할 수 있다.
제1 레지스트 패턴의 임계 치수(critical dimension, CD)(106")는 표면 처리의 결과 레지스트 패턴의 오리지널 CD(106')와 비교시 약간 감소한다. 이 CD 감소가 표면 처리 동안 제1 레지스트 패턴의 후속 현상에 기여할 것으로 생각된다. 표면 처리가 알칼리성이며, 처리 전의 것보다 라인 폭 거칠기(roughness)가 덜한 변형된 제1 레지스트 패턴 표면(112)을 형성한다.
도 1E에 나타낸 것처럼, 제2 감광성 조성물의 층(114)이 제1 레지스트 패턴(106")의 두께보다 얇거나 동일한 두께로 기판 위에 코팅된다. 제1 감광성 조성물이 포지티브-작용성 또는 네거티브-작용성일 수 있는 반면에 제2 감광성 조성물은 네거티브-작용성이다. 다르게 언급되는 경우를 제외하고는 제2 감광성 조성물은 상기에서 네거티브-작용성 제1 감광층과 관련하여 언급된 것과 물질 및 조건을 포함하는 동일한 방식에 의하여 도포되거나 처리될 수 있다. 예시되는 공정 과정에서, 제1 감광성 조성물은 포지티브 작용성인 반면에 제2 감광성 조성물은 네거티브-작용성이다. 제1 레지스트 층(106)이 포지티브-작용성인 경우, 이것의 조성물은 레지스트 층이 제2 감광층보다 높은 특징적인 노광 후 베이크 온도를 가질 수 있도록 선택되어야만 한다. 이렇게 함으로써, 제2 감광층의 노광된 지역이 제1 레지스트의 노광된 지역 또한 제거됨이 없이 선택적으로 제거될 수 있게 해준다.
제2 감광층(114)은 다음으로 소프트베이킹될 수 있다. 만약 제2 감광층(114)이 액침(immersion) 리소그라피 도구로 노광이 된다면, 상기 언급된 탑코트층(나타내지 않음)이 제2 감광층(114)위에 배치될 수 있다. 만약 탑코트층이 사용된다면, 제2 감광층(114)이 탑코트층이 적용되기 전보다는 적용이 된 후에 소프트베이킹될 수 있다.
도 1(E) 관련하여서, 제2 감광층(114)은 활성화 조사선(108), 전형적으로 전면 노광(flood-exposure)에 의해서, 즉 패턴화된 포토마스크의 사용 없이 노광된다. 노광된 제2 감광층은 노광 후 베이크에서 열 처리되고 현상된다. 제1 레지스트 패턴(106")의 알칼리-변형된 표면 지역(112)은 표면 지역의 근처 내에 제2 레지스트 층(114) 내의 광반응을 막는다. 노광 및 열 처리가 알칼리-처리된 제1 레지스트 패턴(106")의 바로 인접한 부분을 제외한 모든 영역에서 제2 감광층의 중합을 일으킨다. 이렇게 함으로써, 도 1F에 나타낸 것처럼, 현상이 오직 제1 레지스트 패턴(106")의 바로 인접 지역 내의 물질만을 제거하여 제1 및 제2 레지스트 패턴(106") 사이에 좁은 공간(118)을 남긴다.
BARC 층(104)은 제1 및 제2 레지스트 패턴을 에칭 마스크로 사용하고, 하부 하드마스크 층(103)을 노광하여 선택적으로 에칭된다. 다음으로 하드마스크 층이 다시 제1 및 제2 레지스트 패턴을 에칭 마스크로 사용하여 선택적으로 에칭됨으로써 도 1G에 나타낸 것처럼 패턴화된 BARC 및 하드마스크 층을 만들게 된다. 적절한 에칭 기술 및 BARC 층 및 하드마스크 층을 에칭하기 위한 화학적 성질은 당 분야에 공지되어 있고, 예를 들면 이들 층의 특정 물질에 좌우된다. 반응성 이온 에칭(reactive ion eching) 같은 드라이-에칭 공정이 전형적이다. 다음으로 제1 및 제2 레지스트 패턴(106", 114'), 및 패턴화된 BARC 층(104')이 기판으로부터 공지된 기술, 예를 들면 옥시겐 플라즈마 애싱(oxygen plasma ashing)에 의하여 제거된다.
하드마스크 패턴(103')을 에칭 마스크로서 사용함으로써, 하나 이상의 층(102)이 선택적으로 에칭된다. 적절한 에칭 기술 및 하부 층을 에칭하기 위한 화학적 성질은 당 분야에 공지되어 있고, 반응성 이온 에칭 같은 드라이-에칭 공정이 전형적이다. 다음으로 패턴화된 하드마스크 층(103')이 공지된 기술, 예를 들면 반응성 이온 에칭 같은 드라이-에칭 공정을 사용하여 기판 표면으로부터 제거된다. 생성된 패턴화된 구조는 도 1I에 나타낸 것처럼 트렌치(trench) 같은 에칭된 피처(feature)의 패턴이다. 다른 예시적인 방법에서, 층(102)을 하드마스크 층(103)의 사용 없이 직접 제1 및 제2 레지스트 패턴(106", 114')을 사용하여 패턴화하는 것이 바람직할 수도 있다. 이러한 직접 패터닝이 적용가능한지 여부는 포함되는 물질, 레지스트 선택성, 레지스트 패턴 두께 및 패턴 치수 같은 인자에 달려있다.
도 1A-H는 본 발명에 따른 패턴 형성 공정에 대한 공정 과정을 나타낸 것이다.
이하에서 본 발명을 실시예로 예시하여 설명하나 본 발명의 범위가 이에 제한되는 것은 아니다.
실시예
실시예 1
L1 레지스트 폴리머 ( Poly ( IAM /α- GBLMA / ODOTMA / HAMA )) 합성
10.51(g)의 2-메틸-아크릴산 1-이소프로필-아다만타닐 에스테르(IAM), 6.82g의 2-메틸-아크릴산 2-옥소-테트라하이드로-퓨란-3일 에스테르(α-GBLMA), 6.36g 의 2-메틸-아크릴산 3-옥소-4,10-디옥사-트리사이클로[5.2.1.02,6]데크-8-일 에스테르(ODOTMA) 및 6.31g의 2-메틸-아크릴산 3-하이드록시-아다만타닐 에스테르(HAMA)를 27g의 테트라하이드로퓨란(THF)에 용해시켰다. 혼합물에 질소를 20분 동안 버블링시켜 기체를 제거하였다. 콘덴서, 질소 주입구 및 기계적 교반기가 설치된 500 ㎖ 플라스크에 11g 의 THF를 충전시키고 용액의 온도를 67℃로 하였다. 5.23g의 디메틸-2,2-아조디이소부티레이트(총 모노머 양에 대해 17 mol% )를 5g의 THF에 용해시킨 후 플라스크에 충전시켰다. 모노머 용액을 16.0(㎖/h)의 속도로 3시간 30분 동안 반응기에 주입하였다. 폴리머화된 혼합물을 추가로 67℃에서 30분 동안 교반하였다. 이후 5g의 THF를 반응기에 넣고 폴리머화된 혼합물을 실온으로 냉각시켰다. 1.0 L의 이소프로필 알코올에 침전시켰다. 여과 후, 폴리머를 건조시키고, 50g의 THF에 재용해시키고, 1.1 L의 이소프로필 알코올에 재침전시키고, 여과시키고, 진공 오븐에서 45℃에서 48시간 건조시켜, 하기와 같은 25.4g의 폴리(IAM/α-GBLMA/ODOTMA/HAMA) 폴리머 (Mw = 7,934 및 Mw/Mn = ~1.46)를 수득하였다.
Figure pat00011

L1 포지티브-작용성 포토레지스트 조성물
상기 폴리머 3.169g을 70 wt% 프로필렌 글리콜 모노메틸 에테르 아세테이트 (PGMEA) 및 30 wt% 사이클로헥사논의 용매 혼합물 96.38g에 용해시켰다. 이 혼합물에 트리페닐설포늄(아다만탄-1-일 메톡시카보닐)-디플루오로-메탄설포네이트 0.405g, 1-(tert-부톡시카보닐)-4-하이드록시피페리딘 0.041g 및 POLYFOX® PF-656 계면활성제 (Omnova Solutions Inc.) 0.005g을 첨가하였다. 생성된 혼합물을 롤러 상에서 6 시간 롤링한 후, 포어(pore) 크기가 0.2 미크론인 테프론 필터를 통해 여과하여 포지티브-작용성 포토레지스트 조성물을 형성하였다.
L2 네거티브-작용성 포토레지스트 조성물
폴리(4-하이드록시 스티렌)(Nisso, Japan의 VP3500) 2.788g을 프로필렌 글리콜 모노메틸 에테르 아세테이트 (PGMEA) 96.500g에 용해시켰다. 이 혼합물에 Powderlink-1174(Cytec Industries, Woodland Park, NJ) 0.350g, 트리페닐설포늄 (아다만탄-1-일 메톡시카보닐)-디플루오로-메탄설포네이트 0.350g, 1-(tert-부톡시카보닐)-4-하이드록시피페리딘 0.007g 및 POLYFOX® PF-656 계면활성제 (Omnova Solutions Inc.) 0.005g을 첨가하였다. 생성된 혼합물을 롤러 상에서 6 시간 롤링한 후, 포어 크기가 0.2 미크론인 테프론 필터를 통해 여과하여 포지티브-작용성 포토레지스트 조성물을 형성하였다.
표면 처리 용액 제제
탈이온수중 5g의 1 wt% 트리스(2-아미노에틸)아민 (TAEA) (Sigma-Aldrich) 용액, 1g의 10 wt% 계면활성제 용액 (TERGITOL TMN-6, Dow Chemical Company, Midland, MI, USA) 및 194g의 탈이온수를 혼합하여 표면 처리 용액을 제조하였다. 이 용액을 포어 크기가 0.1 미크론인 나일론 필터를 통해 여과하였다.
라인 및 공간의 제1 리소그라피 ( L1 ) 패턴화
300 mm 실리콘 웨이퍼를 ARTM40A 반사방지제 (Rohm and Haas Electronic Materials LLC)로 스핀코팅하여 TEL CLEAN TRACKTM LITHIUSTM i+ 코터/현상액 상에 제1 하부 반사방지 코팅(BARC)을 형성하였다. 웨이퍼를 215℃에서 60초간 베이킹하여 막 두께 75nm의 제1 BARC를 수득하였다. 그 다음에, ARTM124 반사방지제 (Rohm and Haas Electronic Materials)를 사용하여 제2 BARC 층을 제1 BARC 상에 코팅하고, 205℃에서 60초간 베이킹하여 막 두께 23nm의 BARC 상부층을 생성하였다.
상술한 바와 같이 형성된 L1 포토레지스트 조성물을 이중 BARC 상부에 코팅하고, 110℃에서 60초간 소프트-베이킹하여 레지스트 막 두께를 750Å으로 하였다. 제1 레지스트 층을 탑코트층 (OCTM2000 탑코트 물질, Rohm and Haas Electronic Materials)으로 코팅하고, 개구수 1.35 및 X-편광 쌍극-35Y 조명 (0.96 외부 시그마/0.76 내부 시그마)의 ASML TWINSCANTM XT:1900i 침지 스캐너를 이용하여 여러 임계 치수의 레티클을 통해 15 내지 75 mJ/cm2의 다양한 선량에 노광시켰다. 이어서, 웨이퍼를 100℃에서 60초간 노광후 베이킹 (PEB)하고, MicropositTM MF CD-26 현상액 (Rohm and Haas Electronic Materials)을 사용하여 12초동안 현상하여 제1 리소그라피 (L1) 패턴을 만들었다.
경화 및 표면 처리
웨이퍼를 180℃에서 60 초간 하드-베이킹하였다. 이어서, 웨이퍼를 후속 과정의 표면 처리 화학에 노출시켰는데, 이 과정은 먼저 웨이퍼를 TEL GP 노즐을 사용하여 2.38wt% TMAH 수용액으로 12초 동안 세정한 후, 상기 기재된 표면 처리 용액 제제로 세정하는 것으로 이루어진다.
제2 리소그라피 ( L2 ) 공정
L2 네거티브-작용성 레지스트 제형을 벗겨진 실리콘 웨이퍼 상에 650Å의 막 두께를 제공할 수 있는 스핀 속도로 코터/디밸롭퍼(coater/developer)에 의해 표면-처리된 L1 패턴 상에 코팅하였다. 웨이퍼를 120 ℃에서 60초간 소프트-베이킹한 다음, 탑코트 층(OCTM 2000 탑코트 물질, Rohm and Haas Electronic Materials)로 코팅하였다. 제1 리소그라피 공정과 동일하나 마스크 없는 전면 노광의 스캐너 셋팅을 이용하여 8 내지 38 mJ/cm2의 다양한 선량으로 제2 리소그래피 (L2)를 수행하였다. 그 다음에, 웨이퍼를 110℃에서 60초간 노광 후 베이킹 (PEB)하고, MicropositTM MF CD-26 현상액 (Rohm and Haas Electronic Materials)을 사용하여 12초 동안 현상하였다. L1 레지스트 패턴 근처의 L2 레지스트 층 부분은 현상에 의해 제거되면서 L1 및 L2 레지스트 패턴 간에 한정된 미세한 공간은 남겨질 것이 기대되었다.
실시예 2-43
표면 처리 용액이 표 1의 성분들을 함께 추가하여 제조된 것 및 0.1 미크론 포어 크기를 갖는 나이론 필터를 통하여 여과한 것을 제외하고 실시예 1에 기술된 과정을 반복하였다. L1 레지스트 패턴 근처의 L2 레지스트 층 부분은 현상에 의해 제거되면서 L1 및 L2 레지스트 패턴 간에 한정된 미세한 공간은 남겨질 것이 기대되었다.
Figure pat00012

Claims (10)

  1. (a) 패턴화될 하나 이상의 층을 포함하는 반도체 기판을 제공하는 단계;
    (b) 제1 레진 성분 및 제1 광활성 성분을 포함하는 제1 감광성 조성물의 제1 층을 패턴화될 하나 이상의 층 상에 도포하는 단계;
    (c) 제1 층을 패턴화 포토마스크를 통해 활성화 조사선에 노광시키는 단계;
    (d) 노광된 제1 층을 현상하여 제1 레지스트 패턴을 형성하는 단계;
    (e) 하드베이킹 공정에서 제1 레지스트 패턴을 열처리하는 단계;
    (f) 하드베이킹된 제1 레지스트 패턴을 제1 레지스트 패턴의 표면을 알칼리성으로 하기에 효과적인 물질로 처리하는 단계;
    (g) 제2 레진 성분 및 광산 발생제를 포함하고, 네거티브-작용성인 제2 감광성 조성물의 제2 층을 패턴화될 하나 이상의 층 상에 제1 레지스트 패턴의 알칼리성 표면과 접촉하여 도포하는 단계;
    (h) 제2 층을 활성화 조사선에 노광시키는 단계; 및
    (i) 노광된 제2 층을 현상하여 제2 레지스트 패턴을 형성하고, 여기에서 제1 레지스트 패턴에 근접한 제2 층의 지역이 제거되어 제1 및 제2 레지스트 패턴 간의 오프닝(opening)을 한정(define)하는 단계를 포함하는, 전자 장치 형성 방법.
  2. 제1항에 있어서, 제1 및 제2 레지스트 패턴이 트렌치(trench)를 형성하기 위한 오프닝을 한정하는 것을 특징으로 하는 방법.
  3. 제1항에 있어서, 레지스트 패턴을 마스크로서 사용하여 제1 및 제2 레지스트 패턴 하부의 하나 이상의 층을 에칭하는 것을 추가로 포함하는 것을 특징으로 하는 방법.
  4. 제1항에 있어서, 제1 레지스트 패턴의 열 처리가 약 150℃ 또는 더 높은 온도에서 수행되는 것을 특징으로 하는 방법.
  5. 제1항에 있어서, 하드베이킹된 제1 레지스트 패턴을 제1 레지스트 패턴의 표면을 알칼리성으로 하기에 효과적인 물질로 처리하는 것이 제1 레지스트 패턴을 알칼리성 물질 및 계면활성제로 처리하는 것을 포함하는 것을 특징으로 하는 방법.
  6. 제1항에 있어서, 하드베이킹된 제1 레지스트 패턴을 제1 레지스트 패턴의 표면을 알칼리성으로 하기에 효과적인 물질로 처리하는 것이 제1 레지스트 패턴을 1급 또는 2급 아민으로 처리하는 것을 포함하는 것을 특징으로 하는 방법.
  7. 제6항에 있어서, 하드베이킹된 제1 레지스트 패턴을 제1 레지스트 패턴의 표면을 알칼리성으로 하기에 효과적인 물질로 처리하는 것이 제1 레지스트 패턴을 4차 암모늄 하이드록사이드 용액으로 처리 후 1급 또는 2급 아민으로 처리하는 일련의 처리를 포함하는 것을 특징으로 하는 방법.
  8. 제6항에 있어서, 아민이 다음의 화학식 (I)의 화합물인 것을 특징으로 하는 방법:
    Figure pat00013


    상기 화학식에서, R은 임의로 치환된 C1-C6 알킬기로부터 선택된다.
  9. 제1항에 있어서, 아민이 다음의 화학식 (Ⅲ), (Ⅳ) 및 (Ⅴ)의 화합물로부터 선택되는 것을 특징으로 하는 방법:
    Figure pat00014

    Figure pat00015

    Figure pat00016


    상기 화학식에서, R1 및 R2는 각기 독립적으로 수소 원자 또는 C1-C10 알킬기로부터 선택되고; n은 1 내지 10의 정수이다.
  10. (a) 패턴화될 하나 이상의 층을 포함하는 반도체 기판;
    (b) 패턴화될 하나 이상의 층 위에 알칼리성 표면을 갖는 레지스트 패턴; 및
    (c) 패턴화될 하나 이상의 층 위에 레지스트 패턴의 알칼리성 표면과 접촉하고, 제2 레진 성분 및 광산 발생제를 포함하며, 네거티브-작용성인 감광성 조성물의 층을 포함하는, 코팅된 기판.
KR1020100061499A 2009-06-26 2010-06-28 전자 장치 형성 방법 KR101698400B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US26960009P 2009-06-26 2009-06-26
US61/269,600 2009-06-26
US28168109P 2009-11-19 2009-11-19
US61/281,681 2009-11-19

Publications (2)

Publication Number Publication Date
KR20110002800A true KR20110002800A (ko) 2011-01-10
KR101698400B1 KR101698400B1 (ko) 2017-01-20

Family

ID=42711855

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020100061498A KR101724384B1 (ko) 2009-06-26 2010-06-28 전자 장치를 형성하기 위한 조성물 및 방법
KR1020100061494A KR101698396B1 (ko) 2009-06-26 2010-06-28 전자 디바이스 형성방법
KR1020100061499A KR101698400B1 (ko) 2009-06-26 2010-06-28 전자 장치 형성 방법
KR1020100061495A KR101671289B1 (ko) 2009-06-26 2010-06-28 전자 장비 형성 방법
KR1020100061502A KR101766289B1 (ko) 2009-06-26 2010-06-28 전자 장치 형성 방법

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020100061498A KR101724384B1 (ko) 2009-06-26 2010-06-28 전자 장치를 형성하기 위한 조성물 및 방법
KR1020100061494A KR101698396B1 (ko) 2009-06-26 2010-06-28 전자 디바이스 형성방법

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020100061495A KR101671289B1 (ko) 2009-06-26 2010-06-28 전자 장비 형성 방법
KR1020100061502A KR101766289B1 (ko) 2009-06-26 2010-06-28 전자 장치 형성 방법

Country Status (6)

Country Link
US (7) US8338079B2 (ko)
EP (3) EP2287669A1 (ko)
JP (5) JP5698922B2 (ko)
KR (5) KR101724384B1 (ko)
CN (3) CN101937838B (ko)
TW (3) TWI420571B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160121454A (ko) * 2015-04-10 2016-10-19 도쿄엘렉트론가부시키가이샤 이미지 반전, 유도 자기 조립, 및 선택적 퇴적에 도움을 주는 서브 해상도 개구 이용
CN107761663A (zh) * 2017-11-08 2018-03-06 江苏科技大学 一种板桩码头结构及其施工方法

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2287669A1 (en) 2009-06-26 2011-02-23 Rohm and Haas Electronic Materials, L.L.C. Methods of forming electronic devices
JP5698923B2 (ja) * 2009-06-26 2015-04-08 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 自己整合型スペーサー多重パターニング方法
TWI442453B (zh) 2009-11-19 2014-06-21 羅門哈斯電子材料有限公司 形成電子裝置之方法
JP5542500B2 (ja) 2010-03-30 2014-07-09 東京応化工業株式会社 レジストパターン形成方法およびレジスト組成物
KR20120027989A (ko) * 2010-09-14 2012-03-22 삼성전자주식회사 반도체 소자의 패턴 형성방법
KR101865296B1 (ko) * 2011-06-15 2018-06-07 삼성전자주식회사 반도체 장치의 제조 방법
CN102856190B (zh) * 2011-06-30 2015-04-01 中芯国际集成电路制造(上海)有限公司 条形结构的刻蚀方法
CN103649830B (zh) * 2011-07-08 2018-06-01 Asml荷兰有限公司 光刻图案化过程和其中使用的抗蚀剂
US9064808B2 (en) 2011-07-25 2015-06-23 Synopsys, Inc. Integrated circuit devices having features with reduced edge curvature and methods for manufacturing the same
US8609550B2 (en) * 2011-09-08 2013-12-17 Synopsys, Inc. Methods for manufacturing integrated circuit devices having features with reduced edge curvature
CN103034048B (zh) * 2011-09-29 2015-04-22 中芯国际集成电路制造(北京)有限公司 光刻方法
CN102364389A (zh) * 2011-10-17 2012-02-29 深圳市华星光电技术有限公司 控制液晶显示装置接触孔孔壁角度的制作方法
CN102437018B (zh) * 2011-11-02 2017-11-24 上海华虹宏力半导体制造有限公司 用于改进蚀刻后晶圆内关键尺寸均匀性的烘烤方法及设备
US8604427B2 (en) * 2012-02-02 2013-12-10 Applied Materials Israel, Ltd. Three-dimensional mapping using scanning electron microscope images
JP6028357B2 (ja) 2012-03-22 2016-11-16 ソニー株式会社 ヘッドマウントディスプレイ及び手術システム
WO2014013396A2 (en) * 2012-07-16 2014-01-23 Basf Se Composition for manufacturing integrated circuit devices, optical devices, micromachines and mechanical precision devices
CN102751238A (zh) * 2012-07-27 2012-10-24 上海华力微电子有限公司 通孔优先铜互连制作方法
US9086631B2 (en) * 2012-08-27 2015-07-21 Tokyo Electron Limited EUV resist sensitivity reduction
CN102810510A (zh) * 2012-09-11 2012-12-05 上海华力微电子有限公司 一种铜互连制作方法
CN102832168A (zh) * 2012-09-11 2012-12-19 上海华力微电子有限公司 一种沟槽优先铜互连制作方法
CN103839783B (zh) * 2012-11-21 2017-06-09 中芯国际集成电路制造(上海)有限公司 自对准双重图形的形成方法
JP6119669B2 (ja) * 2013-06-11 2017-04-26 信越化学工業株式会社 下層膜材料及びパターン形成方法
DE102013108876B4 (de) * 2013-08-16 2022-08-18 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Fotolithografisches Verfahren zur Herstellung einer Struktur in einem Strahlung emittierenden Halbleiterbauelement
CN104425225A (zh) * 2013-09-04 2015-03-18 中芯国际集成电路制造(上海)有限公司 三重图形的形成方法
JP6340304B2 (ja) * 2013-11-29 2018-06-06 富士フイルム株式会社 パターン形成方法、及び電子デバイスの製造方法
US9793268B2 (en) 2014-01-24 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for gap filling improvement
KR20150093618A (ko) * 2014-02-07 2015-08-18 아이엠이씨 브이제트더블유 포스트-리소그래피 라인 폭 러프니스를 감소시키기 위한 플라즈마 방법
KR20150136387A (ko) 2014-05-27 2015-12-07 삼성전자주식회사 반도체 소자의 제조 방법
US9715724B2 (en) 2014-07-29 2017-07-25 Applied Materials Israel Ltd. Registration of CAD data with SEM images
KR102270752B1 (ko) 2014-08-11 2021-07-01 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
TWI675258B (zh) * 2014-09-26 2019-10-21 日商東京應化工業股份有限公司 光阻圖型形成方法、光阻圖型分離劑、分離圖型改善化劑、光阻圖型分離材料及分離圖型形成用之正型光阻劑組成物
TWI632437B (zh) * 2014-11-07 2018-08-11 羅門哈斯電子材料有限公司 用於形成凸紋影像的方法
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9754791B2 (en) * 2015-02-07 2017-09-05 Applied Materials, Inc. Selective deposition utilizing masks and directional plasma treatment
KR102370616B1 (ko) * 2015-02-09 2022-03-04 삼성전자주식회사 미세 패턴 형성 방법
JP2016213475A (ja) * 2015-05-13 2016-12-15 東京エレクトロン株式会社 シュリンク及び成長方法を使用する極端紫外線感度低下
US10061199B2 (en) * 2015-06-24 2018-08-28 Tokyo Electron Limited Methods of forming a mask for substrate patterning
US9741586B2 (en) * 2015-06-30 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating package structures
US10162265B2 (en) * 2015-12-09 2018-12-25 Rohm And Haas Electronic Materials Llc Pattern treatment methods
US10503070B2 (en) * 2015-12-10 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
KR102515807B1 (ko) * 2016-01-11 2023-03-31 삼성디스플레이 주식회사 표시 장치 및 이의 제조 방법
JP6741471B2 (ja) * 2016-05-17 2020-08-19 東京応化工業株式会社 レジストパターン形成方法
CN107703722B (zh) * 2016-08-08 2020-12-15 中芯国际集成电路制造(上海)有限公司 图案化光阻的形成方法
US10115594B1 (en) * 2017-09-05 2018-10-30 Nanya Technology Corporation Method of forming fine island patterns of semiconductor devices
JP2019078812A (ja) * 2017-10-20 2019-05-23 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 高精細パターンの製造方法およびそれを用いた表示素子の製造方法
JP2019078810A (ja) * 2017-10-20 2019-05-23 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 微細パターンの製造方法およびそれを用いた表示素子の製造方法
US10410878B2 (en) 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications
US11139402B2 (en) 2018-05-14 2021-10-05 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
US11143953B2 (en) 2019-03-21 2021-10-12 International Business Machines Corporation Protection of photomasks from 193nm radiation damage using thin coatings of ALD Al2O3
US11264458B2 (en) 2019-05-20 2022-03-01 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
US11886121B2 (en) * 2019-08-30 2024-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming patterned photoresist

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060003271A1 (en) * 2004-06-30 2006-01-05 Clark Shan C Basic supercritical solutions for quenching and developing photoresists
KR100575001B1 (ko) * 2004-12-10 2006-04-28 삼성전자주식회사 상호 결합 없는 이중 포토 리소그라피 방법
WO2008070060A2 (en) * 2006-12-06 2008-06-12 Fujifilm Electronic Materials U.S.A., Inc. Device manufacturing process utilizing a double pattering process
EP1975718A2 (en) * 2007-03-26 2008-10-01 FUJIFILM Corporation Surface-treating agent for pattern formation and pattern-forming method using the surface-treating agent

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3474054A (en) 1966-09-13 1969-10-21 Permalac Corp The Surface coating compositions containing pyridine salts or aromatic sulfonic acids
US4251665A (en) 1978-05-22 1981-02-17 King Industries, Inc. Aromatic sulfonic acid oxa-azacyclopentane adducts
US4200729A (en) 1978-05-22 1980-04-29 King Industries, Inc Curing amino resins with aromatic sulfonic acid oxa-azacyclopentane adducts
JPS5844715A (ja) * 1981-09-11 1983-03-15 Fujitsu Ltd 微細パタ−ン形成方法
ATE68272T1 (de) 1984-06-01 1991-10-15 Rohm & Haas Lichtempfindliche beschichtungszusammensetzung, aus diesem hergestellte thermisch stabile beschichtungen und verfahren zur herstellung von thermisch stabilen polymerbildern.
CA1307695C (en) 1986-01-13 1992-09-22 Wayne Edmund Feely Photosensitive compounds and thermally stable and aqueous developablenegative images
ES2067547T3 (es) * 1988-08-26 1995-04-01 Nippon Oils & Fats Co Ltd Agente dispersante de pigmento.
US5128232A (en) 1989-05-22 1992-07-07 Shiply Company Inc. Photoresist composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units
US5100696A (en) * 1989-07-10 1992-03-31 Ncr Corporation Magnetic thermal transfer ribbon
US5187019A (en) 1991-09-06 1993-02-16 King Industries, Inc. Latent catalysts
JP3340493B2 (ja) * 1993-02-26 2002-11-05 沖電気工業株式会社 パターン形成方法、位相シフト法用ホトマスクの形成方法
US5656121A (en) * 1994-08-19 1997-08-12 Minnesota Mining And Manufacturing Company Method of making multi-layer composites having a fluoropolymer layer
JP3444692B2 (ja) * 1995-04-14 2003-09-08 沖電気工業株式会社 パターン形成方法
JPH09191007A (ja) * 1996-01-11 1997-07-22 Sumitomo Chem Co Ltd フォトレジスト用剥離液
JPH11214510A (ja) * 1998-01-26 1999-08-06 Toshiba Corp 自己整合型パターン形成方法
JPH11251214A (ja) * 1998-02-27 1999-09-17 Sharp Corp タンタル薄膜回路素子の製造方法
US6218085B1 (en) 1999-09-21 2001-04-17 Lucent Technologies Inc. Process for photoresist rework to avoid sodium incorporation
JP2001135565A (ja) * 1999-11-08 2001-05-18 Sony Corp 半導体装置の製造方法
TWI281101B (en) * 2000-02-28 2007-05-11 Mitsubishi Electric Corp Developing process, process for forming pattern and process for preparing semiconductor device using same
JP4329216B2 (ja) * 2000-03-31 2009-09-09 Jsr株式会社 レジストパターン縮小化材料及びそれを使用する微細レジストパターンの形成方法
JP2002006512A (ja) * 2000-06-20 2002-01-09 Mitsubishi Electric Corp 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法
US6534243B1 (en) * 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
KR100546098B1 (ko) * 2000-12-27 2006-01-24 주식회사 하이닉스반도체 열산 발생제를 포함하는 포토레지스트 조성물을 이용하여포토레지스트 패턴 폭 감소 현상을 개선하는 방법
JP2002278053A (ja) * 2001-03-16 2002-09-27 Fuji Photo Film Co Ltd ポジ型フォトレジスト組成物
WO2003006948A2 (en) * 2001-07-10 2003-01-23 Wisconsin Alumni Research Foundation Surface plasmon resonance imaging of micro-arrays
JP3878451B2 (ja) * 2001-10-22 2007-02-07 富士フイルムホールディングス株式会社 感光性樹脂転写材料、画像形成方法、カラーフィルターとその製造方法、フォトマスクとその製造方法
JP2003228179A (ja) * 2002-01-31 2003-08-15 Mitsubishi Gas Chem Co Inc 銅配線基板向けアミン含有レジスト剥離液および剥離方法
EP1481282A4 (en) 2002-03-04 2009-10-28 Shipley Co Llc NEGATIVE PHOTORESISTS FOR IMAGING WITH SHORT WAVE LENGTH
JP4041750B2 (ja) * 2002-06-28 2008-01-30 富士フイルム株式会社 染料含有硬化性組成物、カラーフィルタ及びその製造方法
JP3675434B2 (ja) * 2002-10-10 2005-07-27 東京応化工業株式会社 微細パターンの形成方法
US6740473B1 (en) * 2002-11-28 2004-05-25 United Microelectronics Corp. Method for shrinking critical dimension of semiconductor devices
US6916594B2 (en) * 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
EP1653286A4 (en) * 2003-07-17 2010-01-06 Az Electronic Materials Usa METHOD AND MATERIAL FOR FORMING A FINISHING PATTERN
US7232641B2 (en) 2003-10-08 2007-06-19 Shin-Etsu Chemical Co., Ltd. Polymerizable compound, polymer, positive-resist composition, and patterning process using the same
JP4143023B2 (ja) * 2003-11-21 2008-09-03 株式会社東芝 パターン形成方法および半導体装置の製造方法
KR100560633B1 (ko) * 2004-08-16 2006-03-17 삼성전자주식회사 커패시터 제조 방법
EP1720072B1 (en) 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
DE102005037022A1 (de) * 2005-06-28 2007-01-04 Osram Opto Semiconductors Gmbh Strahlungsemittierender optoelektronischer Halbleiterchip mit einer Diffusionsbarriere
JP2007010785A (ja) * 2005-06-28 2007-01-18 Fujifilm Holdings Corp 永久パターン形成方法
JP4830596B2 (ja) * 2006-04-10 2011-12-07 凸版印刷株式会社 レジストパターン形成用基板、レジストパターン形成方法およびパネル
WO2007148160A2 (en) * 2006-06-20 2007-12-27 Freescale Semiconductor, Inc. Method of multi-layer lithography
KR20080023814A (ko) * 2006-09-12 2008-03-17 주식회사 하이닉스반도체 반도체소자의 미세패턴 형성방법
US7568362B2 (en) * 2006-10-16 2009-08-04 Calibre International, Llc Bean bag holder to be used to hold a can or bottle
CN102253596B (zh) 2006-10-30 2014-05-14 罗门哈斯电子材料有限公司 浸渍平版印刷用组合物和浸渍平版印刷方法
KR100876783B1 (ko) * 2007-01-05 2009-01-09 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP5270840B2 (ja) * 2007-01-23 2013-08-21 東京応化工業株式会社 パターン微細化用被覆形成剤及びそれを用いた微細パターンの形成方法
CN100490059C (zh) * 2007-03-21 2009-05-20 山东华光光电子有限公司 一种高亮度发光二极管芯片的制备方法
JP2008268855A (ja) * 2007-03-26 2008-11-06 Fujifilm Corp パターン形成用表面処理剤、及び該処理剤を用いたパターン形成方法
JPWO2008143301A1 (ja) * 2007-05-23 2010-08-12 Jsr株式会社 パターン形成方法及びそれに用いる樹脂組成物
JP4840255B2 (ja) * 2007-05-29 2011-12-21 Jsr株式会社 パターン形成方法及びそれに用いる樹脂組成物
US8642474B2 (en) * 2007-07-10 2014-02-04 Advanced Micro Devices, Inc. Spacer lithography
JP4973876B2 (ja) * 2007-08-22 2012-07-11 信越化学工業株式会社 パターン形成方法及びこれに用いるパターン表面コート材
JP2009053547A (ja) * 2007-08-28 2009-03-12 Tokyo Ohka Kogyo Co Ltd パターン形成方法及び被覆膜形成用材料
JP5013119B2 (ja) * 2007-09-20 2012-08-29 信越化学工業株式会社 パターン形成方法並びにこれに用いるレジスト材料
JP2009199058A (ja) 2007-11-05 2009-09-03 Rohm & Haas Electronic Materials Llc 液浸リソグラフィーのための組成物および方法
US7659208B2 (en) * 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7838200B2 (en) * 2007-12-13 2010-11-23 International Business Machines Corporation Photoresist compositions and method for multiple exposures with multiple layer resist systems
US7838198B2 (en) * 2007-12-13 2010-11-23 International Business Machines Corporation Photoresist compositions and method for multiple exposures with multiple layer resist systems
JP2009194207A (ja) * 2008-02-15 2009-08-27 Tokyo Electron Ltd パターン形成方法、半導体装置の製造方法及び半導体装置の製造装置
JP5154395B2 (ja) * 2008-02-28 2013-02-27 東京エレクトロン株式会社 半導体装置の製造方法及びレジスト塗布・現像処理システム
EP2101217B1 (en) * 2008-03-14 2011-05-11 Shin-Etsu Chemical Co., Ltd. Sulfonium salt-containing polymer, resist compositon, and patterning process
US20090253080A1 (en) * 2008-04-02 2009-10-08 Dammel Ralph R Photoresist Image-Forming Process Using Double Patterning
US20090253078A1 (en) * 2008-04-07 2009-10-08 Sokudo Co., Ltd. Double exposure lithography using low temperature oxide and uv cure process
ES2433422T3 (es) * 2008-10-06 2013-12-11 Union Carbide Chemicals & Plastics Technology Llc Métodos para preparar triaminas N-aminofuncionales cíclicas
KR101523951B1 (ko) * 2008-10-09 2015-06-02 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
JP5071688B2 (ja) * 2009-02-18 2012-11-14 信越化学工業株式会社 パターン形成方法及びレジスト変性用組成物
KR20100117025A (ko) * 2009-04-23 2010-11-02 스미또모 가가꾸 가부시키가이샤 포토레지스트 패턴 형성 방법
JP5212245B2 (ja) * 2009-04-23 2013-06-19 住友化学株式会社 レジストパターンの製造方法
JP5112380B2 (ja) * 2009-04-24 2013-01-09 信越化学工業株式会社 パターン形成方法
TWI418533B (zh) * 2009-05-25 2013-12-11 Shinetsu Chemical Co 光阻改質用組成物及圖案形成方法
TWI403520B (zh) * 2009-05-25 2013-08-01 Shinetsu Chemical Co 光阻改質用組成物及圖案形成方法
JP5573356B2 (ja) * 2009-05-26 2014-08-20 信越化学工業株式会社 レジスト材料及びパターン形成方法
JP5698923B2 (ja) * 2009-06-26 2015-04-08 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 自己整合型スペーサー多重パターニング方法
EP2287669A1 (en) 2009-06-26 2011-02-23 Rohm and Haas Electronic Materials, L.L.C. Methods of forming electronic devices
JP2011022187A (ja) * 2009-07-13 2011-02-03 Konica Minolta Business Technologies Inc 静電荷像現像用トナー、フルカラートナーキット、画像形成方法
TWI442453B (zh) * 2009-11-19 2014-06-21 羅門哈斯電子材料有限公司 形成電子裝置之方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060003271A1 (en) * 2004-06-30 2006-01-05 Clark Shan C Basic supercritical solutions for quenching and developing photoresists
KR100575001B1 (ko) * 2004-12-10 2006-04-28 삼성전자주식회사 상호 결합 없는 이중 포토 리소그라피 방법
WO2008070060A2 (en) * 2006-12-06 2008-06-12 Fujifilm Electronic Materials U.S.A., Inc. Device manufacturing process utilizing a double pattering process
EP1975718A2 (en) * 2007-03-26 2008-10-01 FUJIFILM Corporation Surface-treating agent for pattern formation and pattern-forming method using the surface-treating agent

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160121454A (ko) * 2015-04-10 2016-10-19 도쿄엘렉트론가부시키가이샤 이미지 반전, 유도 자기 조립, 및 선택적 퇴적에 도움을 주는 서브 해상도 개구 이용
CN107761663A (zh) * 2017-11-08 2018-03-06 江苏科技大学 一种板桩码头结构及其施工方法

Also Published As

Publication number Publication date
JP5731764B2 (ja) 2015-06-10
EP2287669A1 (en) 2011-02-23
CN101963754B (zh) 2012-12-19
TWI420571B (zh) 2013-12-21
KR20110002798A (ko) 2011-01-10
CN101963756A (zh) 2011-02-02
US8465901B2 (en) 2013-06-18
US8507185B2 (en) 2013-08-13
US8492068B2 (en) 2013-07-23
JP2011070164A (ja) 2011-04-07
KR20110002799A (ko) 2011-01-10
US20100330500A1 (en) 2010-12-30
JP5698924B2 (ja) 2015-04-08
US20100330471A1 (en) 2010-12-30
KR101724384B1 (ko) 2017-04-07
US8492075B2 (en) 2013-07-23
KR101766289B1 (ko) 2017-08-08
TW201110196A (en) 2011-03-16
US8338083B2 (en) 2012-12-25
JP2011066393A (ja) 2011-03-31
TWI449084B (zh) 2014-08-11
US20130069246A1 (en) 2013-03-21
US20100330503A1 (en) 2010-12-30
KR101698400B1 (ko) 2017-01-20
US20100330501A1 (en) 2010-12-30
CN101963756B (zh) 2014-12-17
JP5698925B2 (ja) 2015-04-08
CN101937838B (zh) 2012-10-03
JP2011071479A (ja) 2011-04-07
US20100330499A1 (en) 2010-12-30
TW201110195A (en) 2011-03-16
JP2011071480A (ja) 2011-04-07
KR101698396B1 (ko) 2017-01-20
TW201118924A (en) 2011-06-01
KR20110002797A (ko) 2011-01-10
JP2011070165A (ja) 2011-04-07
CN101963754A (zh) 2011-02-02
JP5698926B2 (ja) 2015-04-08
KR101671289B1 (ko) 2016-11-16
EP2287670A1 (en) 2011-02-23
US20110008729A1 (en) 2011-01-13
US8338079B2 (en) 2012-12-25
TWI474378B (zh) 2015-02-21
JP5698922B2 (ja) 2015-04-08
KR20110002801A (ko) 2011-01-10
CN101937838A (zh) 2011-01-05
EP2287668A1 (en) 2011-02-23

Similar Documents

Publication Publication Date Title
KR101967189B1 (ko) 자기 정렬 스페이서를 포함하는 기판
KR101698400B1 (ko) 전자 장치 형성 방법
KR101746017B1 (ko) 전자 장치의 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191217

Year of fee payment: 4