JP2016213475A - シュリンク及び成長方法を使用する極端紫外線感度低下 - Google Patents

シュリンク及び成長方法を使用する極端紫外線感度低下 Download PDF

Info

Publication number
JP2016213475A
JP2016213475A JP2016096228A JP2016096228A JP2016213475A JP 2016213475 A JP2016213475 A JP 2016213475A JP 2016096228 A JP2016096228 A JP 2016096228A JP 2016096228 A JP2016096228 A JP 2016096228A JP 2016213475 A JP2016213475 A JP 2016213475A
Authority
JP
Japan
Prior art keywords
shrink
substrate
pattern
coating
lwr
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2016096228A
Other languages
English (en)
Inventor
フリ ライオア
Huli Lior
フリ ライオア
モハンティ ニハー
Mohanty Nihar
モハンティ ニハー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2016213475A publication Critical patent/JP2016213475A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/34Phase-edge PSM, e.g. chromeless PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties

Abstract

【課題】基板をパターニングするための方法を提供する。
【解決手段】基板の上に放射線感受性材料の層を形成するステップ1004、リソグラフィ処理を使用して放射線感受性材料の前記層にパターンを作製し、パターンは、限界寸法(CD)及び粗さによって特徴付けられるステップ1008、低下したCDにCDを減らすためにCDシュリンク処理を行うステップ1012、ターゲットCDまで低下したCDを成長させる成長処理を行うステップ1016、基板のライン幅ラフネス(LWR)と、ラインエッジラフネス(LER)とを制御するステップ1020を含み、スループットは、1時間当たり基板50個以上である方法。粗さは、ラインエッジラフネス(LER)、ライン幅ラフネス(LWR)、又はLERとLWRとの両方を含む。
【選択図】図10A

Description

発明の分野
本発明は、基板の上に薄膜をパターニングするための方法及びシステム、及び、より具体的には、レジスト感度を低下させ、且つ、ライン幅ラフネス及びラインエッジラフネス又は接触エッジラフネスを改善するための方法及びシステム、に関わる。
関連技術の説明
材料処理方法において、パターンエッチングは、
基板の上面(upper surface)に、フォトレジストなどの放射線感受性材料の層を適用すること、
フォトリソグラフィを使用して、放射線感受性材料の前記層においてパターンを形成すること、
エッチング処理(process)を使用して、前記基板の上の下地薄膜に、放射線感受性材料の前記層において形成した前記パターンを転写すること、
を含む。放射線感受性材料のパターニングは、一般に、
例えばフォトリソグラフィシステムを使用して、電磁(EM)放射のパターンに放射線感受性材料を露出すること(exposure)、
その後、現像溶液使用して、(ポジ型レジストの場合のように)放射線感受性材料の照射領域、又は(ネガ型レジストの場合のように)非照射領域を除去すること、
を含む。
限界寸法(CD)が低下するにつれて、結果として生じるパターンのライン幅ラフネス(LWR)を許容限度内に維持したまま、EM放射のパターンの解像度(Resolution)及びレジストの感度(Sensitivity)を高める必要がある。解像度(Resolution)と、LWRと、感度(Sensitivity)との間のトレードオフの関係があることが当技術分野で知られており、しばしばLRSトレードオフの三角形と呼ばれる。前記3つのパラメータのうち1つを改善しようとする従来の技術は、その他のパラメータのうち1つもしくは2つについて許容できない犠牲において改善する。極端紫外線(EUV)リソグラフィは、40nm以下のフィーチャサイズのための有望な結果を示す。しかしながら、EUVレジスト感度は、EUVリソグラフィに関する課題の1つである。上記LRSのトレードオフにおいて述べたように、解像度、LWR、及び感度における同時改善を得ることは非常に困難である。以前の試みは、低下したCDにCDを低下させるためのスリミング処理と、低下した粗さ(roughness)に粗さを低減するための平滑化処理を含む、一連のステップを含んでいた。ラインアンドスペース構造と関連した粗さ、及びコンタクトホールを有するコンタクトエッジラフネス(CER)が低下することなく、増加したスループットの必要性が継続する。EUVリソグラフィのための可能(enabling)スループットを達成するため、LWRもしくはCERに、及び解像度に影響を有意に与えることなく、レジスト感度のさらなる低下を可能にする方法及びシステムが引き続き必要とされる。
基板をパターニングするための方法が提供される。当該方法は、:
基板の上に放射線感受性材料の層を形成するステップと;
リソグラフィ処理を使用して放射線感受性材料の前記層にパターンを作製するステップであって、前記パターンは、限界寸法(CD)及び粗さ(ラフネス、roughness)によって特徴付けられる、ステップと;
パターンを作製する前記ステップに続いて、低下したCDにCDを減らすためにCDシュリンク処理を行うステップと;
ターゲットCD(target CD)まで低下したCDを成長させる成長処理を行うステップと;
を含み、前記基板のライン幅ラフネス(LWR)と、ラインエッジラフネス(LER)とを制御しながら、前記スループットは、1時間当たり基板50個以上である、方法。粗さは、ラインエッジラフネス(LER)、ライン幅ラフネス(LWR)、又はLERとLWRとの両方を含む。CDシュリンク処理を行うステップは、
ハードマスクで前記パターンをコーティングするステップと;
ある期間の温度範囲において前記ハードマスクをコーティングしたレジストをベークするステップであって、ベークするステップは、ベークされ、コーティングされたレジストを生成する、ステップと;
脱イオン水において、前記ベークされ、コーティングされたレジストを現像するステップと;
を含む。
基板をパターニングするためのシステムもまた提供される。当該システムは、
プラズマ発生装置、エッチャントガス供給システム、コントローラ、電源、加熱システム、冷却システム、及び真空システムに結合された処理チャンバを含むパターニングシステムを含み、;
前記パターニングシステムは、
基板の上に放射線感受性材料の層を形成し、;
リソグラフィ処理を使用して、放射線感受性材料の層においてパターンを作成し、前記パターンは、限界寸法(CD)及び粗さによって特徴付けられ、;
パターンを作成した後、低下したCDにCDを減らすため、CDシュリンク処理を行い、;
ターゲットCDまで低下したCDを成長させるため、成長処理を行う、ように構成され、;
ラインアンドスペースパターンにおける粗さは、ラインエッジラフネス(LER)、ライン幅ラフネス(LWR)、又はLERとLWRの両方を含み、;且つ、
コンタクトホールパターンにおいて、ターゲット(target)感度低下、及びLER、LWR、又はCER改善は、パターニングシステムの基板スループットを高めるために同時に達成される。
添付の図面において:
一実施形態に係るEUVパターニング処理におけるEUV感度(sensitivity)の関数として、ポストリソグラフィ処理、シュリンク処理、及びエッチング処理の処理ステップにおける構造の限界寸法(CD)の例示的なグラフを示す。 一実施形態に係るEUVパターニング処理におけるEUV感度の関数として、ポストリソグラフィ処理、シュリンク処理、及びエッチング処理の処理ステップにおける構造のライン幅ラフネスの例示的なグラフを示す。 一実施形態に係るEUVパターニング処理におけるEUV感度の関数として、ポストリソグラフィ処理、シュリンク処理、及びエッチング処理の処理ステップにおける構造のラインエッジラフネス(LER)の例示的なグラフを示す。 一実施形態に係るEUVパターニング処理におけるラインアンドスペース構造のシュリンク及び成長処理ステップの例示的な簡略図を示す。 一実施形態に係るEUVパターニング処理におけるコンタクトホール(C/H)構造のシュリンク及び成長処理ステップの例示的な簡略図を示す。 図6Aは、異なるエネルギーレベルにおける現像処理後の構造の上面図の例示的なイメージを表し、 図6Bは、異なるエネルギーレベルにおける化学トリムオーバーフロー(CTO)処理後の構造の上面図の例示的なイメージを表し、 図6Cは、異なるエネルギーレベルにおけるケイ素反射防止コーティングエッチング処理後の構造の上面図の例示的なイメージを表す。 図7Aは、17mJ/cmのエネルギーレベルで60秒間、60℃のCTO後の構造の側面図の例示的なイメージであり、 図7Bは、20mJ/cmのエネルギーレベルで60秒間、60℃のCTO後の構造の側面図の例示的なイメージであり、 図7Cは、17mJ/cmのエネルギーレベルで60秒間、60℃のCTO後の構造の側面図の例示的なイメージであり、 図7Dは、20mJ/cmのエネルギーレベルで60秒間、60℃のエッチング又はOPLオープン、CTO処理後の構造の側面図の例示的なイメージであり、 図7Eは、20mJ/cmのエネルギーレベルで現像処理後の構造の側面図の例示的なイメージである。 図8Aは、ポストリソグラフィのライン幅ラフネスベースライン測定を強調する、構造のトップダウンで見た例示的なイメージであり、 図8Bは、ポストエッチング平滑化のライン幅ラフネス改善を強調する、構造のトップダウンで見た例示的なイメージであり、 図8Cは、ポストリソグラフィのライン幅ラフネスベースライン測定を強調する、構造のトップダウンで見た例示的なイメージであり、 図8Dは、ポスト平滑化のライン幅ラフネス改善を強調する、構造のトップダウンで見た例示的なイメージであり、 図8Eは、ポストエッチング規則性(regular)平滑化のライン幅ラフネス改善を強調する、構造のトップダウンで見た例示的なイメージである。 図9Aは、慣用のポストリソグラフィによる接触エッジラフネスベースライン測定を強調する、構造のトップダウンで見た例示的なイメージであり、 図9Bは、慣用のポストエッチング平滑化による接触エッジラフネス改善を強調する、構造のトップダウンで見た例示的なイメージであり、 図9Cは、ポストトラックベースの平滑化による接触エッジラフネス改善を強調する、構造のトップダウンで見た例示的なイメージであり、 図9Dは、ポストトラックベースの平滑化による接触エッジラフネス改善を強調する、構造のトップダウンで見た例示的なイメージである。 一実施形態に係るシュリンク及び成長処理を使用して、ラインアンドスペース構造を有する基板をパターニングする方法を説明するフローチャートである。 一実施形態に係るCDシュリンク処理を行う方法を説明するフローチャートである。 一実施形態に係るシュリンク及び成長処理を使用して、コンタクトホール(C/H)構造を有する基板をパターニングする方法を説明するフローチャートである。 本発明の一実施形態におけるシュリンク及び成長処理を使用して、極端紫外線感度低下の方法を行うための例示的システムチャートである。
いくつかの実施形態の詳細な説明
基板をパターニングするための方法は、様々な実施形態において開示される。しかし、関連技術の当業者は、様々な実施形態は、特定の詳細の一つ以上がなくても、又は他の置換及び/又は追加の方法、材料、又は構成要素を伴っても、実施できること、認識している。その他の場合において、周知の構造、材料、又は動作は、本発明の様々な実施形態の態様を不明瞭にすることを避けるため、詳細に図示又は説明されない。
同様に、説明の目的で、特定の数、材料、及び構成は、本発明の完全な理解を提供するために記載される。それにもかかわらず、本発明は、特定の詳細なしに実施されてもよい。さらにまた、図面に示した様々な実施形態は例示的表現であり、必ずしも縮尺どおりに描かれていないことが理解される。
本明細書における「一実施形態(one embodiment又はan embodiment)」そのバリエーション全体の参照は、実施形態に関連して説明される特定の特徴、構造、材料、又は特性が、本発明の少なくとも1つの実施形態に含まれることを意味するが、それらが全ての実施形態に存在することを示すものではない。従って、このような本明細書全体を通して様々な箇所で「一実施形態において」又は「一実施形態において」などの語句の出現は、必ずしも本発明の同じ実施形態を指すものではない。さらに、当該特定の特徴、構造、材料、又は特性は、1つ又は複数の実施形態においていずれの適切な方法で組み合わせることができる。
それにもかかわらず、一般的な概念の発明的性質が説明されているにもかかわらず、説明内に含まれる特徴は、本発明の性質のものであることが理解されるべきである。
一般的に本明細書中で使用される「基板」は、本発明の実施形態に従って処理される物体(object)を意味する。基板は、デバイスの、特に半導体もしくはその他の電子デバイスの、いずれの材料部分もしくは構造を含んでよく、且つ、例えば、半導体ウエハのようなベース基板構造、ベース基板の上の(on)、もしくはベース基板の上にある(overlying)層、例えば薄膜、であってよい。従って、基板は、いずれの特定のベース構造、下にある層もしくは上にある層、パターン化もしくは非パターン化に限定することを意図しないが、むしろ、いずれのこのような層もしくはベース構造、及び層及び/もしくはベース構造のいずれの組合せ、を含むことが意図される。以下の説明は、基板の特定のタイプを参照することができるが、これは限定ではなく、例示目的のためだけである。本出願では、用語「線量(dose)」及び「エネルギー」は、EUVフォトレジストを照射するために使用されるEUV放射線を意味するために互換的に使用される。さらに、レジスト及びフォトレジストは、フォトレジストを意味するために互換的に使用される。
図1を参照すると、図1は、本実施形態に係るEUVパターニング処理におけるEUV感度(EUV Sensitivity)の関数として、ポスト(post)リソグラフィ処理、シュリンク処理、及びエッチング処理の処理ステップにおける構造の限界寸法(CD)の例示的なグラフ100を表す。リソグラフィ曲線108(Litho)は、CD約54nmにおける、低EUV感度から始まり、高EUV感度のための約40nmへと下降する(down)、傾斜下降曲線である。シュリンク曲線124(Shrink)は、低EUV感度におけるCD約53nmにおいて始まり、高EUV感度のための約30nmまでの、傾斜下降曲線である。エッチング曲線116(Etch)は、低EUV感度における約53nmのCDにおいて始まり、高EUV感度における約34nmまでの、傾斜下降曲線である。丸で囲まれたポイントにより識別されたグラフにおける例は、どのように、リソグラフィ処理後、約40nmのターゲットCD(Target CD)を有するパターン化構造は、高EUV感度における約40.5(ポイント112)にあるかを説明する。シュリンク処理後、収縮はターゲットCD104以上であり、構造は、中間(medium)EUV感度における約36nm(ポイント128)にある。構造は、エッチング曲線116(Etch)において、ターゲットCDに近いエッチング処理(ポイント120)において成長させる。EUV感度の低下(Reduction)は、ライン122により強調される。前記ライン122は、構造が高EUV感度にあったリソグラフィ曲線(ポイント112)から、構造が中間EUV感度にあるエッチング曲線(ポイント120)までである。
図2は、一実施形態に係るEUVパターニング処理におけるEUV感受性(EUV Sensitivity)の関数として、ポストリソグラフィ処理、シュリンク処理、及びエッチング処理の処理ステップにおける構造のライン幅ラフネス(LWR)の例示的なグラフ200を表す。リソグラフィ曲線204(Litho)は、LWR約10.9nmにおける、低EUV感度から始まり、高EUV感度における約7.2nmへと下降する(down)、傾斜下降曲線である。シュリンク曲線212(Shrink)は、低EUV感度におけるLWR約10.2nmにおいて始まり、高EUV感度における約6.0nmまでの、傾斜下降曲線である。エッチング曲線224(Etch)は、低EUV感度における約5.5nmのLWRにおいて始まり、高EUV感度における約6.3nmのLWRまでの、傾斜下降曲線である。丸で囲まれたポイントにより識別されたグラフにおける例は、約7.1nmのLWRにおいて開始するパターン化構造、ポイント208、ポストリソグラフィを説明する。シュリンク処理後、構造のLWRは、中間(medium)EUV感度におけるシュリンク曲線212(Shrink)において約7.2nmである(ポイント216)。構造は、LWRが約5.5nm(エッチング曲線224におけるポイント228)まで低下する(改善する)エッチング処理において成長させる。LWRの低下(Reduction)は、ライン220により強調される。前記ライン220は、構造が高EUV感度にあったリソグラフィ曲線204(ポイント208)から、構造が中間EUV感度にあるエッチング曲線224(LWRが、約5.5nmに低下した(改善した)ポイント228)までである。
図3は、一実施形態に係るEUVパターニング処理におけるEUV感受性(EUV Sensitivity)の関数として、ポストリソグラフィ処理、シュリンク処理、及びエッチング処理の処理ステップにおける構造のラインエッジラフネス(LER)の例示的なグラフ300を表す。リソグラフィ曲線304(Litho)は、LER約8.2nmにおける、低EUV感度から始まり、高EUV感度における約4.9nmへと下降する(down)、傾斜下降曲線である。シュリンク曲線312(Shrink)は、低EUV感度におけるLER約7.4nmにおいて始まり、高EUV感度における約4.0nmまでの、傾斜下降曲線である。エッチング曲線324(Etch)は、低EUV感度における約3.5nmのLERにおいて始まり、高EUV感度における約3.6nmのLERまでの、比較的フラットな曲線である。丸で囲まれたポイントにより識別されたグラフにおける例は、約5.0nmのLERにおいて開始するパターン化構造、ポイント308、ポストリソグラフィを説明する。シュリンク処理後、構造のLERは、中間(medium)EUV感度におけるシュリンク曲線312(Shrink)において約5.0nmである(ポイント316)。構造は、LERが約3.4nm(エッチング曲線324におけるポイント228)まで低下する(改善する)エッチング処理において成長させる。LERの低下(Reduction)は、ライン318により強調される。前記ライン318は、構造が高EUV感度にあったリソグラフィ曲線304(ポイント308)から、構造が中間EUV感度にあり、且つ、LERが、約3.4nmであるエッチング曲線324(ポイント332)までである。
図4は、一実施形態に係るEUVパターニング処理におけるラインアンドスペース構造のシュリンク及び成長処理ステップのフローについての例示的な簡略図400を示す。ラインアンドスペース構造のターゲットCDは、アプリケーションに基づき、且つ、アプリケーションのために収集した経験的データに基づいて選択される。リソグラフィ処理402は、ターゲットCDを製造するために目標エネルギーよりEUV源からのより少ないエネルギーを使用して、意図的に過小レベルに設定される。構造406のCD404は、ターゲットmJ/cm未満を使用して、ターゲットCDよりも広くなるように設定されている。リソグラフィ装置の一定のエネルギー出力が与えられると、リソグラフィステップにおいて使用されるより少ないエネルギーは、時間当たりの基板における基板スループットを増大させる。典型的な下地(underlying)層は、シリコン反射防止層408、高度なパターニング膜412、及びターゲット層416を包含する。シュリンク処理410において、構造426は、ターゲットCD以上に収縮し、且つ、ターゲットCDよりも小さいライン424、及び大きいスペース428をもたらし、下地層は、シリコン反射防止層428、高度なパターニング膜432、及びターゲット層436を包含する。成長処理430において、構造446は、ターゲットCDサイズ444まで成長させ、下地層は、シリコン反射防止層448、高度なパターニング膜452、及びターゲット層454を包含する。
図5は、一実施形態に係るEUVパターニング処理におけるコンタクトホール(C/H)構造のシュリンク及び成長処理ステップのフローの例示的な簡略図500を示す。C/HのターゲットCDは、アプリケーションに基づき、且つ、アプリケーションのために収集した経験的データに基づいて選択される。リソグラフィ処理502は、ターゲットCDを製造するために目標エネルギーよりEUV源からのより少ないエネルギーを使用して、意図的に過小レベルに設定され、この時、ターゲットCDはコンタクトホールである。構造506のCD504は、ターゲットmJ/cm未満を使用して、ターゲットCDよりも広くなるように設定されている。上記のように、リソグラフィステップにおいて使用されるより少ないエネルギーは、時間当たりの基板における基板スループットを増大させる。典型的な下地(underlying)層は、シリコン反射防止層508、高度なパターニング膜512、及びターゲット層516を包含する。シュリンク処理510において、構造526は、ターゲットCD以上に収縮し、且つ、ターゲットCDよりも小さいホール524、及び大きいスペース528をもたらし、下地層は、シリコン反射防止層528、高度なパターニング膜532、及びターゲット層536を包含する。成長処理530において、構造546は、ターゲットCDサイズ544まで成長させ、下地層は、シリコン反射防止層548、高度なパターニング膜552、及びターゲット層556を包含する。
図6Aは、異なるエネルギーレベルにおける構造のパターニング及び現像処理後の構造の上面図の例示的なイメージ600を表す。13mJ/cmにおける構造604の上面図は、視覚的に高いLWRを有し、15mJ/cmに露出後の構造606は、低いLWRを有し、18mJ/cmに露出後の構造608は、さらに低いLWRを有し、21mJ/cmに露出後の構造610は、最低のLWRを有する。
図6Bは、異なるエネルギーレベルにおける、構造の化学トリムオーバーフロー(CTO)処理後の構造の上面図の例示的なイメージ620を表す。構造は、60秒間、60℃に曝露された。13mJ/cmにおける構造624の上面図は、視覚的に高いLWRを有し、15mJ/cmに露出後の構造626は、低いLWRを有し、18mJ/cmに露出後の構造628は、さらに低いLWRを有し、21mJ/cmに露出後の構造630は、最低のLWRを有する。
図6Cは、異なるエネルギーレベルにおける構造のケイ素反射防止コーティングエッチング後の構造の上面図の例示的なイメージ640を表す。13mJ/cmにおける構造644の上面図は、視覚的に高いLWRを有し、15mJ/cmに露出後の構造646は、低いLWRを有し、18mJ/cmに露出後の構造648は、さらに低いLWRを有し、21mJ/cmに露出後の構造650は、最低のLWRを有する。
図7Aは、20mJ/cmのエネルギーレベルにおける現像処理後の構造706の側面図の例示的なイメージ700である。構造706の現像後、構造706間の距離702は49.0nmであった一方で、構造706の高さ704は、48.2nmであった。
図7Bは、17mJ/cmのエネルギーレベルで60秒間、60℃のCTO後の構造712の側面図の例示的なイメージ710である。このエネルギーレベルにおいて、60秒間、60℃のCTOは、CD高さ714を40.2nmまで低下を引き起こし、構造712間の距離718は40.2nmであり、構造712の真下にある層716は、36.3nmである。
図7Cは、20mJ/cmのエネルギーレベルで60秒間、60℃のCTO後の構造726の側面図の例示的なイメージ720である。基本的な違いは、CDの高さ724が35.5nmであることである。
図7Dは、エッチング又は有機平坦化層(OPL)オープン後の構造746の側面図の例示的なイメージ740である。17mJ/cmのエネルギーレベルにおけるエッチング又はOPLオープン後、構造746は、丸みを帯びた円錐形の最上部プロファイルを示し、構造間の距離748は51.5nmであり、構造の高さ744は約109.9nmである。
図7Eは、20mJ/cmのエネルギーレベルでエッチング又は有機平坦化層(OPL)オープン後の構造766の側面図の例示的なイメージ760であり、当該構造はより成長しており、構造間の距離は46.1nmであり、これは、成長に起因する予想された損失であるものである。当該距離及び構造766のCD764は、80.4nmであった。
図8Aは、構造のトップダウンで見た例示的なイメージ800であり、ポストリソグラフィのライン幅ラフネス(LWR)を強調する。本発明者らが行った試験及び測定に基づく、ポストリソグラフィの構造808のLWR804は4.82nmであった。
図8Bは、構造のトップダウンで見た例示的なイメージ820であり、ポストリソグラフィ及びポストエッチング平滑化のライン幅ラフネス(LWR)改善を強調する。試験及び測定に基づく、ポストリソグラフィ及びポストエッチング平滑化の構造828のLWR824は3.58nmであり、LWRの改善率は25.7%である。
図8Cは、構造848のトップダウンで見た例示的なイメージ840であり、ポストリソグラフィのLWR844を強調する。本発明者らが行った測定に基づく、構造848のLWRは4.42nmであった。
図8Dは、構造868のトップダウンで見た例示的なイメージ860であり、ポスト平滑化のLWR改善を強調する。ポストリソグラフィの構造868のLWR864は3.71nmであり、LWRの改善率は23.0%であった。
図8Eは、構造888のトップダウンで見た例示的なイメージ880であり、ポスト平滑化及びポストエッチング平滑化のLWR改善を強調する。試験及び測定に基づく、ポストリソグラフィ及びポストエッチング平滑化の構造888のLWR884は3.19nmであり、ポストリソグラフィと比べたLWRの改善率は33.8%であった。構造をパターニングするシュリンク及び成長方法を使用することにより、解像度を維持しながら、EUV処理の感度の低下を実現し、且つLWRは約33%向上した。
図9Aは、コンタクトホールC/H構造908のトップダウンで見た例示的なイメージ900であり、慣用のポストリソグラフィによるラインCD均一性及び接触エッジラフネス(CER)改善を強調する。本発明者らが行った試験及び測定に基づく、構造のCD906は33.2nmであり、CER904は2.19nmであり、L−CDUは4.54nmであり、ベースラインメトリクスと呼ばれた。
今度は図9Bを参照すると、図9Bは、構造928のトップダウンで見た例示的なイメージ920であり、エッチング平滑化によるポストエッチングのCER改善を強調する。試験及び測定に基づく、構造のCD926は24.1nmであり、CER924は1.35nmであり、L−CDUは2.04nmであった。構造をパターニングするシュリンク及び成長方法を使用することにより、解像度を維持しながら、EUV処理の感度の低下を実現し、且つ、CERは約38%向上し、且つ、ベースラインメトリクスと比べてL−CDUは約55%向上した。
別の代替実施形態は、2つのステップ:
ステップ(1)スタックを最適化し、且つトラックベースの平滑化を使用する、CER及びL−CDU改善のセットと、
ステップ(2)エッチング平滑化によるエッチングを使用する、CER及びL−CDU改善のセットと、
を含むことができる。これら2つのステップは、図9C及び図9Dに関連して記載される。
今度は図9Cを参照すると、図9Cは、構造968のトップダウンで見た例示的なイメージ960であり、エッチング平滑化処理を使用するCER改善を強調する。堆積及びエッチング平滑化処理の選択された動作変数を最適化することにより、解像度を維持し、且つ、CERを改善しながら、EUV処理の感度の低下を生じた。本発明者らが行った試験及び測定に基づく、構造のCD966は34.7nmであり、CER964は1.84nmであり、L−CDUは、ベースラインメトリクスと比べて約54%向上した。
今度は図9Dを参照すると、図9Dは、構造988のトップダウンで見た例示的なイメージ980であり、シュリンク及び成長処理を使用するCER改善を強調する。本発明者らが行った試験及び測定に基づく、構造のCD986は23.9nmであり、CER984は1.24nmであり、L−CDUは1.96nmであった。シュリンク及び成長処理の選択された動作変数を最適化することにより、解像度を維持し、且つ、CERを約43%改善し、且つ、L−CDUは、ベースラインメトリクスから約57%向上しながら、EUV処理の感度の低下を生じた。
図10Aは、一実施形態に係るラインアンドスペース構造を有する基板をパターニングする方法を説明するフローチャート1000を提供する。オペレーション1004において、放射感受性材料の層が基板の上に形成される。放射線感受性材料120の層は、フォトレジストを含むことができる。例えば、放射感受性材料の層は、EUV(極端紫外線)レジスト、又は電子ビーム感受性レジストを含むことができる。その上また、例えば、放射線感受性材料の第1の層は、熱凍結(thermal freeze)フォトレジスト、電磁(EM)放射線凍結フォトレジスト、又は化学的凍結フォトレジストを含んでもよい。一実施形態において、EUVレジストは、感光材料として特徴付けることができ、EUV波長におけるEM放射線(例えば、約11nm〜約14nmの範囲のEM波長)に曝露されたとき、塩基性溶液における感光材料の溶解度は、変化する。
放射感受性材料の層は、基板の上に材料をスピンコーティングすることにより形成してよい。放射線感受性材料の第1の層は、トラックシステムを使用して形成することができる。例えば、トラックシステムは、東京エレクトロン(TEL)から市販されている、Clean Track ACT(登録商標)8、ACT(登録商標)12、LITHIUS(登録商標)、LITHIUS(商標) PRO(商標)、又はLITHIUS(商標)PRO V(商標)コーティング及び現像システムを含むことができる。基板の上にフォトレジスト膜を形成するための、その他のシステム及び方法は、スピンオンレジスト技術の当業者に知られている。当該コーティング処理の後に、基板を加熱するための1以上の第1の塗布後のベーク(post-application bakes, PAB)が続いてよく、及び、前記1以上の第1のPABに続く、基板を冷却するための1以上の冷却サイクルが続く。
オペレーション1008において、リソグラフィ処理を使用して、放射線感受性材料の層においてパターンが調製され、当該パターンは、限界寸法(CD)及び粗さを特徴とする。放射線感受性材料の層を有する基板は、放射線露光装置において、アライメント位置において位置合わせされ(aligned)、且つ、画像パターンを有する放射線で画像化される。放射線照射システムは、乾式又は湿式フォトリソグラフィシステムを含むことができる。画像パターンは、いずれの好適な従来のステッピングリソグラフィシステム、又はスキャニングリソグラフィシステムを使用して形成することができる。例えば、フォトリソグラフィシステムは、ASML Netherlands B.V.(De Run 6501、5504 DR Veldhoven、オランダ)、又はCanon USA Inc.、半導体機器事業部(3300 North First Street, San Jose,CA 95134)から市販されている。あるいは、画像パターンは、電子ビームリソグラフィシステムを使用して形成することができる。
大量生産の目標を達成するために、また先に言及したように、スループットを可能にするため、フォトリソグラフィツールは、1時間当たり、約50個以上のウエハを達成しなければならない。フォトリソグラフィツールのスループットは、EUVエネルギーの関数であり、従って、EUV線量が高いほど、1時間あたりに処理される基板の数は少ない。増加したEUV線量で動作する代わりに、適正なスループットを達成するために、低下したEUV線量において露出が行われ、且つ、上記のようなRLSトレードオフを回避するために、本発明は、CDシュリンク及びCD成長のような是正ステップにより、EUVエネルギーを低下させる。
一実施形態において、EUVレジスト感度低下は、EUV源の露出時間を低下させることによって行われ、且つ、試験は、比較のためのベースラインとして使用されるレコードの処理(POR)及び異なる率のエネルギーレベル低下を含んだ。(レジストの露光時間の低下による)、パターンの照射におけるEUVエネルギー低下による感度低下は、EUV全体のスループットにおける有意な増加、即ち実質的に、大量生産のために必要とされる1時間当たり、50個以上のウエハの範囲において、を生じた。一実施形態において、感度は、EUVエネルギーを低下させることによって低下した。その他の実施形態は、EUV源の強度及び/又は露光時間を調整することにより、感度を低下させることができる。上記のように、エネルギーを低減させる一つの方法は、EUVエネルギーへの露出時間を低減することによる。EUV線量及びEUV光の強度は、以下の等式(Eq.1.0):
計算された露光時間(秒)=線量(mJ/cm)/強度(mW/cm
を使用して決定することができる露光時間に相関している。
EUV源の一定の強度を仮定すると(given)、EUV線量が低下するにつれ、計算された露光時間は低下し、1時間当たりの処理される基板の数を増やす。
オペレーション1012において、低下したCDまでCDを低減するために、CDシュリンク処理が行われる。シュリンク処理は、溶媒蒸気処理、化学スピンコーティング法、又はレジスト現像処理を使用することができる。これらの処理(processes)は、当技術分野の人々に知られており、従って詳細には説明しない。本発明者らは、より低いエネルギー及び少ない時間を使用するシュリンク処理により、構造の画質の劣化があったことを見出した。その劣化は、ブリッジング、スカミング、フーチング(footing)、及び低減されるべきラインアンドスペース構造のための、顕著なライン幅ラフネス(LWR)、ラインエッジラフネス(LER)を含んだ。コンタクトホール等により、接触エッジラフネス(CER)及びラインCD均一性(L−CDU)もまた、有意であり、且つ低下を必要とした。
オペレーション1016において、ターゲットCDまで低下CDを成長させるため、CD成長処理を行う。ラインアンドスペース構造のためCDを成長させるステップは、溶媒蒸気処理、化学スピンコート処理、もしくはエッチング処理を利用することができる。エッチング処理は、材料が溶媒蒸気又は化学スピンコートと同様に処理することができる有機もしくは無機高分子材料を利用することができる。CD成長処理の最中又は直後に、ラインアンドスペース構造もしくはCERのための、CD、LWR、LER、及びL−CDUは、連続的に、又はデータが処理を制御するために使用される間隔で測定することができる。
オペレーション1020において、パターニングターゲットを達成するために、選択された2以上の変数を同時に制御する。選択された変数は、コーティング時間、コーティングスピン速度、コーティング加速度、エッチング液(etchant)分配率(dispense rate)、脱イオン水の分配率、焦点深度(DOF)マージン、収縮範囲(nm)、混合ベーク温度、ハードマスク膜厚の範囲、CD、LWR、ラインアンドスペース構造及びCDのためLER、CER、及びL−CDUコンタクトホール構造を含むことができる。センサと計測装置から得られたデータは、図12に関連して説明されるコントローラにおいて、パターニングターゲットを達成するために、処理を制御するのに、使用することができる。
図10Bは、図10Aにおけるオペレーション1012のようなCDシュリンク処理を行う方法を説明するフローチャート1050を示す。オペレーション1054において、基板の上のパターン化レジストは、シリコンハードマスク(SHM)で被覆され、シリコンハードマスク被覆レジストを生じている。オペレーション1058において、シリコンハードマスク被覆レジストは、選択された時間、温度範囲においてベークされる。オペレーション1062において、ベークされ、被覆されたレジストが、脱イオン水中で現像される。オペレーション1054、1058及び1062は、当該技術分野の人々に知られており、詳細に説明しない。その他の例示的なCDシュリンク処理を使用することもできる。
図11は、一実施形態に係るコンタクトホール(C/H)構造を有する基板をパターニングする方法を説明するフローチャート1100を示す。オペレーション1104において、放射感受性材料の層が基板の上に形成される。オペレーション1108において、放射線感受性材料の層におけるコンタクトホールパターンが、リソグラフィ処理を使用して、製造され、当該パターンは、限界寸法(CD)及び限界エッジラフネス(CER)を特徴とする。
オペレーション1112において、パターン調製に続いて、露出処理が、低下CDにCDを低下させるために行われる。オペレーション1116において、成長処理は、予想されるC/H CDよりも大きいC/H CDを作成するために行われる。オペレーション1120において、シュリンクバック処理は、ターゲットCDまでC/H CDを成長させるために行われる。シュリンク処理は、溶媒蒸気処理、化学スピンコーティング処理、又はレジスト現像処理を使用することができる。これらの処理(processes)は、当該技術分野の人々に知られており、従って詳細には説明しない。本発明者らは、より低いエネルギー及び少ない時間を使用するシュリンク処理により、コンタクトホール構造の画質の劣化があったことを見出した。その劣化は、ブリッジング、スカミング、フーチングを含み、且つ、接触エッジラフネス(CER)及びラインCD均一性(L−CDU)もまた、有意であり、且つ低下を必要とした。
オペレーション1124において、感度低下、LER、LWR、CER又はラインCD均一性(L−CDU)を含むパターニングターゲットを達成するために、選択された2以上の変数を同時に制御する。選択された変数は、コーティング時間、コーティングスピン速度、コーティング加速度、エッチング液(etchant)分配率(dispense rate)、脱イオン水の分配率、DOFマージン、収縮範囲(nm)、混合ベーク温度、ハードマスク膜厚の範囲、CD、CER、及びコンタクトホール構造のためのL−CDUを含むことができる。センサと計測装置から得られたデータは、コントローラにおいて、パターニングターゲットを達成するために、処理を制御するのに、使用することができる。
図12は、本発明の一実施形態におけるシュリンク及び成長を使用して、極端紫外線感度低下の方法を行うための例示的パターニングシステムチャート1200を示す。上記の識別された処理条件を実行するように構成されたパターニングシステム1200は、プラズマ処理チャンバ1210と、基板ホルダ1220(その上に被処理基板1225が固定される)と、真空ポンプシステム1250とを含む図12に示される。基板1225は、半導体基板、ウエハ、フラットパネルディスプレイ、又は液晶ディスプレイであることができる。プラズマ処理チャンバ1210は、基板1225の表面近傍にプラズマ処理領域1245内のプラズマの発生を容易にするように構成することができる。処理ガスのイオン化可能なガス又は混合物は、ガス分配システム1240を介して導入される。処理ガスの所定の流れのため、真空ポンプシステム1250を使用して、処理圧力は調整される。予め定められた材料処理に特有の材料を作成するために、及び/又は基板1225の露出した表面からの材料の除去を補助するために、プラズマを利用することができる。プラズマ処理システム1200は、何れの所望サイズの基板、例えば200mm基板、300mm基板、又はそれ以上、を処理するように構成することができる。
基板1225は、機械的クランプシステム又は電気的クランプシステム(例えば、静電クランプシステム)のようなクランプシステム1228を介して、基板ホルダ1220に固定することができる。その上さらに、基板ホルダ1220は、基板ホルダ1220及び基板1225の温度を調節及び/又は制御するように構成された加熱システム(図示せず)又は冷却システム(図示せず)を含むことができる。加熱システム又は冷却システムは、
基板ホルダ1220から熱を受け取り、且つ、
冷却する際に、熱交換器システム(図示せず)に熱を転送し、又は
加熱する際に、基板ホルダ1220に、前記熱交換器システムからの熱を転送する、
伝熱流体の再循環流を含むことができる。その他の実施形態において、加熱/冷却素子、例えば抵抗加熱素子、又は熱電ヒータ/クーラーを基板ホルダ1220、並びに、プラズマ処理チャンバ1210のチャンバ壁及びプラズマ処理システム内のいずれの他の構成要素、に含めることができる。
さらに、熱伝達ガスは、基板1225と基板ホルダ1220との間のガスギャップ熱伝導を改善するために、裏面ガス供給システム1226を介して基板1225の裏面に送達することができる。基板の温度制御が、上昇した又は低下した温度で必要とされる場合に、このようなシステムが利用可能である。例えば、裏面ガス供給システムは、2ゾーンガス分配システムを含むことができ、ヘリウムガスギャップ圧力は、基板1225の中心とエッジとの間で独立して変化することができる。
図12に示す実施形態において、基板ホルダ1220は、電極1222備えることができ、それを通して、RF電極は、プラズマ処理領域1245内の処理プラズマに結合される。例えば、基板ホルダ1220は、任意のインピーダンス整合ネットワーク1232を貫通して(through)、基板ホルダ1220まで、RF発生器1230からのRF電力の伝達を介して、RF電圧において電気的にバイアスすることができる。RF電気的バイアスは、プラズマを形成し、且つ、維持するために電子を加熱するのに役立つことができる。この構成において、システムは、反応性イオンエッチング(RIE)反応器として動作することができる。反応性イオンエッチング(RIE)反応器において、チャンバと上部ガス注入電極は接地面として機能する。RFバイアスのための典型的な周波数は、約0.1MHz〜約100MHzの範囲とすることができる。プラズマ処理用のRFシステムは、当業者に周知である。
さらにまた、RF電圧での電極1222の電気的バイアスは、パルスバイアス信号コントローラ1231を使用してパルス化することができる。RF発生器1230からのRFパワー出力は、例えばオフ状態とオン状態との間で、パルス化することができる。あるいは、RF電力は、複数の周波数において基板ホルダ電極に印加される。さらにまた、インピーダンス整合ネットワーク1232は、反射電力を減少させることによって、プラズマ処理チャンバ1210内のプラズマへのRF電力の伝達を向上させることができる。整合ネットワークトポロジ(例えば、L型、Π型、T型、等)及び自動制御方法は当業者に周知である。
ガス分配システム1240は、処理ガスの混合物を導入するためのシャワーヘッド設計を含むことができる。あるいは、ガス分配システム1240は、処理ガスの混合物を導入し、且つ、基板1225の上の処理ガスの混合物の分布を調整するためのマルチゾーンシャワーヘッド設計を含むことができる。例えば、マルチゾーンシャワーヘッドの設計は、基板1225の上の実質的に中央領域への処理ガス流又は組成物の量に対して、基板1225の上の実質的に周辺領域への処理ガス流又は組成物を調整するように構成されていてもよい。
真空ポンプシステム1250は、毎秒約8000リットル(以上)のポンプ速度が可能なターボ分子真空ポンプ(TMP)及びチャンバ圧力をしぼるゲートバルブを含めることができる。ドライプラズマエッチングに用いられる従来のプラズマ処理装置において、第2のTMPにつき1200〜3000リットルを使用することができる。TMPは、典型的には約50mTorr未満の低圧処理に有用である。高圧処理のために(すなわち、約100mTorrよりも大きい)、メカニカルブースターポンプ及びドライ粗引きポンプを使用することができる。さらにまた、チャンバ圧力を監視するための装置(図示せず)は、プラズマ処理チャンバ1210に結合することができる。
上述したようにコントローラ1255は、マイクロプロセッサと、メモリと、プラズマ処理システム1200への入力、並びに、プラズマ処理システム1200からのモニタ出力を通信し、且つ、アクティベートするのに十分な制御電圧を生成できるデジタルI/Oポートと、を含むことができる。さらにまた、コントローラ1255は、RF発生器1230、パルスバイアス信号コントローラ1231、インピーダンス整合ネットワーク1232、ガス分配システム1240、真空排気システム1250、並びに、基板加熱/冷却システム(図示せず)、裏面ガス供給システム1226及び/又は静電クランプシステム1228、に結合することができ、且つ、と情報を交換することができる。例えば、メモリに記憶されたプログラムは、基板1225の上でプラズマ支援処理(例えばプラズマエッチング処理)を実行するため、処理レシピに従ってプラズマ処理システム1200の上述の構成要素への入力をアクティベートするために利用することができる。
本発明の特定の実施形態だけが上で詳細に説明されたが、当業者は、多くの変更が本発明の新規な教示及び利点から逸脱することなく実施形態において可能であることを容易に理解するであろう。従って、全てのそのような修正は本発明の範囲内に含まれることが意図される。
1000 ラインアンドスペース構造を有する基板をパターニングする方法を説明するフローチャート
1004、1008、1012、1016、1020 オペレーション

Claims (20)

  1. 1時間当たりの基板におけるスループットを有するパターニングシステムにおける基板をパターニングする方法であって、当該方法は、:
    基板の上に放射線感受性材料の層を形成するステップと;
    極端紫外(EUV)リソグラフィ処理を使用して放射線感受性材料の前記層にパターンを作製するステップであって、前記パターンは、限界寸法(CD)及び粗さによって特徴付けられる、ステップと;
    パターンを作製する前記ステップに続いて、低下したCDにCDを減らすためにCDシュリンク処理を行うステップと;
    CDシュリンク処理を行う前記ステップに続いて、ターゲットCDまで低下したCDを成長させる成長処理を行うステップと;
    を含み、前記基板のライン幅ラフネス(LWR)と、ラインエッジラフネス(LER)とを制御しながら、前記スループットは、1時間当たり基板50個以上である、方法。
  2. CDシュリンク処理を行う前記ステップは、:
    ハードマスクで前記パターンをコーティングするステップであって、コーティングする前記ステップは、ハードマスクをコーティングしたレジストを生成する、ステップと;
    選択された期間の温度範囲において前記ハードマスクをコーティングしたレジストをベークするステップであって、ベークする前記ステップは、ベークされ、コーティングされたレジストを生成する、ステップと;
    脱イオン水(DIW)において、前記ベークされ、コーティングされたレジストを現像するステップと;
    を含む、請求項1に記載の方法。
  3. 前記ハードマスクをコーティングしたレジストをベークするステップは、70秒間以下、110〜170℃の温度範囲で行われる、請求項2に記載の方法。
  4. 前記CDシュリンク処理は、10〜15nmだけCDを低下させる、請求項3に記載の方法。
  5. ハードマスクコーティングは、60〜150nmの範囲内の膜厚で行われる、請求項3に記載の方法。
  6. 前記ベークされ、コーティングされたレジストを現像するステップは、60秒以下で行われる、請求項3に記載の方法。
  7. 前記成長処理は、前記CDシュリンク処理の前、後、又はその間に実行される、請求項1に記載の方法。
  8. 前記シュリンク処理は、溶媒蒸気処理又は化学スピンコーティング処理を使用して行われる、請求項1に記載の方法。
  9. 前記シュリンク処理は、レジスト現像処理又はエッチング処理を使用して行われる、請求項1に記載の方法。
  10. 前記成長処理を行うステップは、溶媒蒸気処理、化学スピンコーティング処理、又はエッチング処理を使用して行われる、請求項1に記載の方法。
  11. パターニングターゲットを達成するため、2以上の選択された動作変数を同時に制御するステップ、
    をさらに含む、請求項1に記載の方法。
  12. 前記2以上の選択された動作変数は、コーティング時間、コーティングスピン速度、コーティング加速度、エッチング液分配率、脱イオン水の分配率、焦点深度(DOF)マージン、収縮範囲(nm)、混合ベーク温度、及びハードマスク膜厚の範囲を含む、請求項11に記載の方法。
  13. 成長処理後のLERとLWRは、シュリンク処理後のLERとLWRに比べて11〜20%だけ低い、請求項12に記載の方法。
  14. 基板をパターニングする方法であって、:
    基板の上に放射線感受性材料の層を形成するステップと;
    リソグラフィ処理を使用して放射線感受性材料の前記層にコンタクトホール(C/H)パターンを作製するステップであって、前記パターンは、限界寸法(CD)及び接触エッジラフネス(CER)によって特徴付けられる、ステップと;
    C/Hパターンを作製する前記ステップに続いて、ターゲットエネルギーよりも低いエネルギーに露出する処理を行うステップであって、前記露出処理は期待されるC/H CDよりも小さいC/H CDを形成する、ステップと;
    期待されるC/H CDよりも大きいC/H CDを作成するため、成長処理を行うステップと;
    ターゲットCDまでC/H CDを低下させるため、シュリンクバック処理を行うステップと;
    を含む、方法。
  15. 成長処理を行う前記ステップは、溶媒蒸気処理、化学スピンコーティング処理、レジスト現像処理、又はエッチング処理を使用して行われる、請求項14に記載の方法。
  16. シュリンクバック処理を行う前記ステップは、溶媒蒸気処理、化学スピンコーティング処理、又はエッチング処理を使用して行われる、請求項14に記載の方法。
  17. 感度低下、CER及び/又はCD均一性(CDU)を含むパターニングターゲットを達成するため、2以上の選択された動作変数を同時に制御するステップ、
    をさらに含む、請求項14に記載の方法。
  18. 前記2以上の選択された動作変数は、コーティング時間、コーティングスピン速度、コーティング加速度、エッチング液分配率、脱イオン水の分配率、焦点深度(DOF)マージン、収縮範囲(nm)、混合ベーク温度、ハードマスク膜厚の範囲、成長処理時間、及び/又はシュリンクバック処理時間を含む、請求項17に記載の方法。
  19. CERが15〜33%だけ低下し、且つ、スループットは、1時間当たり基板50個以上である、請求項14に記載の方法。
  20. 側壁画像転写処理を行うためのマンドレルとして、放射線感受性材料の層において前記パターンを使用するステップであって、前記放射線感受性材料の層はEUV(極端紫外)レジストを含む、ステップ、
    をさらに含む、請求項14記載の方法。
JP2016096228A 2015-05-13 2016-05-12 シュリンク及び成長方法を使用する極端紫外線感度低下 Pending JP2016213475A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562160795P 2015-05-13 2015-05-13
US62/160,795 2015-05-13

Publications (1)

Publication Number Publication Date
JP2016213475A true JP2016213475A (ja) 2016-12-15

Family

ID=57276992

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016096228A Pending JP2016213475A (ja) 2015-05-13 2016-05-12 シュリンク及び成長方法を使用する極端紫外線感度低下

Country Status (5)

Country Link
US (1) US10935889B2 (ja)
JP (1) JP2016213475A (ja)
KR (1) KR20160134575A (ja)
CN (1) CN106154767B (ja)
TW (1) TWI594296B (ja)

Families Citing this family (289)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
JP6991043B2 (ja) * 2017-11-22 2022-02-03 東京エレクトロン株式会社 基板載置台
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005302771A (ja) * 2004-04-06 2005-10-27 Renesas Technology Corp 半導体デバイスの製造装置および製造方法
JP2006210825A (ja) * 2005-01-31 2006-08-10 Toshiba Corp パターン形成方法
JP2008147645A (ja) * 2006-11-21 2008-06-26 Applied Materials Inc 計測法とエッチング処理を統合する方法及び装置
JP2009147198A (ja) * 2007-12-17 2009-07-02 Tokyo Electron Ltd 基板処理方法及び基板処理装置
EP2296042A2 (en) * 2009-09-14 2011-03-16 Tokyo Electron Limited Resist coating and developing apparatus and method
JP2011070164A (ja) * 2009-06-26 2011-04-07 Rohm & Haas Electronic Materials Llc 電子デバイスを形成する方法
JP2011109059A (ja) * 2009-11-19 2011-06-02 Rohm & Haas Electronic Materials Llc 電子デバイスを形成する方法
WO2013103482A1 (en) * 2012-01-03 2013-07-11 Tokyo Electron Limited Vapor treatment process for pattern smoothing and inline critical dimension slimming
WO2014035871A1 (en) * 2012-08-27 2014-03-06 Tokyo Electron Limited Euv resist sensitivity reduction

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6764946B1 (en) 2003-10-01 2004-07-20 Advanced Micro Devices, Inc. Method of controlling line edge roughness in resist films
WO2005081910A2 (en) * 2004-02-26 2005-09-09 Pdf Solutions, Inc. Generalization of the photo process window and its application to opc test pattern design
US7670760B2 (en) 2006-03-06 2010-03-02 Freescale Semiconductor, Inc. Treatment for reduction of line edge roughness
US8501395B2 (en) 2007-06-04 2013-08-06 Applied Materials, Inc. Line edge roughness reduction and double patterning
CN102254808B (zh) * 2010-05-19 2013-10-30 中国科学院微电子研究所 减小ler的方法及实施该方法的装置
US8334083B2 (en) 2011-03-22 2012-12-18 Tokyo Electron Limited Etch process for controlling pattern CD and integrity in multi-layer masks
US8354655B2 (en) 2011-05-03 2013-01-15 Varian Semiconductor Equipment Associates, Inc. Method and system for controlling critical dimension and roughness in resist features
US9097977B2 (en) 2012-05-15 2015-08-04 Tokyo Electron Limited Process sequence for reducing pattern roughness and deformity
KR102233577B1 (ko) 2014-02-25 2021-03-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005302771A (ja) * 2004-04-06 2005-10-27 Renesas Technology Corp 半導体デバイスの製造装置および製造方法
JP2006210825A (ja) * 2005-01-31 2006-08-10 Toshiba Corp パターン形成方法
JP2008147645A (ja) * 2006-11-21 2008-06-26 Applied Materials Inc 計測法とエッチング処理を統合する方法及び装置
JP2009147198A (ja) * 2007-12-17 2009-07-02 Tokyo Electron Ltd 基板処理方法及び基板処理装置
JP2011070164A (ja) * 2009-06-26 2011-04-07 Rohm & Haas Electronic Materials Llc 電子デバイスを形成する方法
EP2296042A2 (en) * 2009-09-14 2011-03-16 Tokyo Electron Limited Resist coating and developing apparatus and method
JP2011109059A (ja) * 2009-11-19 2011-06-02 Rohm & Haas Electronic Materials Llc 電子デバイスを形成する方法
WO2013103482A1 (en) * 2012-01-03 2013-07-11 Tokyo Electron Limited Vapor treatment process for pattern smoothing and inline critical dimension slimming
WO2014035871A1 (en) * 2012-08-27 2014-03-06 Tokyo Electron Limited Euv resist sensitivity reduction

Also Published As

Publication number Publication date
CN106154767A (zh) 2016-11-23
TW201705211A (zh) 2017-02-01
CN106154767B (zh) 2021-04-30
TWI594296B (zh) 2017-08-01
US20160334709A1 (en) 2016-11-17
US10935889B2 (en) 2021-03-02
KR20160134575A (ko) 2016-11-23

Similar Documents

Publication Publication Date Title
US10935889B2 (en) Extreme ultra-violet sensitivity reduction using shrink and growth method
JP6280030B2 (ja) 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス
US9607843B2 (en) Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
TWI620246B (zh) 於抗反射塗佈層蝕刻期間使用氫以改良粗糙度及提升選擇性的方法
KR102436638B1 (ko) Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법
KR101821056B1 (ko) 다중 패턴화 스킴에 대한 선택적 스페이서 에칭을 위한 방법 및 시스템
KR102328025B1 (ko) 서브-해상도 스케일들로 상이한 임계 치수들을 패터닝하기 위한 방법
US10115591B2 (en) Selective SiARC removal
JP2011040757A (ja) 六フッ化硫黄(sf6)および炭化水素ガスを用いた反射防止層のパターニング方法
US20110076623A1 (en) Method for reworking silicon-containing arc layers on a substrate
JP2007529899A (ja) エッチング特性を改良するためのハードマスクを処理する方法およびシステム。
US11243465B2 (en) Plasma treatment method to enhance surface adhesion for lithography
KR102419049B1 (ko) 선택된 에칭 가스 혼합물에 의한 무기 레지스트의 트리밍 및 동작 변수의 조절
TW201801142A (zh) 整合架構之各種階段期間用於圖案化之修整方法
KR101745810B1 (ko) Euv 레지스트 감도 감소
Ho et al. Critical dimension uniformity via real-time photoresist thickness control
TW202326812A (zh) Euv光阻的混合式顯影
KR20240056523A (ko) Euv 레지스트의 하이브리드 현상

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170620

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170817

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20180116

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180410

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20180417

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20180518

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190201