KR102436638B1 - Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법 - Google Patents

Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법 Download PDF

Info

Publication number
KR102436638B1
KR102436638B1 KR1020177025498A KR20177025498A KR102436638B1 KR 102436638 B1 KR102436638 B1 KR 102436638B1 KR 1020177025498 A KR1020177025498 A KR 1020177025498A KR 20177025498 A KR20177025498 A KR 20177025498A KR 102436638 B1 KR102436638 B1 KR 102436638B1
Authority
KR
South Korea
Prior art keywords
molecular component
gas
substrate
gas molecular
ratio
Prior art date
Application number
KR1020177025498A
Other languages
English (en)
Other versions
KR20170117480A (ko
Inventor
비나약 라스토기
알록 란잔
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20170117480A publication Critical patent/KR20170117480A/ko
Application granted granted Critical
Publication of KR102436638B1 publication Critical patent/KR102436638B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

패터닝된 층 아래에 놓인 실리콘 함유 ARC(반사 방지 코팅)층을 패터닝하는 방법이 설명되며, 이 방법은, 플라즈마 처리 시스템으로의 공정 기체의 흐름을 구축하는 단계, 패터닝된 층에 대한 실리콘 함유 ARC층의 에칭 선택비를 증가시키는 공정 조건을 선택하는 단계, 공정 조건에 따라 플라즈마 소스를 이용하여 공정 기체로부터 플라즈마를 점화시키는 단계, 및 상기 패터닝된 층의 상기 개구 피처 패턴을 상기 실리콘 함유 ARC 층 내로 연장시키기 위해 상기 기판을 상기 플라즈마에 노출시키는 단계를 포함한다.

Description

ARC 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법
본 출원은 2015년 2월 13일에 출원된 동시 출원중인 미국 가특허 출원 제62/115,969호, 2015년 2월 13일에 출원된 미국 가특허 출원 제62/115,974호, 및 2015년 2월 13일에 출원된 미국 가특허 출원 제62/115,981호의 우선권과 그 이익을 청구하며, 이 가특허 출원들의 내용들 전체는 참조로서 본 명세서 내에 명백히 병합된다.
본 발명은 박막(thin film)을 패터닝하는 방법에 관한 것이며, 보다 상세하게는, 실리콘 함유 반사 방지 코팅(anti-reflective coating; ARC)을 패터닝하는 방법에 관한 것이다.
반도체 디바이스의 생산에 있어서 비용과 성능 면에서 경쟁력을 유지할 필요성은 집적 회로의 디바이스 밀도를 지속적으로 증가시키고자 하는 요구를 높인다. 그리고, 반도체 집적 회로의 소형화와 함께 고도의 집적도를 달성하기 위해, 반도체 기판 상에 형성된 회로 패턴의 규모를 감소시키기 위한 견고한 방법이 요구된다. 이러한 추세와 요구사항은 하나의 층에서 다른 층으로 회로 패턴을 전사하는 능력에 대한 계속 증가하는 과제들을 떠안겨준다.
포토리소그래피는 마스크 상의 기하학적 형상들 및 패턴들을 반도체 웨이퍼의 표면으로 전사함으로써 반도체 집적 회로를 제조하는데 사용되는 중추적인 기술이다. 원칙적으로, 현상액 내에서의 감광성 물질의 용해도를 변경시키기 위해 감광성 물질이 패터닝된 광에 노광된다. 이미징되고 현상되면, 현상 화학물질 내에서 용해되는 감광성 물질의 일부분은 제거되고, 회로 패턴이 남게 된다.
또한, 광학 리소그래피를 발전시키고 그 결함을 수용하기 위해, 30㎚ 이하의 기술 노드들을 위한 반도체 제조 산업을 갖추고자 대안적인 패터닝 전략을 수립하고자 하는 지속적인 진보가 이루어지고 있다. 다중 패터닝, 극자외선(Extreme Ultraviolet; EUV) 리소그래피, 및 직접 자기 조립(Direct Self Assembly; DSA) 패터닝과 연계된 광학 리소그래피(193i)가 공격적인 패터닝에 대한 늘어나는 요구를 충족시키는 것으로 평가되고 있는 유망한 후보자들 중의 일부인 것으로서 고려되고 있다. 그러나, 앞서 언급한 방법은 계속해서 감소하는 피처 크기에서의 '낮은 레지스트 버짓', '도래하는 결함성', '마스크 형상', '임계 치수 제어', '라인 가장자리 거칠기(line edge roughness; LER)', 및 '라인 폭 거칠기(line width roughness; LWR)'의 문제들로서 나타나는 그 고유의 일련의 과제들을 제공한다.
본 발명의 실시예들은 박막을 패터닝하는 방법에 관한 것이며, 보다 상세하게는, 실리콘 함유 반사 방지 코팅(ARC)을 패터닝하는 방법에 관한 것이다.
하나의 실시예에 따르면, 개구 피처 패턴을 정의하는 패터닝된 층 아래에 놓인 실리콘 함유 ARC(반사 방지 코팅)층을 패터닝하는 방법이 설명되며, 이 방법은, 플라즈마 처리 시스템으로의 공정 기체(process gas)의 흐름을 구축하는 단계, 패터닝된 층에 대한 실리콘 함유 ARC층의 에칭 선택비를 증가시키는 공정 조건을 선택하는 단계, 공정 조건에 따라 플라즈마 소스를 이용하여 공정 기체로부터 플라즈마를 점화시키는 단계, 및 패터닝된 층의 개구 피처 패턴을 실리콘 함유 ARC층 내로 연장시키기 위해 기판을 플라즈마에 노출시키는 단계를 포함한다.
첨부 도면들에서,
도 1a와 도 1b는 기판 상의 층을 패터닝하는 방법을 도시한다.
도 2는 실시예에 따른, 기판 상의 층을 패터닝하는 방법을 도시한다.
도 3은 실시예에 따른, 기판 상의 층을 패터닝하는 방법을 도시한 흐름도를 제공한다.
도 4a 내지 도 4c는 다양한 실시예들에 따른, 기판 상의 층을 패터닝하기 위한 에칭 화학물질의 조정에 관한 예시적인 데이터를 제공한다.
도 5는 실시예에 따른 플라즈마 처리 시스템의 개략도를 도시한다.
도 6은 다른 실시예에 따른 플라즈마 처리 시스템의 개략도를 도시한다.
도 7은 다른 실시예에 따른 플라즈마 처리 시스템의 개략도를 도시한다.
도 8은 다른 실시예에 따른 플라즈마 처리 시스템의 개략도를 도시한다.
도 9는 다른 실시예에 따른 플라즈마 처리 시스템의 개략도를 도시한다.
도 10은 다른 실시예에 따른 플라즈마 처리 시스템의 개략도를 도시한다.
도 11은 다른 실시예에 따른 플라즈마 처리 시스템의 개략도를 도시한다.
이하의 기재에서는, 설명을 목적으로 그리고 비제한적인 예시로서, 처리 시스템의 특정 기하구조, 및 여기에서 사용되는 다양한 컴포넌트들 및 공정들의 설명과 같은 특정 세부사항이 진술된다. 하지만, 본 발명은 이러한 구체적인 세부사항들로부터 벗어난 다른 실시예들에서 실시될 수 있다는 것을 이해해야 한다.
마찬가지로, 설명을 목적으로, 특정 숫자들, 물질들, 및 구성들이 본 발명의 완전한 이해를 제공하기 위해 진술된다. 그럼에도 불구하고, 본 발명은 특정 상세사항들 없이 실시될 수 있다. 또한, 도면들에 도시된 다양한 실시예들은 예시적인 표현들이며, 반드시 실척도로 도시될 필요는 없다는 것을 이해한다.
다양한 동작들은 본 발명을 이해하는데 가장 도움을 주는 방식으로, 여러 개의 개별적인 동작들로서 차례로 설명될 것이다. 하지만, 본 설명의 순서는 이러한 동작들이 반드시 순서 의존적임을 나타내는 것이라고 해석되어서는 안된다. 특히, 이러한 동작들은 제시된 순서로 수행될 필요는 없다. 설명된 동작들은 설명된 실시예와는 상이한 순서로 수행될 수 있다. 추가적인 실시예들에서, 다양한 추가적인 동작들이 수행될 수 있고/있거나 설명된 동작들은 생략될 수 있다.
본원에 사용된 용어 "복사선 감지 물질"은 포토레지스트와 같은 감광성 물질을 의미하고 이를 포함한다.
본원에서 사용된 "기판"은 일반적으로 본 발명에 따라 처리되는 물체를 가리킨다. 기판은 디바이스, 특히 반도체 또는 다른 전자 디바이스의 임의의 물질 부분 또는 구조물을 포함할 수 있고, 예컨대, 반도체 웨이퍼와 같은 기저 기판 구조물, 또는 박막과 같이 기저 기판 구조물 상에 있거나 그 위에 있는 층일 수 있다. 기판은 종래의 실리콘 기판일 수 있거나 또는 반도체 물질층을 포함하는 다른 벌크 기판일 수 있다. 본원에서 사용된 용어 "벌크 기판"은 실리콘 웨이퍼뿐만이 아니라, 실리콘 온 사파이어(silicon-on-sapphire; "SOS") 기판 및 실리콘 온 글래스(silicon-on-glass; "SOI") 기판과 같은 실리콘 온 인슐레이터(silicon-on-insulator; "SOI") 기판들, 기본 반도체 기저부 상의 실리콘의 에피택셜층들, 및 실리콘 게르마늄, 게르마늄, 갈륨 비소, 갈륨 질화물, 및 인듐 인과 같은 다른 반도체 또는 광전자 물질들을 의미하고 이를 포함한다. 기판은 도핑되거나 또는 도핑되지 않을 수 있다. 따라서, 기판은 패터닝되거나 또는 패터닝되지 않은, 임의의 특정 기본 구조물, 하위층 또는 상위층으로 한정되는 것으로 의도된 것이 아니며, 이보다는 이러한 임의의 층 또는 기본 구조물, 및 층들 및/또는 기본 구조물들의 임의의 조합을 포함하는 것으로 구상가능하다. 아래의 설명은 특정 유형의 기판들을 참조할 수 있지만, 이것은 단지 설명용일 뿐이며 제한성을 갖지 않는다.
위에서 언급한 바와 같이, 30㎚ 이하 기술 노드들에서의 공격적인 패터닝에 대한 요구를 충족시키고 상기 과제들을 해결하기 위해서는 진보된 방법이 필요하다. 그리고, 또한 언급된 바와 같이, 이러한 방법은 계속해서 감소하는 피처 크기에서의 '낮은 레지스트 버짓', '도래하는 결함성', '마스크 형상', '임계 치수 제어', '라인 가장자리 거칠기(LER)', 및 '라인 폭 거칠기(LWR)'의 문제들로서 나타나는 그 고유의 일련의 과제들을 제공한다. 초기 패터닝 방식, 즉, 리소그래피, DSA 등을 에칭 기술들과 성공적으로 통합시키는 능력은 견고한 패턴 전사에 있어서 가장 중요하다.
일례로서, 일단 회로 패턴이 초기에 형성되면, 여러가지 중에서, 광학 리소그래피를 사용하여 패터닝된 감광성 물질이든, 기계적으로 임프린트된 패터닝된 층이든, 또는 직접 자기 조립된 층이든간에, 패터닝된 물질은, 플라즈마 에칭 공정과 같은 건식 에칭 공정을 이용하여, 하위층으로의 회로 패턴의 전사를 허용하도록 반도체 기판의 다른 영역들을 노출시키는 동안, 반도체 기판의 일부 영역들을 마스킹하는 보호층으로서 역할을 한다. 초기 패터닝된 층에서 더 얇은 피처들을 생성하기 위해, 2층 마스크 또는 3층 마스크를 비롯하여, 다층 방식이 구현될 수 있다. 제2 층 또는 제3 층을 포함시킴으로써, 최상부의 패터닝된 층은 후속 건식 에칭 공정(들)을 견뎌내도록 관례적으로 선택된 두께보다 얇을 수 있다. 따라서, 후속 건식 에칭 기술들에 대한 요구가 높아지고 있다.
플라즈마 에칭 공정에서, 반도체 기판은 보호층을 최소한으로 제거하면서 하위층을 선택적으로 제거하는 에칭 화학물질에 노출된다. 이 에칭 화학물질은 보호층과의 불리한 반응을 최소화하면서 하위층과의 유리한 반응이 가능한 원자/분자 성분들을 갖는 이온화가능한 해리성 기체 혼합물로부터 유도된다. 플라즈마의 존재 하에서, 에칭 화학물질은 원자/분자 성분들과 에너지 전자들의 상호작용, 예를 들어, 충돌을 통해 형성되며, 이들 충돌 중 일부는, 예를 들어, 해리성 충돌이고, 다른 것은 이온화 충돌이다. 복잡한 메카니즘을 통해, 플라즈마는 에칭 선택비, 에칭율, 프로파일 등을 비롯하여, 여러 개의 상호 관련된 에칭 메트릭들에 대한 수용가능한 값들을 달성하도록 조작된다. 그리고, 계속해서 감소하는 패턴 규모에 대해 위에서 언급한 바와 같이, 다른 패턴 메트릭(예컨대, 거칠기, 결함성 등)을 만족스럽게 충족시키면서, 다른 물질 대비 하나의 물질을 선택적으로 제거하는 능력은 견고한 패턴 전사에 더욱 더 중요해지고 있을 뿐이다. 주목할만한 것으로서, 후속 건식 에칭 공정들의 에칭 선택비는 하위층들로의 완전한 패턴 전사를 허용하기 위해 리소그래픽 층을 보존해야 한다. 또한, 에칭 선택비가 중요하지만, 패턴 무결성(예를 들어, 거칠기, 결함성 등)은 유지되어야 하며, 보다 바람직하게는 개선되어야 한다.
이제 도면들을 참조하면, 동일한 참조 번호들은 여러 도면들에 걸쳐서 동일하거나 대응하는 부분들을 나타내며, 도 1a, 도 2 및 도 3은 실시예에 따른, 기판을 패터닝하는 방법을 도시한다. 본 방법은 도 1a 및 도 2에 도시되어 있으며, 도 3의 흐름도(300)에 의해 제시된다. 도 3에서 제시된 바와 같이, 흐름도(300)는 다층 마스크를 포함하는 기판(110)을 플라즈마 처리 시스템에 배치하는 단계(312)로 시작하며, 다층 마스크는 실리콘 함유 반사 방지 코팅(anti-reflective coating; ARC) 층(130) 위에, 제1 개구 피처 패턴(142)을 정의하는 패터닝된 층(140)을 갖는다. 기판(110)은 디바이스 층들(120, 125)을 더 포함한다. 디바이스 층들(120, 125)은 패턴이 전사될, 기판(110) 상의 임의의 박막 또는 구조물을 포함할 수 있다.
기판(110)은 벌크 실리콘 기판, 단결정 실리콘(도핑되거나 또는 도핑되지 않음) 기판, 반도체 온 인슐레이터(semiconductor-on-insulator; SOI) 기판, 또는 예를 들어, Si, SiC, SiGe, SiGeC, Ge, GaAs, InAs, InP뿐만이 아니라 다른 Ⅲ/Ⅴ족 또는 Ⅱ/Ⅵ족 화합물 반도체들을 포함한 임의의 다른 반도체 기판, 또는 이들의 임의의 조합을 포함할 수 있다(Ⅱ족, Ⅲ족, Ⅴ족, Ⅵ족은 주기율표의 고전적 또는 구 IUPAC 표기법을 가리키며, 개정된 또는 신규 IUPAC 표기법에 따르면, 이 족들은 각각 2족, 13족, 15족, 16족을 가리킨다). 기판은, 예컨대, 200㎜(밀리미터) 기판, 300㎜ 기판, 450㎜ 기판, 또는 훨씬 더 큰 기판과 같은 임의의 크기일 수 있다. 디바이스 층들(120, 125)은 패턴이 전사될 수 있는 임의의 막 또는 디바이스 구조물을 포함할 수 있다.
하나의 실시예에서, 패터닝된 층(140)은 기판(110)을 감광성 물질의 박막으로 스핀 코팅하고 리소그래피 공정을 사용하여 이 박막을 패터닝함으로써 준비될 수 있다. 예를 들어, 패터닝된 층(140)은 248㎚(나노미터) 레지스트, 193㎚ 레지스트, 157㎚ 레지스트, 극자외선(extreme ultraviolet; EUV) 레지스트, 전자빔 감지 레지스트, 또는 임의의 유형의 열적 프리징(freeze) 포토레지스트, 전자기(EM) 복사선 프리징 포토레지스트, 또는 화학적 프리징 포토레지스트를 포함할 수 있다.
리소그래피 공정 동안, 감광성 물질의 박층으로 기판(110)을 스핀 코팅하는 것이 추적 시스템에서 수행될 수 있다. 예를 들어, 추적 시스템은 도쿄 일렉트론 리미티드(TEL)로부터 상업적으로 입수가능한 Clean Track ACT® 8, ACT® 12, LITHIUS®, LITHIUSTM ProTM, 또는 LITHIUSTM Pro VTM 레지스트 코팅 및 현상 시스템을 포함할 수 있다. 기판 상에 포토레지스트 막을 형성하기 위한 다른 시스템 및 방법은 스핀 온 레지스트 기술 분야의 당업자에게 잘 알려진 것이다. 스핀 코팅 공정에 이어서, 기판(110)을 가열하기 위한 하나 이상의 제1 사후 도포 베이킹(post-application bake; PAB)과, 하나 이상의 제1 PAB들에 이어서, 기판(110)을 냉각시키기 위한 하나 이상의 냉각 사이클들이 수반될 수 있다.
그 후, 감광성 물질은 복사선 노광 시스템에서 이미징되고 현상 시스템에서 현상되어 제1 개구 피처 패턴(142)이 생성될 수 있다. 복사선 노광 시스템은 임의의 적절한 스테핑/스캐닝 리소그래피 시스템을 포함하는, 건식 또는 습식 포토리소그래피 시스템을 포함할 수 있다. 예를 들어, 포토리소그래피 시스템은 니콘 회사, ASML 네덜란즈 비.브이., 또는 캐논 회사로부터 상업적으로 입수가능할 수 있다. 대안적으로, 제1 이미지 패턴은 전자빔 리소그래피 시스템을 사용하여 형성될 수 있다. 현상 시스템은 상술한 추적 시스템 상에 위치할 수 있다. 현상 공정 앞에는 기판(110)을 가열하기 위한 하나 이상의 제1 사후 노광 베이킹(post-exposure bake; PEB)과, 하나 이상의 제1 PEB들에 이어서, 기판(110)을 냉각시키기 위한 하나 이상의 냉각 사이클들이 선행될 수 있다.
다른 실시예에서, 패터닝된 층(140)은 직접 자기 조립(direct self-assembly; DSA) 기술을 사용하여 준비될 수 있다. 여기서, 블록 공중합체가 기판(110)에 도포되고, 제1 및 제2 도메인들을 달성하기 위해 원하는 예측가능한 방식으로 자기 조직화되는 물질들로 이루어진 자기 조립된 블록 공중합체층이 형성되는데, 예를 들어, 중합체 블록들은 혼합되지 않고 적절한 조건 하에서 단일 블록 종을 주로 포함하는 도메인들을 형성하도록 분리될 것이다. 상 분리(phase segregation)의 완료시, 패터닝된 층(140)을 생성하도록 하나의 도메인이 선택적으로 제거된다.
블록 공중합체는, 예를 들어, 스핀 온 코팅, 스핀 캐스팅, 브러시 코팅 또는 증착을 비롯한, 다양한 방법들에 의해 퇴적될 수 있다. 예를 들어, 블록 공중합체는 톨루엔과 같은 유기 용매와 같은 캐리어 용매(carrier solvent) 내 용액으로서 제공될 수 있다. 블록 공중합체의 용액은 층상 구조물에 도포될 수 있고, 이어서 캐리어 용매가 제거되어 블록 공중합체가 제공된다. 본 발명은 이론에 구속되지 않지만, 물질들의 도메인 분리와 유사한 공정에서 상이한 블록 종이 열역학적 고려로 인해 자기 집성화되는 것으로 이해된다는 것을 알 것이다. 자기 조직화는 하위층들의 물리적 계면들뿐만이 아니라, 하위 물질층의 화학 종과 블록 공중합체 사슬 내의 적어도 하나의 중합체 블록들 사이의 화학적 친화력에 의해 유도된다. 따라서, 블록 공중합체들의 구성 블록들은 이러한 계면 상호작용 및 화학적 친화력으로 인해 기판(110) 상에서 자신들을 배향시킬 수 있다.
다른 실시예들에서, 패터닝된 층(140)은 다중 패터닝 기법과 조합하여 전술한 기술들 중 임의의 것을 사용하여 형성된다. 예를 들어, LLE(Litho-Litho-Etch), LFLE(Litho-Freeze-Litho-Etch) 또는 LELE(Litho-Etch-Litho-Etch) 이중 및 쿼드 패터닝 기법들을 사용하여 패터닝된 층(140)이 형성될 수 있다. 추가적으로, 예를 들어, 패터닝된 층(140)은 측벽 이미지 전사(sidewall image transfer; SIT) 이중 또는 쿼드 패터닝 기법의 결과일 수 있다.
실리콘 함유 ARC 층(130)은 또한 패터닝된 층(140)을 생성하기 위한 물질들을 도포하기 전에 물질의 박막으로 기판(110)을 스핀 코팅함으로써 준비될 수 있다. 실리콘 함유 ARC 층(130) 내에서의 실리콘 함량은 다양할 수 있다. 예를 들어, 일부 실시예들에서, 실리콘 함량은 40% 미만, 30% 미만, 또는 심지어 20% 미만일 수 있다. 그리고, 다른 실시예들에서, 실리콘 함량은 40%보다 클 수 있다.
제1 개구 피처 패턴(142)을 정의하는 패터닝된 층(140)은 제1 두께(145)와 제1 거칠기(147)(예를 들어, 라인 가장자리 거칠기(LER) 또는 라인 폭 거칠기(LWR))에 의해 특징화될 수 있다. 도 1b에 도시된 바와 같이, 패터닝된 층(140)의 개구 피처 패턴(142)을 실리콘 함유 ARC 층(130) 내로 전사하여 제2 개구 피처 패턴(152)을 형성하기 위해 종래의 에칭 공정을 사용할 때에는, 결함성 있는 마스크 조건(100)이 관찰된다. 주목할만한 관찰은 개구 피처 패턴(147)을 실리콘 함유 ARC 층(130) 및 아래에 있는 디바이스 층들(120, 125) 내로 완전히 전사하기에 불충분한 두께의 남아있는 패터닝된 층(140)의 제2 두께(155)를 포함한다. 다른 주목할만한 관찰은 제1 거칠기(147)를 초과하는, 즉 개구 피처 패턴들(142, 152)의 무결성을 악화시키는 제2 거칠기(157)를 포함한다.
따라서, 여러 실시예들에 따르면, 위에서 언급된 결함들의 일부 또는 전부를 해결하는 플라즈마 에칭 공정이 설명된다. 플라즈마 에칭 공정은 아래에 있는 실리콘 함유 ARC 층의 에칭 동안 패시베이션을 조절시킴으로써, 패터닝된 층(140)에 대한 에칭 선택비, 예를 들어, 포토레지스트 또는 다른 유기 물질들에 대한 에칭 선택비를 향상시키고, LER/LWR의 형태로 나타나는 패턴 거칠기를 향상시킨다. 패시베이션 종은 패터닝된 층(140) 상에 얇은 보호물을 형성할 수 있고, 따라서 실리콘 함유 ARC 층(130)의 에칭 동안 증가된 에칭 저항을 제공한다. 라인 가장자리 거칠기 및 라인 폭 거칠기가 또한 노출된 표면을 평활화하는 패시베이션 층의 형성의 결과로서 향상될 수 있다.
하나의 실시예에 따르면, 도 2에서 도시된 바와 같이, 패터닝된 층(140)의 개구 피처 패턴(142)은, 플라즈마 에칭 공정을 사용하여 제2 개구 피처 패턴(162)을 형성하도록, 실리콘 함유 ARC 층(130)에 전사된다. 플라즈마 에칭 공정은 플라즈마 처리 시스템으로의 공정 기체의 흐름을 구축하는 단계(314)로 시작한다.
그런 후, 단계(316)에서, 실리콘 함유 ARC 층(130)과 패터닝된 층(140)의 에칭 선택비를 증가시키는 플라즈마 에칭 공정에 대한 공정 조건이 선택된다.
그 후, 단계(318)에서, 공정 조건에 따라 플라즈마 소스를 사용하여 공정 기체로부터 플라즈마가 점화되고, 단계(320)에서, 패터닝된 층(140)의 개구 피처 패턴(142)을 실리콘 함유 ARC 층(130) 내로 확장시키기 위해 기판(110)이 플라즈마에 노출된다.
하나의 실시예에 따르면, 공정 기체는 C, F, 및 선택적으로 H로 구성되고 탄소 대 불소비가 1 대 4인 제1 기체(gaseous) 분자 성분과, C, F, 및 선택적으로 H로 구성되고 탄소 대 불소비가 1 대 3인 제2 기체 분자 성분을 함유한다. 그리고, 제2 기체 분자 성분의 유량(flow rate)에 대한 제1 기체 분자 성분의 유량의 비를 0.5 이상 2.5 미만으로 선택하거나, 또는 0.5 이상 1.5 미만으로 선택함으로써, 실리콘 함유 ARC 층(130)의 에칭율 대 패터닝된 층(140)의 에칭율의 비로서 측정된 에칭 선택비를 5 대 1을 초과하는 값까지 증가시키도록 C-F 분자비를 조정하는 플라즈마 에칭 공정을 위한 공정 조건이 선택된다.
[표 1]
Figure 112017087840616-pct00001
하나의 예시에서, 제1 기체 분자 성분은 CF4와 같은 CxFy 함유 기체(여기서, x와 y는 0보다 큰 실수이고, x/y = 1/4이다)를 포함하며, 제2 기체 분자 성분은 CHF3와 같은 CxHyFz 함유 기체(여기서, x, y 및 z는 0보다 큰 실수이고, x/z = 1/3이다)를 포함한다. CHF3 유량에 대한 CF4 유량의 비는 0.5 내지 2.0의 범위, 바람직하게는 0.8 내지 1.2의 범위일 수 있다. 대안적으로, 공정 기체의 조합된 흐름들에 대한 탄소 대 불소의 분자비는 0.274 내지 0.300의 범위일 수 있다.
[표 1]은 2개의 예시적인 공정 조건들, 즉 A 및 B를 제공하며, 여기서 CF4 대 CHF3의 유량비는 1.89에서 1.00까지 다양하다. LER 및 LWR은 10% 감소하고, 에칭 선택비(E.S.)는 66% 증가하는 반면, 라인 CD는 약간 감소한다. [표 1]의 데이터는 단면 주사 전자 현미경(scanning electron microscope; SEM) 및 평면 SEM 측정으로부터 획득되었으며, 이 데이터는 아래에 있는 실리콘 함유 ARC 층 에칭 동안 선택비 향상 및 패턴 거칠기 감소에 대한 패시베이션 종 조절의 영향을 명확하게 보여준다. 또한, 패터닝된 층(140)은 제1 임계 치수(critical dimension; CD)를 특징으로 하는 개구 피처 패턴(142)을 가질 수 있으며, 실리콘 함유 ARC 층(130)에 형성된 개구 피처 패턴(162)의 바닥에서 측정된 제2 CD와 제1 CD간의 차는 제1 CD의 20% 미만이다. [표 2]는 [표 1]의 유량비를 만족시키는 예시적인 유량들에 대한 대응하는 분자비들을 제공한다.
[표 2]
Figure 112017087840616-pct00002
[표 1]의 결과는 도 7을 참조하여 기술될 바와 같은 용량성 결합 플라즈마(capacitively coupled plasma; CCP) 반응기에서 플라즈마 에칭 공정을 수행함으로써 획득되었다. 또한, 플라즈마 내의 CFx, F 및 CHx 종들의 비율들의 추세를 관찰하기 위해, 광학 방출 분광법(optical emission spectroscopy; OES)을 사용하여, 플라즈마 에칭 공정 동안 광학 방출 스펙트럼이 획득되었다. 도 4a에서 도시된 바와 같이, 제1 분자 성분 대 제2 분자 성분의 유량비([표 1] 참조)의 조정은 CF/F, CF2/F, CH/F, CH/CF2, 및 H/F 함량의 증가를 야기시켰다.
공정 기체는 수소 원자, 이원 수소, 또는 C, 그리고 H와 F로 구성된 그룹으로부터 선택된 원소로 구성된 제3 기체 분자 성분을 더 포함할 수 있으며, 여기서 제3 기체 분자 성분의 탄소 대 불소비는 제1 및 제2 기체 분자 성분들의 최대 탄소 대 불소비보다 크다. 예를 들어, 제3 기체 분자 성분은 CxFy 함유 기체를 포함할 수 있고, x와 y는 0보다 큰 실수를 나타내며, x/y 비는 제1 및 제2 기체 분자 성분들의 C 대 F 비를 초과한다. 제3 기체 분자 성분은 C4F8을 포함할 수 있다.
[표 3]
Figure 112017087840616-pct00003
[표 3]은 4개의 예시적인 공정 조건들, 즉 a 내지 d를 제공하며, 여기서 CF4 대 CHF3의 유량비는 1.89로 설정되고, C4F8 몰비는 변한다. LER 및 LWR이 적당한 몰비에 대해 감소되고, 에칭 선택비(E.S.)가 무한대로 증가되는 반면에(패터닝된 층(140)상의 퇴적 조건), 라인 CD는 일부 경우에서 약간 감소된다. 플라즈마 에칭 공정의 결과는 사후 리소/초기 조건(즉, CD 및 거칠기)과 비교될 수 있다. 그러나, 본 발명자들은 적절한 몰비(예컨대 0.040)는 높은 에칭 선택비 및 낮은 거칠기를 생성한다는 것을 관찰하였다. [표 3]의 데이터는 단면 주사 전자 현미경(scanning electron microscope; SEM) 및 평면 SEM 측정으로부터 획득되었으며, 이 데이터는 아래에 있는 실리콘 함유 ARC 층 에칭 동안 선택비 향상 및 패턴 거칠기 감소에 대한 패시베이션 종 조절의 영향을 명확하게 보여준다. C4F8의 몰비는 0.100까지, 바람직하게는 0.050까지 이를 수 있다. 또한, 패터닝된 층(140)은 제1 임계 치수(CD)를 특징으로 하는 개구 피처 패턴(142)을 가질 수 있으며, 실리콘 함유 ARC 층(130)에 형성된 개구 피처 패턴(162)의 바닥에서 측정된 제2 CD와 제1 CD간의 차는 제1 CD의 20% 미만이다.
[표 4]는 C4F8을 첨가한 경우와 첨가하지 않은 경우의 공정 A의 예시적인 유량들에 대한 분자비들을 제공한다. 공정 기체의 조합된 흐름들에 대한 탄소 대 불소의 분자비는 0.270 내지 0.300의 범위, 바람직하게는 0.274 내지 0.300의 범위이다.
[표 4]
Figure 112017087840616-pct00004
대안적으로, 예컨대, 제3 기체 분자 성분은 CxHy 함유 기체를 포함할 수 있고, x와 y는 0보다 큰 실수를 나타내며, y/x 비는 제1 및 제2 기체 분자 성분들의 H 대 C 비를 초과한다. CxHy 함유 기체는 CH4, C2H4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10, 또는 C6H12, 또는 이들의 둘 이상의 임의의 조합을 포함할 수 있다.
제2 기체 분자 성분(또는 제1 분자 성분 또는 제3 분자 성분)의 유입은 조절될 수 있는데, 예를 들어 펄스 조절될 수 있다. 대안적으로, 제1 기체 분자 성분, 제2 기체 분자 성분, 및 제3 기체 분자 성분의 유량비들 중 임의의 유량비가 조절될 수 있다. 실리콘 함유 ARC 층(130) 내에 형성된 제2 개구 피처 패턴(162)의 프로파일의 유량 조절 및 제어를 위한 공정 조건이 선택될 수 있으며, 이 공정 조건은 펄스 진폭, 펄스 주파수, 펄스 듀티 사이클, 또는 펄스 파형, 또는 이들의 임의의 조합의 설정을 포함할 수 있다. 예로서, 펄스 조절은 오프 상태와 온 상태 사이에서 제2 기체 분자 성분의 유량을 펄싱하는 것을 포함할 수 있다.
다른 실시예에 따르면, 공정 기체는 C, F, 및 선택적으로 H로 구성된 제1 기체 분자 성분과, C, F, 및 선택적으로 H로 구성된 제2 기체 분자 성분과, H와 F로 구성된 그룹으로부터 선택된 원소와 C로 구성된 제3 기체 분자 성분을 함유하며, 제2 기체 분자 성분은 제1 기체 분자 성분과는 상이한 탄소 대 불소비를 가지며, 여기서 제3 기체 분자 성분의 탄소 대 불소비는 제1 및 제2 기체 분자 성분들의 최대 탄소 대 불소비보다 크다. 그리고, 플라즈마 에칭 공정을 위한 공정 조건의 선택은, 패터닝된 리소그래피층의 에칭율에 대한 실리콘 함유 ARC 층의 에칭율의 비로서 측정된 에칭 선택비를, 제1 및 제2 기체 분자 성분들만을 유입시키면서 상기 공정 조건을 사용하여 달성가능한 공칭 에칭 선택비에 비해 증가시키는 각각의 기체 분자 성분에 대한 유량을 규정하는 것을 포함한다.
하나의 예시에서, 제1 기체 분자 성분은 CF4와 같은 CxFy 함유 기체(여기서, x와 y는 0보다 큰 실수이다)를 포함하고, 제2 기체 분자 성분은 CHF3와 같은 CxHyFz 함유 기체(여기서, x, y 및 z는 0보다 큰 실수이다)를 포함하며, 제3 기체 분자 성분은 CH4와 같은 CxHy 함유 기체(여기서, x와 y는 0보다 큰 실수를 나타내며, y/x 비는 제1 및 제2 기체 분자 성분들의 H 대 C 비를 초과한다)를 포함한다. 대안적으로, CxHy 함유 기체는 C2H4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10, 또는 C6H12, 또는 이들의 둘 이상의 임의의 조합을 포함할 수 있다. CF4 유량에 대한 CHF3 유량의 비는 0 내지 2.0의 범위일 수 있다. 대안적으로, CHF3 유량에 대한 CF4 유량의 비는 0.5 내지 1.5의 범위, 바람직하게는 0.8 내지 1.2의 범위일 수 있다. 또 대안적으로, 공정 기체의 조합된 흐름들에 대한 탄소 대 불소의 분자비는 0.274 내지 0.300의 범위일 수 있다.
[표 5]
Figure 112017087840616-pct00005
[표 5]는 5개의 예시적인 공정 조건들, 즉 A 내지 E를 제공한다. 공정 A는 [표 1]에서 제공된 것과 동일한 공정 조건인데, 즉 CF4 대 CHF3의 유량비는 1.89이다. 공정 조건 C 내지 공정 조건 F의 경우에서는, 몰비가 증가된다. [표 5]에 의해 입증된 바와 같이, LER 및 LWR은 10% 감소하고, 에칭 선택비(E.S.)는 자리수가 더 증가하는 반면, 라인 CD는 약간 감소한다. 그러나, 과량의 CH4 첨가는 실질적인 퇴적을 야기하고, 에칭 공정은 실패로 끝난다. CH4의 몰비는 0.10까지, 또는 0.030까지 이를 수 있다. CF4의 유량에 대한 CH4의 유량의 비는 0.10까지 또는 0.06까지 이를 수 있고, CHF3의 유량에 대한 CH4의 유량의 비는 0.20까지, 또는 0.15까지 이를 수 있다.
[표 5]의 데이터는 단면 주사 전자 현미경(scanning electron microscope; SEM) 및 평면 SEM 측정으로부터 획득되었으며, 이 데이터는 아래에 있는 실리콘 함유 ARC 층 에칭 동안 선택비 향상 및 패턴 거칠기 감소에 대한 패시베이션 종 조절의 영향을 명확하게 보여준다. 또한, 패터닝된 층(140)은 제1 임계 치수(CD)를 특징으로 하는 개구 피처 패턴(142)을 가질 수 있으며, 실리콘 함유 ARC 층(130)에 형성된 개구 피처 패턴(162)의 바닥에서 측정된 제2 CD와 제1 CD간의 차는 제1 CD의 20% 미만이다. [표 6]은 5sccm(standard cubic centimeters per minute)의 CH4를 첨가한 경우와 첨가하지 않은 경우의 공정 A에서의 예시적인 유량들에 대한 대응하는 분자비들을 제공한다. 공정 기체의 조합된 흐름들에 대한 탄소 대 불소의 분자비는 0.270 내지 0.300의 범위, 바람직하게는 0.274 내지 0.300의 범위이다.
[표 6]
Figure 112017087840616-pct00006
[표 5]의 결과는 도 7을 참조하여 기술될 바와 같은 용량성 결합 플라즈마(CCP) 반응기에서 수행되었다. 또한, 플라즈마 내의 CFx, F 및 CHx 종들의 비율들의 추세를 관찰하기 위해, 광학 방출 분광법(OES)을 사용하여, 플라즈마 에칭 공정 동안 광학 방출 스펙트럼이 획득되었다. 도 4b에서 도시된 바와 같이, 제2 분자 성분 대 제1 분자 성분의 유량비([표 5] 참조)의 조정은 CF/F, CF2/F, CH/F, 및 H/F 함량의 증가와, CH/CF2 함량의 감소를 야기시켰다.
공정 기체는 수소 원자, 이원 수소, 또는 C, 그리고 H와 F로 구성된 그룹으로부터 선택된 원소로 구성된 제4 기체 분자 성분을 더 포함할 수 있으며, 여기서 제3 기체 분자 성분의 탄소 대 불소비는 제1 및 제2 기체 분자 성분들의 최대 탄소 대 불소비보다 크다. 예를 들어, 제4 기체 분자 성분은 CxFy 함유 기체를 포함할 수 있다. 여기서, x와 y는 0보다 큰 실수를 나타내며, x/y 비는 제1 및 제2 기체 분자 성분들의 C 대 F 비를 초과한다. 제4 기체 분자 성분은 C4F8을 포함할 수 있다.
제3 기체 분자 성분(또는 제1 분자 성분, 또는 제2 분자 성분, 또는 제4 분자 성분)의 유입은 조절될 수 있는데, 예를 들어 펄스 조절될 수 있다. 대안적으로, 제1 기체 분자 성분, 제2 기체 분자 성분, 및 제3 기체 분자 성분의 유량비들 중 임의의 유량비가 조절될 수 있다. 실리콘 함유 ARC 층(130) 내에 형성된 제2 개구 피처 패턴(162)의 프로파일의 유량 조절 및 제어를 위한 공정 조건이 선택될 수 있으며, 이 공정 조건은 펄스 진폭, 펄스 주파수, 펄스 듀티 사이클, 또는 펄스 파형, 또는 이들의 임의의 조합의 설정을 포함할 수 있다. 예로서, 펄스 조절은 오프 상태와 온 상태 사이에서 제2 기체 분자 성분의 유량을 펄싱하는 것을 포함할 수 있다.
또다른 실시예에 따르면, 공정 기체는 C, F, 및 선택적으로 H로 구성된 제1 기체 분자 성분과, C, F, 및 선택적으로 H로 구성된 선택적인 제2 기체 분자 성분과, 수소 원자, 이원 수소, 또는 CxHy 함유 기체를 함유한 제3 기체 분자 성분을 함유하며, 제2 기체 분자 성분은 제1 기체 분자 성분과는 상이한 탄소 대 불소비를 가지며, 여기서 x와 y는 0보다 큰 실수이다. 그리고, 플라즈마 에칭 공정을 위한 공정 조건의 선택은, 패터닝된 리소그래피층의 에칭율에 대한 실리콘 함유 ARC 층의 에칭율의 비로서 측정된 에칭 선택비를, 제3 기체 분자 성분의 유입을 배제하면서 상기 공정 조건을 사용하여 달성가능한 공칭 에칭 선택비에 비해 증가시키는 각각의 분자 성분에 대한 유량을 규정하는 것을 포함한다.
[표 7]
Figure 112017087840616-pct00007
하나의 예시에서, 제1 기체 분자 성분은 CF4와 같은 CxFy 함유 기체(여기서, x와 y는 0보다 큰 실수이다)를 포함하고, 선택적인 제2 기체 분자 성분은 CHF3와 같은 CxHyFz 함유 기체(여기서, x, y 및 z는 0보다 큰 실수이다)를 포함하며, 제3 기체 분자 성분은 수소 원자, 이원 수소, 또는 CH4와 같은 CxHy 함유 기체(여기서, x와 y는 0보다 큰 실수를 나타내며, y/x 비는 제1 및 제2 기체 분자 성분들의 H 대 C 비를 초과한다)를 포함한다. 제3 기체 분자 성분은 H2를 포함할 수 있다. 대안적으로, 제3 기체 분자 성분은 CH4, C2H4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10, 또는 C6H12, 또는 이들의 둘 이상의 임의의 조합을 포함한다. CHF3 유량에 대한 CF4 유량의 비는 0.5 내지 1.5의 범위, 바람직하게는 0.8 내지 1.2의 범위일 수 있다. 대안적으로, 공정 기체의 조합된 흐름들에 대한 탄소 대 불소의 분자비는 0.274 내지 0.300의 범위일 수 있다.
[표 7]은 3개의 예시적인 공정 조건들, 즉 G 내지 I를 제공한다. 공정 조건 G 내지 공정 조건 I의 경우에서는, CF4에 대한 H2 첨가물의 몰비가 증가된다. [표 7]에 의해 입증된 바와 같이, LER 및 LWR은 미미하게 증가하고(하지만, 공정 A로부터는 감소됨) 에칭 선택비(E.S.)는 증가되는 반면, 라인 CD는 일부 경우들에서 약간 감소한다. 그러나, 과량의 H2 첨가는 에칭 선택비를 실질적으로 감소시킨다. H2 몰비는 0.100까지 이를 수 있다. CF4의 유량에 대한 H2의 유량의 비는 0.20까지 이를 수 있다.
[표 8]
Figure 112017087840616-pct00008
[표 7]의 데이터는 횡단면 SEM(주사 전자 현미경) 및 탑 다운 SEM 측정으로부터 얻어졌으며, 이는 ARC 층 에칭을 포함하는 하부 실리콘 동안 선택비 향상 및 패턴 거칠기 감소에 대한 패시베이션 종 조절의 효과를 명확하게 보여준다. 또한, 패터닝된 층(140)은 제1 임계 치수(CD)를 특징으로 하는 개구 피처 패턴(142)을 가질 수 있으며, 실리콘 함유 ARC 층(130)에 형성된 개구 피처 패턴(162)의 바닥에서 측정된 제2 CD와 제1 CD간의 차는 제1 CD의 20% 미만이다. [표 8]은 H2를 첨가한 경우와 첨가하지 않은 경우의 공정 G 내지 공정 I에서의 예시적인 유량들에 대한 대응하는 분자비들을 제공한다. 공정 기체의 조합된 흐름들에 대한 탄소 대 불소의 분자비는 0.270 내지 0.300의 범위, 바람직하게는 0.274 내지 0.300의 범위일 수 있다. 제1 및 제2 기체 분자 성분들의 조합된 흐름들에 대한 수소 대 불소의 분자비는 0.10까지 이를 수 있다.
[표 7]의 결과는 도 7을 참조하여 기술될 바와 같은 용량성 결합 플라즈마(CCP) 반응기에서 수행되었다. 또한, 플라즈마 내의 CFx, F 및 CHx 종들의 비율들의 추세를 관찰하기 위해, 광학 방출 분광법(OES)을 사용하여, 플라즈마 에칭 공정 동안 광학 방출 스펙트럼이 획득되었다. 도 4c에서 도시된 바와 같이, 제2 분자 성분 대 제1 분자 성분의 유량비([표 7] 참조)의 조정은 CF/F, CF2/F, CH/F, 및 H/F 함량의 증가와, CH/CF2 함량의 감소를 야기시켰다.
제3 기체 분자 성분(또는 제1 분자 성분 또는 제2 분자 성분)의 유입은 조절될 수 있는데, 예를 들어 펄스 조절될 수 있다. 대안적으로, 제1 기체 분자 성분, 제2 기체 분자 성분, 및 제3 기체 분자 성분의 유량비들 중 임의의 유량비가 조절될 수 있다. 실리콘 함유 ARC 층(130) 내에 형성된 제2 개구 피처 패턴(162)의 프로파일의 유량 조절 및 제어를 위한 공정 조건이 선택될 수 있으며, 이 공정 조건은 펄스 진폭, 펄스 주파수, 펄스 듀티 사이클, 또는 펄스 파형, 또는 이들의 임의의 조합의 설정을 포함할 수 있다. 예로서, 펄스 조절은 오프 상태와 온 상태 사이에서 제2 기체 분자 성분의 유량을 펄싱하는 것을 포함할 수 있다.
플라즈마 에칭 공정은 기판(110)을 지지하는 기판 홀더를 무선 주파수(radio frequency; RF) 전력으로 전기적으로 바이어싱하는 것, 및 선택적으로 전기적 바이어싱을 위해 RF 전력을 펄싱하는 것을 포함할 수 있다. 예시로서, 플라즈마 에칭 공정은 다음을 포함하는 공정 파라미터 공간을 포함할 수 있다: 약 1000mtorr(밀리토르)까지(예를 들어, 약 200mtorr까지, 또는 약 50 내지 150mtorr까지) 이르는 챔버 압력, 약 2000sccm(standard cubic centimeters per minute)까지(예를 들어, 약 1000sccm까지, 또는 약 1sccm 내지 약 200sccm) 이르는 제1 기체 분자 성분의 유량, 약 2000sccm까지(예를 들어, 약 1000sccm까지, 또는 약 1sccm 내지 약 100sccm) 이르는 제2 기체 분자 성분의 유량, 약 2000sccm까지(예를 들어, 약 1000sccm까지, 또는 약 1sccm 내지 약 100sccm) 이르는 제3 기체 분자 성분의 유량, 약 2000sccm까지(예를 들어, 약 1000sccm까지) 이르는 선택적인 영족 기체(noble gas)(예를 들어, He 또는 Ar) 유량, 약 2000W(와트)까지(예를 들어, 약 1000W까지, 또는 약 600W까지) 이르는 상부 전극(예를 들어, 도 7의 엘리먼트(770)) RF 전력, 약 1000W까지(예를 들어, 약 60W까지, 또는 약 100W까지, 또는 50W까지) 이르는 하부 전극(예를 들어, 도 7의 엘리먼트(522)) RF 바이어스, 약 1000Hz까지(예를 들어, 약 100Hz까지, 또는 약 10Hz까지, 또는 1Hz까지) 이르는 선택적인 하부 전극 펄스 주파수, 및 약 1:4(20%-ON/80%-OFF) 내지 약 4:1(80%-ON/20%-OFF)(예를 들어, 약 1:2 내지 약 2:1, 또는 약 1:1(50%-ON/50%-OFF))에 이르는 선택적인 하부 전극 펄스 듀티 사이클. 또한, 상부 전극 바이어스 주파수는 약 0.1MHz 내지 약 200MHz, 예컨대, 약 60MHz의 범위일 수 있다. 또한, 하부 전극 바이어스 주파수는 약 0.1MHz 내지 약 100 MHz, 예컨대, 약 2MHz의 범위일 수 있다.
흐름 조절은 RF 전력의 펄싱과 실질적으로 동위상일 수 있다(즉, 기체는 RF 전력 온 상태 동안 유입된다). 대안적으로, 기체 흐름은 RF 전력의 펄싱 동안 연속적일 수 있다. 또 대안적으로, 흐름 조절은 RF 전력의 펄싱과 실질적으로 위상이 어긋날 수 있다(즉, 기체는 RF 전력 오프 상태 동안 유입된다). 흐름 조절은 RF 전력의 펄싱과 실질적으로 위상이 어긋날 수 있지만, 일부 중첩될 수 있다. 또한, RF 전력 레벨(또는 RF 진폭)은 하나의 펄스에서 다음 펄스까지 변할 수 있다. 예를 들어, RF 전력 레벨은 기판의 전기적 바이어싱 동안 하향 경사 또는 감소될 수 있다.
하나의 실시예에서, 전기적 바이어싱을 위한 RF 전력의 펄싱은, (i) 제1 지속기간 동안 제1 RF 전력 레벨에서 RF 전력을 펄싱하는 것; (ii) 제1 기체(제1 기체 분자 성분)를 연속적으로 유동시키는 것, 제1 기체는 1차 에천트임; 및 (iii) 제2 기체(제2 기체 분자 성분)를 연속적으로 유동시키는 것을 포함할 수 있다. 대안적인 실시예들에서, 제1 기체, 또는 제2 기체, 또는 둘 다는 펄싱될 수 있다.
다른 실시예에서, 전기적 바이어싱을 위한 RF 전력의 펄싱은, (i) 제1 지속기간 동안 제1 RF 전력 레벨에서 RF 전력을 펄싱하는 것; 및 (ii) 제2 지속기간 동안 제2 RF 전력 레벨에서 RF 전력을 펄싱하는 것을 포함할 수 있고, 제2 RF 전력 레벨은 제1 RF 전력 레벨보다 작고, 제2 지속기간은 제1 지속기간에 뒤따른다. 다른 실시예에서, 전기적 바이어싱을 위한 RF 전력의 펄싱은, (iii) 제3 지속기간 동안 제3 RF 전력 레벨에서 RF 전력을 펄싱하는 것을 더 포함할 수 있고, 제3 RF 전력 레벨은 제2 RF 전력 레벨보다 작고, 제3 지속기간은 제2 지속기간에 뒤따른다. 또한, 다른 실시예들에서, 전기적 바이어싱을 위한 RF 전력의 펄싱은, (iv) 제1 기체(제1 기체 분자 성분)를 연속적으로 유동시키는 것, 제1 기체는 1차 에천트임; 및 (v) 제2 기체(제2 기체 분자 성분)를 연속적으로 유동시키는 것을 더 포함하며, 제2 기체는 중합화 또는 패시베이팅 기체이다. 대안적인 실시예들에서, 제1 기체, 또는 제2 기체, 또는 둘 다는 펄싱될 수 있다.
또다른 실시예에서, 전기적 바이어싱을 위한 RF 전력의 펄싱은, (i) 제1 지속기간 동안 제1 RF 전력 레벨에서 RF 전력을 펄싱하는 것; (ii) 제2 지속기간 동안 제2 RF 전력 레벨에서 RF 전력을 펄싱하는 것, 제2 RF 전력 레벨은 제1 RF 전력 레벨보다 작고, 제2 지속기간은 제1 지속기간에 뒤따름, 및 (iii) 제3 지속기간 동안 전력의 펄싱을 종료하는 것을 포함할 수 있고, 제3 지속기간은 제2 지속기간에 뒤따른다.
다른 실시예들에서, RF 전력은 상부 전극이 아닌 하부 전극에 공급될 수 있다. 또다른 대안적인 실시예들에서, RF 전력 및/또는 DC 전력은 도 5 내지 도 11을 통해 설명된 임의의 방식으로 결합될 수 있다.
특정 에칭 공정을 수행하는 지속기간은 DOE(design of experiment) 기술들 또는 이전의 경험을 사용하여 결정될 수 있지만, 광학 검출을 사용하여 결정될 수도 있다. 광학 검출의 하나의 가능한 방법은 에칭 공정의 변화로 인해 플라즈마 화학물질의 변화가 언제 발생하는지를 나타내는, 플라즈마 영역으로부터의 방출된 광 스펙트럼의 일부를 모니터링하는 것이다. 모니터링된 파장들에 대응하는 방출 레벨들이 특정 임계값을 교차(예를 들어, 특정 레벨 아래로 감소, 또는 특정 레벨 위로 증가)한 후에는, 에칭 공정의 천이점에 도달한 것으로 간주될 수 있다. 사용되는 에칭 화학물질 및 에칭되는 물질층에 고유한 다양한 파장들이 사용될 수 있다. 또한, 에칭 시간은 오버 에칭의 기간을 포함하도록 연장될 수 있으며, 여기서 오버 에칭 기간은 에칭 공정의 개시와 천이 검출과 관련된 시간 사이의 시간의 일부분(즉, 1 내지 100%)으로 구성된다.
상술한 실리콘 함유 ARC 층을 패터닝하는 방법들 중 하나 이상은 도 7에서 설명한 것과 같은 플라즈마 처리 시스템을 이용하여 수행될 수 있다. 그러나, 논의된 방법들은 이 예시적인 표현에 의해 그 범위가 한정되지 않는다. 상술한 다양한 실시예들에 따라 기판 상에 게이트 스택을 패터닝하는 방법은 도 5 내지 도 11에서 예시되고 후술되는 플라즈마 처리 시스템들 중 어느 하나에서 수행될 수 있다.
하나의 실시예에 따르면, 위에서 확인된 공정 조건들을 수행하도록 구성된 플라즈마 처리 시스템(500)이 도 5에서 도시되며, 이 플라즈마 처리 시스템(500)은 플라즈마 처리 챔버(510), 처리될 기판(525)이 부착되는 기판 홀더(520), 및 진공 펌핑 시스템(550)을 포함한다. 기판(525)은 반도체 기판, 웨이퍼, 평면 패널 디스플레이, 또는 액정 디스플레이일 수 있다. 플라즈마 처리 챔버(510)는 기판(525)의 표면 부근의 플라즈마 처리 영역(545)에서 플라즈마의 생성을 용이하게 하도록 구성될 수 있다. 이온화가능한 기체 또는 공정 기체들의 혼합물이 기체 분배 시스템(540)을 통해 유입된다. 공정 기체의 주어진 흐름에 대해, 공정 압력은 진공 펌핑 시스템(550)을 사용하여 조정된다. 플라즈마는 미리 결정된 물질 공정에 고유한 물질들을 생성하고, 및/또는 기판(525)의 노출된 표면들으로부터 물질의 제거를 돕기 위해 이용될 수 있다. 플라즈마 처리 시스템(500)은 200㎜ 기판들, 300㎜ 기판들, 또는 이보다 큰 기판들과 같은, 임의의 원하는 크기의 기판들을 처리하도록 구성될 수 있다.
기판(525)은 기계적 클램핑 시스템 또는 전기적 클램핑 시스템(예를 들어, 정전 클램핑 시스템)과 같은 클램핑 시스템(528)을 통해 기판 홀더(520)에 부착될 수 있다. 또한, 기판 홀더(520)는 기판 홀더(520) 및 기판(525)의 온도를 조정 및/또는 제어하도록 구성된 가열 시스템(도시되지 않음) 또는 냉각 시스템(도시되지 않음)을 포함할 수 있다. 가열 시스템 또는 냉각 시스템은 냉각시 기판 홀더(520)로부터 열을 받고 열교환기 시스템(도시되지 않음)으로 열을 전달하거나, 가열시 열 교환기 시스템으로부터 기판 홀더(520)로 열을 전달하는 열 전달 유체의 재순환 흐름을 포함할 수 있다. 다른 실시예들에서, 저항성 가열 엘리먼트들, 또는 열 전기 히터/냉각기와 같은 가열/냉각 엘리먼트들이 기판 홀더(520)뿐만이 아니라, 플라즈마 처리 챔버(510)의 챔버 벽 및 플라즈마 처리 시스템(500) 내의 임의의 다른 컴포넌트 내에 포함될 수 있다.
추가적으로, 기판(525)과 기판 홀더(520) 사이의 기체 갭 열 전도성을 향상시키기 위해 열 전달 기체가 후면 기체 공급 시스템(526)을 통해 기판(525)의 후면으로 전달될 수 있다. 이러한 시스템은 승온 시 또는 감온 시 기판의 온도 제어가 요구될 때 이용될 수 있다. 예를 들어, 후면 기체 공급 시스템은 헬륨 기체 갭 압력이 기판(525)의 중앙과 가장자리 사이에서 독립적으로 변할 수 있는 2개 구역 기체 분배 시스템을 포함할 수 있다.
도 5에서 도시된 실시예에서, 기판 홀더(520)는 전극(522)을 포함할 수 있으며, 이 전극을 통해 RF 전력이 플라즈마 처리 영역(545) 내의 처리 플라즈마에 결합된다. 예를 들어, 기판 홀더(520)는 RF 발생기(530)로부터 선택적인 임피던스 정합 네트워크(532)를 거쳐서 기판 홀더(520)로 RF 전력이 전송되는 것을 통해 RF 전압에서 전기적으로 바이어스될 수 있다. RF 바이어스는 전자를 가열하여 플라즈마를 형성하고 유지시키는 역할을 할 수 있다. 이 구성에서, 본 시스템은 반응 이온 에칭(reactive ion etch; RIE) 반응기로서 동작할 수 있으며, 챔버 및 상부 기체 주입 전극은 접지면들로서 역할을 한다. RF 바이어스를 위한 전형적인 주파수는 약 0.1MHz 내지 약 100MHz의 범위일 수 있다. 플라즈마 처리를 위한 RF 시스템은 당업자에게 잘 알려져 있는 것이다.
또한, RF 전압에서의 전극(522)의 전기적 바이어스는 펄스형 바이어스 신호 제어기(531)를 사용하여 펄싱될 수 있다. RF 발생기(530)로부터의 RF 전력 출력은, 예를 들어, 오프 상태와 온 상태 사이에서 펄싱될 수 있다.
대안적으로, RF 전력은 다중 주파수들에서 기판 홀더 전극에 인가된다. 또한, 임피던스 정합 네트워크(532)는 반사된 전력을 감소시킴으로써 플라즈마 처리 챔버(510)에서 플라즈마로의 RF 전력의 전달을 향상시킬 수 있다. 정합 네트워크 토폴로지(예를 들어, L형, π형, T형 등) 및 자동 제어 방법은 당업자에게 잘 알려져 있는 것이다.
기체 분배 시스템(540)은 공정 기체들의 혼합물을 유입시키기 위한 샤워헤드 설계를 포함할 수 있다. 대안적으로, 기체 분배 시스템(540)은 공정 기체들의 혼합물을 유입시키고 기판(525) 위에서의 공정 기체들의 혼합물의 분배를 조정하기 위한 다중 존 샤워헤드 설계를 포함할 수 있다. 예를 들어, 다중 존 샤워헤드 설계는 기판(525) 위의 실질적으로 중앙 영역으로의 공정 기체 흐름 또는 조성의 양에 대해, 기판(525) 위의 실질적으로 주변 영역으로의 공정 기체 흐름 또는 조성을 조정하도록 구성될 수 있다.
진공 펌핑 시스템(550)은 초당 5000리터(및 그 이상)까지의 펌핑 속도가 가능한 터보 분자 진공 펌프(turbo-molecular vacuum pump; TMP) 및 챔버 압력을 스로틀링하기 위한 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭에 이용되는 종래의 플라즈마 처리 디바이스들에서는, 초당 1000 내지 3000리터의 TMP가 사용될 수 있다. TMP는 일반적으로 약 50mTorr 미만의 저압 처리에 유용하다. 고압 처리의(즉, 약 100mTorr보다 큰) 경우, 기계식 부스터 펌프 및 건식 러핑(roughing) 펌프가 사용될 수 있다. 또한, 챔버 압력을 모니터링하기 위한 디바이스(도시되지 않음)가 플라즈마 처리 챔버(510)에 결합될 수 있다.
제어기(555)는 플라즈마 처리 시스템(500)에 대한 입력들을 전달 및 활성화시키는 것은 물론, 플라즈마 처리 시스템(500)으로부터의 출력들을 모니터링하기에 충분한 제어 전압들을 생성할 수 있는 마이크로프로세서, 메모리, 및 디지털 I/O 포트를 포함한다. 또한, 제어기(555)는 RF 발생기(530), 펄스형 바이어스 신호 제어기(531), 임피던스 정합 네트워크(532), 기체 분배 시스템(540), 진공 펌핑 시스템(550)뿐만이 아니라, 기판 가열/냉각 시스템(도시되지 않음), 후면 기체 공급 시스템(526), 및/또는 정전 클램핑 시스템(528)에 결합되고 이것들과 정보를 교환할 수 있다. 예를 들어, 기판(525) 상에서, 플라즈마 에칭 공정과 같은 플라즈마 지원 공정을 수행하기 위한 공정 레시피에 따라 플라즈마 처리 시스템(500)의 전술한 컴포넌트들에 대한 입력들을 활성화시키기 위해 메모리에 저장된 프로그램이 이용될 수 있다.
제어기(555)는 플라즈마 처리 시스템(500)에 대해 국부적으로 위치될 수 있거나, 또는 플라즈마 처리 시스템(500)에 대해 원격 위치될 수 있다. 예를 들어, 제어기(555)는 직접 연결, 인트라넷, 및/또는 인터넷을 사용하여 플라즈마 처리 시스템(500)과 데이터를 교환할 수 있다. 제어기(555)는, 예를 들어, 고객 사이트(즉, 디바이스 메이커 등)에서의 인트라넷에 결합될 수 있거나, 또는, 예를 들어, 판매자 사이트(즉, 장비 제조업체)에서의 인트라넷에 결합될 수 있다. 대안적으로 또는 추가적으로, 제어기(555)는 인터넷에 결합될 수 있다. 또한, 다른 컴퓨터(즉, 제어기, 서버 등)는 직접 연결, 인트라넷, 및/또는 인터넷을 통해 데이터를 교환하기 위해 제어기(555)에 액세스할 수 있다.
도 6에서 도시된 실시예에서, 플라즈마 처리 시스템(600)은 도 5의 실시예와 유사할 수 있고, 잠재적으로 플라즈마 밀도를 증가시키고 및/또는 플라즈마 처리 균일성을 향상시키기 위해, 도 5를 참조하여 기술된 컴포넌트들에 더하여, 고정형, 또는 기계적으로 또는 전기적으로 회전하는 자기장 시스템(660)을 더 포함할 수 있다. 또한, 제어기(555)는 회전 속도 및 장 세기를 조절하기 위해 자기장 시스템(660)에 결합될 수 있다. 회전 자기장의 설계 및 구현은 당업자에게 잘 알려져 있는 것이다.
도 7에서 도시된 실시예에서, 플라즈마 처리 시스템(700)은 도 5 또는 도 6의 실시예와 유사할 수 있고, RF 전력이 RF 발생기(772)로부터 선택적인 임피던스 정합 네트워크(774)를 거쳐서 결합될 수 있는 상부 전극(770)을 더 포함할 수 있다. 상부 전극으로의 RF 전력의 인가를 위한 주파수는 약 0.1MHz 내지 약 200MHz의 범위일 수 있다. 추가적으로, 하부 전극으로의 전력의 인가를 위한 주파수는 약 0.1MHz 내지 약 100MHz의 범위일 수 있다. 또한, 제어기(555)는 상부 전극(770)으로의 RF 전력의 인가를 제어하기 위해 RF 발생기(772) 및 임피던스 정합 네트워크(774)에 결합된다. 상부 전극의 설계 및 구현은 당업자에게 잘 알려져 있는 것이다. 상부 전극(770) 및 기체 분배 시스템(540)은 도시된 바와 같이 동일한 챔버 어셈블리 내에서 설계될 수 있다. 대안적으로, 상부 전극(770)은 기판(525) 위에서의 플라즈마에 결합된 RF 전력 분배를 조정하기 위한 다중 존 전극 설계를 포함할 수 있다. 예를 들어, 상부 전극(770)은 중앙 전극과 가장자리 전극으로 분리될 수 있다.
도 8에서 도시된 실시예에서, 플라즈마 처리 시스템(800)은 도 7의 실시예와 유사할 수 있고, 기판(525)을 마주보고 있는 상부 전극(770)에 결합된 직류(DC) 전력 공급기(890)를 더 포함할 수 있다. 상부 전극(770)은 전극판을 포함할 수 있다. 전극판은 실리콘 함유 전극판을 포함할 수 있다. 또한, 전극판은 도핑된 실리콘 전극판을 포함할 수 있다. DC 전력 공급기(890)는 가변 DC 전력 공급기를 포함할 수 있다. 추가적으로, DC 전력 공급기(890)는 바이폴라 DC 전력 공급기를 포함할 수 있다. DC 전력 공급기(890)는 DC 전력 공급기(890)의 극성, 전류, 전압, 또는 온/오프 상태를 모니터링하는 것, 조정하는 것, 또는 제어하는 것 중 적어도 하나를 수행하도록 구성된 시스템을 더 포함할 수 있다. 플라즈마가 형성되면, DC 전력 공급기(890)는 탄도(ballistic) 전자빔의 형성을 용이하게 한다. 전기 필터(도시되지 않음)는 DC 전력 공급기(890)로부터 RF 전력을 분리시키는데 이용될 수 있다.
예를 들어, DC 전력 공급기(890)에 의해 상부 전극(770)에 인가되는 DC 전압은 약 -2000볼트(V) 내지 약 1000V의 범위일 수 있다. 바람직하게는, DC 전압의 절대값은 약 100V 이상의 값을 갖고, 보다 바람직하게는, DC 전압의 절대값은 약 500V 이상의 값을 갖는다. 추가적으로, DC 전압은 음의 극성을 갖는 것이 바람직하다. 또한, DC 전압은 상부 전극(770)의 표면 상에서 발생된 자기 바이어스 전압보다 큰 절대값을 갖는 음전압인 것이 바람직하다. 기판 홀더(520)를 마주보고 있는 상부 전극(770)의 표면은 실리콘 함유 물질로 구성될 수 있다.
도 9에서 도시된 실시예에서, 플라즈마 처리 시스템(900)은 도 5 및 도 6의 실시예들과 유사할 수 있고, RF 전력이 RF 발생기(982)를 통해 선택적인 임피던스 정합 네트워크(984)를 거쳐서 결합될 수 있는 유도 코일(980)을 더 포함할 수 있다. RF 전력은 유도 코일(980)로부터 유전체 윈도우(도시되지 않음)를 거쳐서 플라즈마 처리 영역(545)에 유도 결합된다. 유도 코일(980)로의 RF 전력의 인가를 위한 주파수는 약 10 MHz 내지 약 100MHz의 범위일 수 있다. 마찬가지로, 척 전극으로의 전력의 인가를 위한 주파수는 약 0.1MHz 내지 약 100MHz의 범위일 수 있다. 또한, 플라즈마 처리 영역(545) 내의 플라즈마와 유도 코일(980) 사이의 용량성 결합을 감소시키기 위해 슬롯형 패러데이 차폐부(도시되지 않음)가 사용될 수 있다. 또한, 제어기(555)는 유도 코일(980)으로의 전력의 인가를 제어하기 위해 RF 발생기(982) 및 임피던스 정합 네트워크(984)에 결합될 수 있다.
대안적인 실시예에서, 도 10에서 도시된 바와 같이, 플라즈마 처리 시스템(1000)은 도 9의 실시예와 유사할 수 있고, 변압기 결합 플라즈마(transformer coupled plasma; TCP) 반응기에서와 같이 위에서 플라즈마 처리 영역(545)과 통신하는 "나선형" 코일 또는 "팬케이크" 코일인 유도 코일(1080)을 더 포함할 수 있다. 유도 결합 플라즈마(ICP) 소스 또는 변압기 결합 플라즈마(TCP) 소스의 설계 및 구현은 당업자에게 잘 알려져 있는 것이다.
대안적으로, 플라즈마는 전자 싸이클로트론 공명(electron cyclotron resonance; ECR)을 사용하여 형성될 수 있다. 또다른 실시예에서, 플라즈마는 헬리콘 웨이브(Helicon wave)의 론칭(launching)으로부터 형성된다. 또다른 실시예에서, 플라즈마는 전파하는 표면파로부터 형성된다. 전술한 각각의 플라즈마 소스는 당업자에게 잘 알려져 있는 것이다.
도 11에서 도시된 실시예에서, 플라즈마 처리 시스템(1100)은 도 5의 실시예와 유사할 수 있고, 표면파 플라즈마(surface wave plasma; SWP) 소스(1180)를 더 포함할 수 있다. SWP 소스(1180)는 마이크로파 전력이 마이크로파 발생기(1182)를 통해 선택적 임피던스 정합 네트워크(1184)를 거쳐서 결합되는, 방사형 라인 슬롯 안테나와 같은, 슬롯 안테나를 포함할 수 있다.
비록 본 발명의 특정 실시예들만을 위에서 상세하게 설명하였지만, 당업자는 본 발명의 신규한 교시 및 이점으로부터 실질적으로 벗어나지 않고서 실시예들에서 많은 변형들이 가능하다는 것을 쉽게 알 것이다. 따라서, 이러한 모든 변형들은 본 발명의 범위 내에 포함되는 것으로 의도된다.

Claims (60)

  1. 기판 상의 층을 패터닝하는 방법에 있어서,
    다층 마스크 - 상기 다층 마스크는 실리콘 함유 반사방지 코팅(anti-reflective coating; ARC) 층 위에, 개구 피처 패턴을 정의하는 패터닝된 층을 가짐 - 를 포함하는 기판을 플라즈마 처리 시스템 내에 배치하는 단계;
    상기 플라즈마 처리 시스템으로의 공정 기체(process gas)의 흐름을 구축하는 단계로서, 상기 공정 기체는,
    C, F, 및 선택적으로 H로 구성되고, 탄소 대 불소비가 1 대 4인 제1 기체(gaseous) 분자 성분과,
    C, F, 및 선택적으로 H로 구성되고, 탄소 대 불소비가 1 대 3인 제2 기체 분자 성분을 함유한 것인, 상기 공정 기체의 흐름을 구축하는 단계;
    상기 제2 기체 분자 성분의 유량(flow rate)에 대한 상기 제1 기체 분자 성분의 유량의 비를 0.5 이상 2.5 미만으로 선택함으로써, 상기 실리콘 함유 ARC 층의 에칭율 대 상기 패터닝된 층의 에칭율의 비로서 측정된 에칭 선택비를 5 대 1을 초과하는 값까지 증가시키도록 C-F 분자비를 조정하는 공정 조건을 선택하는 단계;
    상기 공정 조건에 따라 플라즈마 소스를 이용하여 상기 공정 기체로부터 플라즈마를 점화시키는 단계; 및
    상기 패터닝된 층의 상기 개구 피처 패턴을 상기 실리콘 함유 ARC 층 내로 연장시키기 위해 상기 기판을 상기 플라즈마에 노출시키는 단계
    를 포함하는 기판 상의 층을 패터닝하는 방법.
  2. 제1항에 있어서,
    상기 제1 기체 분자 성분은 CF4를 포함한 것인 기판 상의 층을 패터닝하는 방법.
  3. 제2항에 있어서,
    상기 제2 기체 분자 성분은 CHF3를 포함한 것인 기판 상의 층을 패터닝하는 방법.
  4. 제3항에 있어서,
    상기 공정 기체는 CF4 및 CHF3를 함유하고, CHF3 유량에 대한 CF4 유량의 비는 0.5 내지 2.0의 범위인 것인 기판 상의 층을 패터닝하는 방법.
  5. 제1항에 있어서,
    상기 제1 기체 분자 성분은 CF4를 포함하고, 상기 제2 기체 분자 성분은 CHF3를 포함하며, 상기 공정 기체의 조합된 흐름들에 대한 탄소 대 불소의 분자비는 0.274 내지 0.300의 범위인 것인 기판 상의 층을 패터닝하는 방법.
  6. 제1항에 있어서,
    상기 공정 기체의 흐름을 구축하는 단계는,
    수소 원자, 이원 수소, 또는 C, 그리고 H와 F로 구성된 그룹으로부터 선택된 원소로 구성된 제3 기체 분자 성분을 유입시키는 단계
    를 더 포함하며, 상기 제3 기체 분자 성분의 탄소 대 불소비는 상기 제1 기체 분자 성분과 상기 제2 기체 분자 성분의 최대 탄소 대 불소비보다 큰 것인 기판 상의 층을 패터닝하는 방법.
  7. 제6항에 있어서,
    상기 제3 기체 분자 성분은 CxFy 함유 기체를 포함하며, x와 y는 0보다 큰 실수를 나타내는 것인 기판 상의 층을 패터닝하는 방법.
  8. 제7항에 있어서,
    x/y 비는 상기 제1 기체 분자 성분과 상기 제2 기체 분자 성분의 C 대 F 비를 초과하는 것인 기판 상의 층을 패터닝하는 방법.
  9. 제7항에 있어서,
    상기 제3 기체 분자 성분은 C4F8을 포함한 것인 기판 상의 층을 패터닝하는 방법.
  10. 제6항에 있어서,
    상기 제3 기체 분자 성분은 CxHy 함유 기체를 포함하며, x와 y는 0보다 큰 실수를 나타내는 것인 기판 상의 층을 패터닝하는 방법.
  11. 제10항에 있어서,
    y/x 비는 상기 제1 기체 분자 성분과 상기 제2 기체 분자 성분의 H 대 C 비를 초과하는 것인 기판 상의 층을 패터닝하는 방법.
  12. 제10항에 있어서,
    상기 CxHy 함유 기체는, CH4, C2H4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10, 또는 C6H12, 또는 이들의 둘 이상의 임의의 조합을 포함한 것인 기판 상의 층을 패터닝하는 방법.
  13. 제1항에 있어서,
    상기 제2 기체 분자 성분의 유입을 펄스 조절(pulse modulating)하는 단계
    를 더 포함하는 기판 상의 층을 패터닝하는 방법.
  14. 제13항에 있어서,
    상기 기판을 상기 플라즈마에 노출시키는 단계에 의하여 상기 실리콘 함유 ARC 층 내에 형성되는 개구 피처 패턴의 프로파일을 펄스 조절 및 제어하기 위한 공정 조건을 선택하는 단계
    를 더 포함하며, 상기 공정 조건은 펄스 진폭, 펄스 주파수, 펄스 듀티 사이클, 또는 펄스 파형, 또는 이들의 임의의 조합을 설정하는 것을 포함한 것인 기판 상의 층을 패터닝하는 방법.
  15. 제13항에 있어서,
    상기 펄스 조절하는 단계는 상기 제2 기체 분자 성분의 유량을 오프 상태와 온 상태 사이에서 펄싱하는 단계를 포함한 것인 기판 상의 층을 패터닝하는 방법.
  16. 제1항에 있어서,
    상기 제1 기체 분자 성분만을 유입시키면서 상기 공정 조건을 이용하여 달성가능한 공칭 거칠기에 비해, 상기 기판을 상기 플라즈마에 노출시키는 단계에 의하여 상기 패터닝된 층 및 상기 실리콘 함유 ARC 층에 형성되는 개구 피처 패턴의 거칠기를 감소시키도록, 상기 제2 기체 분자 성분의 유량을 설정하는 것을 포함하는 공정 조건을 선택하는 단계
    를 더 포함하는 기판 상의 층을 패터닝하는 방법.
  17. 제16항에 있어서,
    상기 거칠기의 감소는 상기 공칭 거칠기의 10%를 초과하는 것인 기판 상의 층을 패터닝하는 방법.
  18. 제1항에 있어서,
    무선 주파수(radio frequency; RF) 전력으로 상기 기판을 지지하는 기판 홀더를 전기적 바이어싱을 하는 단계; 및
    상기 전기적 바이어싱을 위해 상기 RF 전력을 펄싱하는 단계
    를 더 포함하는 기판 상의 층을 패터닝하는 방법.
  19. 제1항에 있어서,
    상기 에칭 선택비는 10의 값을 초과하는 것인 기판 상의 층을 패터닝하는 방법.
  20. 제1항에 있어서,
    상기 패터닝된 층은 제1 임계 치수(critical dimension; CD)를 특징으로 하는 개구 피처 패턴을 가지며,
    상기 기판을 상기 플라즈마에 노출시키는 단계에 의하여 상기 실리콘 함유 ARC 층에 형성된 개구 피처 패턴의 바닥에서 측정된 제2 CD와 상기 제1 CD간의 차는 상기 제1 CD의 20% 미만인 것인 기판 상의 층을 패터닝하는 방법.
  21. 기판 상의 다층 마스크를 건식 현상(dry developing)하는 방법에 있어서,
    다층 마스크 - 상기 다층 마스크는 실리콘 함유 반사방지 코팅(ARC) 층 위에, 개구 피처 패턴을 정의하는 패터닝된 층을 가짐 - 를 포함하는 기판을 플라즈마 처리 시스템 내에 배치하는 단계;
    상기 플라즈마 처리 시스템으로의 공정 기체의 흐름을 구축하는 단계로서, 상기 공정 기체는,
    C, F, 및 선택적으로 H로 구성된 제1 기체 분자 성분,
    C, F, 및 선택적으로 H로 구성되며, 상기 제1 기체 분자 성분과는 상이한 탄소 대 불소비를 갖는 제2 기체 분자 성분, 및
    H와 F로 구성된 그룹으로부터 선택된 원소와 C로 구성된 제3 기체 분자 성분을 함유하며, 상기 제3 기체 분자 성분의 탄소 대 불소비는 상기 제1 기체 분자 성분과 상기 제2 기체 분자 성분의 최대 탄소 대 불소비보다 큰 것인, 상기 공정 기체의 흐름을 구축하는 단계;
    상기 패터닝된 층의 에칭율에 대한 상기 실리콘 함유 ARC 층의 에칭율의 비로서 측정된 에칭 선택비를, 상기 제1 기체 분자 성분과 상기 제2 기체 분자 성분만을 유입시키면서 공정 조건을 사용하여 달성가능한 공칭 에칭 선택비에 비해 증가시키는 각각의 기체 분자 성분에 대한 유량을 규정하는 상기 공정 조건을 선택하는 단계;
    상기 공정 조건에 따라 플라즈마 소스를 이용하여 상기 공정 기체로부터 플라즈마를 점화시키는 단계; 및
    상기 패터닝된 층의 상기 개구 피처 패턴을 상기 실리콘 함유 ARC 층 내로 연장시키기 위해 상기 기판을 상기 플라즈마에 노출시키는 단계
    를 포함하는 기판 상의 다층 마스크를 건식 현상하는 방법.
  22. 제21항에 있어서,
    상기 제1 기체 분자 성분은 CF4를 포함한 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  23. 제21항에 있어서,
    상기 제2 기체 분자 성분은 CHF3를 포함한 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  24. 제21항에 있어서,
    상기 제1 기체 분자 성분은 CF4를 함유하고, 상기 제2 기체 분자 성분은 CHF3를 함유하며, CF4 유량에 대한 CHF3 유량의 비는 0 내지 2.0의 범위인 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  25. 제21항에 있어서,
    상기 제3 기체 분자 성분은 CxFy 함유 기체를 포함하며, x와 y는 0보다 큰 실수를 나타내는 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  26. 제25항에 있어서,
    x/y 비는 상기 제1 기체 분자 성분과 상기 제2 기체 분자 성분의 C 대 F 비를 초과하는 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  27. 제25항에 있어서,
    상기 제3 기체 분자 성분은 C4F8을 포함한 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  28. 제21항에 있어서,
    상기 제3 기체 분자 성분은 CxHy 함유 기체를 포함하며, x와 y는 0보다 큰 실수를 나타내는 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  29. 제28항에 있어서,
    y/x 비는 상기 제1 기체 분자 성분과 상기 제2 기체 분자 성분의 H 대 C 비를 초과하는 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  30. 제28항에 있어서,
    상기 CxHy 함유 기체는, CH4, C2H4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10, 또는 C6H12, 또는 이들의 둘 이상의 임의의 조합을 포함한 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  31. 제21항에 있어서,
    H 또는 영족 원소(noble element)로 구성된 제4 기체 분자 성분을 유입시키는 단계
    를 더 포함하는 기판 상의 다층 마스크를 건식 현상하는 방법.
  32. 제31항에 있어서,
    상기 제4 기체 분자 성분은 수소 원자 또는 이원 수소를 포함한 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  33. 제21항에 있어서,
    상기 제3 기체 분자 성분의 유입을 펄스 조절하는 단계
    를 더 포함하는 기판 상의 다층 마스크를 건식 현상하는 방법.
  34. 제33항에 있어서,
    상기 기판을 상기 플라즈마에 노출시키는 단계에 의하여 상기 실리콘 함유 ARC 층 내에 형성되는 개구 피처 패턴의 프로파일을 펄스 조절 및 제어하기 위한 공정 조건을 선택하는 단계
    를 더 포함하며, 상기 공정 조건은 펄스 진폭, 펄스 주파수, 펄스 듀티 사이클, 또는 펄스 파형, 또는 이들의 임의의 조합을 설정하는 것을 포함한 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  35. 제33항에 있어서,
    상기 펄스 조절하는 단계는 상기 제3 기체 분자 성분의 유량을 오프 상태와 온 상태 사이에서 펄싱하는 단계를 포함한 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  36. 제21항에 있어서,
    상기 제1 기체 분자 성분과 상기 제2 기체 분자 성분만을 유입시키면서 상기 공정 조건을 이용하여 달성가능한 공칭 거칠기에 비해, 상기 기판을 상기 플라즈마에 노출시키는 단계에 의하여 상기 패터닝된 층 및 상기 실리콘 함유 ARC 층에 형성되는 개구 피처 패턴의 거칠기를 감소시키도록, 상기 제3 기체 분자 성분의 유량을 설정하는 것을 포함하는 공정 조건을 선택하는 단계
    를 더 포함하는 기판 상의 다층 마스크를 건식 현상하는 방법.
  37. 제36항에 있어서,
    상기 거칠기의 감소는 상기 공칭 거칠기의 10%를 초과하는 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  38. 제21항에 있어서,
    무선 주파수(RF) 전력으로 상기 기판을 지지하는 기판 홀더를 전기적으로 바이어싱하는 단계; 및
    상기 전기적 바이어싱을 위해 상기 RF 전력을 펄싱하는 단계
    를 더 포함하는 기판 상의 다층 마스크를 건식 현상하는 방법.
  39. 제21항에 있어서,
    상기 에칭 선택비는 5의 값을 초과하는 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  40. 제21항에 있어서,
    상기 패터닝된 층은 제1 임계 치수(CD)를 특징으로 하는 개구 피처 패턴을 가지며,
    상기 기판을 상기 플라즈마에 노출시키는 단계에 의하여 상기 실리콘 함유 ARC 층에 형성된 개구 피처 패턴의 바닥에서 측정된 제2 CD와 상기 제1 CD간의 차는 상기 제1 CD의 20% 미만인 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  41. 기판 상의 다층 마스크를 건식 현상하는 방법에 있어서,
    다층 마스크 - 상기 다층 마스크는 실리콘 함유 반사방지 코팅(ARC) 층 위에, 개구 피처 패턴을 정의하는 패터닝된 층을 가짐 - 를 포함하는 기판을 플라즈마 처리 시스템 내에 배치하는 단계;
    상기 플라즈마 처리 시스템으로의 공정 기체의 흐름을 구축하는 단계로서, 상기 공정 기체는,
    C, F, 및 선택적으로 H로 구성된 제1 기체 분자 성분,
    C, F, 및 선택적으로 H로 구성되며, 상기 제1 기체 분자 성분과는 상이한 탄소 대 불소비를 갖는 선택적인 제2 기체 분자 성분, 및
    원자 수소, 이원 수소, 또는 CxHy 함유 기체를 함유하는 제3 기체 분자 성분을 함유하며, x와 y는 0보다 큰 실수인 것인, 상기 공정 기체의 흐름을 구축하는 단계;
    상기 패터닝된 층의 에칭율에 대한 상기 실리콘 함유 ARC 층의 에칭율의 비로서 측정된 에칭 선택비를, 상기 제3 기체 분자 성분의 유입을 배제시키면서 공정 조건을 사용하여 달성가능한 공칭 에칭 선택비에 비해 증가시키는 각각의 분자 성분에 대한 유량을 규정하는 상기 공정 조건을 선택하는 단계;
    상기 공정 조건에 따라 플라즈마 소스를 이용하여 상기 공정 기체로부터 플라즈마를 점화시키는 단계; 및
    상기 패터닝된 층의 상기 개구 피처 패턴을 상기 실리콘 함유 ARC 층 내로 연장시키기 위해 상기 기판을 상기 플라즈마에 노출시키는 단계
    를 포함하는 기판 상의 다층 마스크를 건식 현상하는 방법.
  42. 제41항에 있어서,
    상기 제1 기체 분자 성분은 CF4를 포함한 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  43. 제42항에 있어서,
    상기 제2 기체 분자 성분은 CHF3를 포함한 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  44. 제41항에 있어서,
    상기 제1 기체 분자 성분은 CF4를 포함하고, 상기 제2 기체 분자 성분은 CHF3를 포함하며, CHF3 유량에 대한 CF4 유량의 비는 0.5 내지 1.5의 범위인 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  45. 제41항에 있어서,
    y/x 비는 상기 공정 기체의 다른 모든 성분들의 H 대 C 비를 초과하는 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  46. 제41항에 있어서,
    상기 CxHy 함유 기체는, CH4, C2H4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10, 또는 C6H12, 또는 이들의 둘 이상의 임의의 조합을 포함한 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  47. 제41항에 있어서,
    상기 제1 기체 분자 성분은 CF4를 포함하고, 상기 제3 기체 분자 성분은 CH4를 포함하며, CH4 몰비(molar ratio)는 0.1까지 이르는 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  48. 제41항에 있어서,
    상기 제1 기체 분자 성분은 CF4를 포함하고, 상기 제2 기체 분자 성분은 CHF3를 포함하며, 상기 제3 기체 분자 성분은 CH4를 포함하며, CF4의 유량에 대한 CH4의 유량의 비는 0.1까지 이르며, CHF3의 유량에 대한 CH4의 유량의 비는 0.2까지 이르는 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  49. 제41항에 있어서,
    상기 제1 기체 분자 성분은 CF4를 포함하고, 상기 제2 기체 분자 성분은 CHF3를 포함하며, 상기 제3 기체 분자 성분은 CH4를 포함하며, 상기 공정 기체의 조합된 흐름들에 대한 탄소 대 불소의 분자비는 0.27 내지 0.30의 범위인 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  50. 제41항에 있어서,
    상기 제1 기체 분자 성분은 CF4를 포함하고, 상기 제3 기체 분자 성분은 H2를 포함하며, H2 몰비는 0.1까지 이르는 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  51. 제41항에 있어서,
    상기 제1 기체 분자 성분은 CF4를 포함하고, 상기 제3 기체 분자 성분은 H2를 포함하며, CF4의 유량에 대한 H2의 유량의 비는 0.20까지 이르는 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  52. 제41항에 있어서,
    상기 제1 기체 분자 성분은 CF4를 포함하고, 상기 제3 기체 분자 성분은 H2를 포함하며, 상기 제1 기체 분자 성분과 상기 제2 기체 분자 성분의 조합된 흐름들에 대한 수소 대 불소의 분자비는 0.10까지 이르는 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  53. 제41항에 있어서,
    상기 제3 기체 분자 성분의 유입을 펄스 조절하는 단계
    를 더 포함하는 기판 상의 다층 마스크를 건식 현상하는 방법.
  54. 제53항에 있어서,
    상기 기판을 상기 플라즈마에 노출시키는 단계에 의하여 상기 실리콘 함유 ARC 층 내에 형성되는 개구 피처 패턴의 프로파일을 펄스 조절 및 제어하기 위한 공정 조건을 선택하는 단계
    를 더 포함하며, 상기 공정 조건은 펄스 진폭, 펄스 주파수, 펄스 듀티 사이클, 또는 펄스 파형, 또는 이들의 임의의 조합을 설정하는 것을 포함한 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  55. 제53항에 있어서,
    상기 펄스 조절하는 단계는 상기 제2 기체 분자 성분의 유량을 오프 상태와 온 상태 사이에서 펄싱하는 단계를 포함한 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  56. 제41항에 있어서,
    상기 제1 기체 분자 성분과 상기 선택적인 제2 기체 분자 성분만을 유입시키면서 상기 공정 조건을 이용하여 달성가능한 공칭 거칠기에 비해, 상기 기판을 상기 플라즈마에 노출시키는 단계에 의하여 상기 패터닝된 층 및 상기 실리콘 함유 ARC 층에 형성되는 개구 피처 패턴의 거칠기를 감소시키도록, 상기 제3 기체 분자 성분의 유량을 설정하는 것을 포함하는 공정 조건을 선택하는 단계
    를 더 포함하는 기판 상의 다층 마스크를 건식 현상하는 방법.
  57. 제56항에 있어서,
    상기 거칠기의 감소는 상기 공칭 거칠기의 10%를 초과하는 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  58. 제41항에 있어서,
    무선 주파수(RF) 전력으로 상기 기판을 지지하는 기판 홀더를 전기적으로 바이어싱하는 단계; 및
    상기 전기적 바이어싱을 위해 상기 RF 전력을 펄싱하는 단계
    를 더 포함하는 기판 상의 다층 마스크를 건식 현상하는 방법.
  59. 제41항에 있어서,
    상기 에칭 선택비는 5의 값을 초과하는 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
  60. 제41항에 있어서,
    상기 패터닝된 층은 제1 임계 치수(CD)를 특징으로 하는 개구 피처 패턴을 가지며,
    상기 기판을 상기 플라즈마에 노출시키는 단계에 의하여 상기 실리콘 함유 ARC 층에 형성된 개구 피처 패턴의 바닥에서 측정된 제2 CD와 상기 제1 CD간의 차는 상기 제1 CD의 20% 미만인 것인 기판 상의 다층 마스크를 건식 현상하는 방법.
KR1020177025498A 2015-02-13 2016-02-16 Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법 KR102436638B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201562115974P 2015-02-13 2015-02-13
US201562115969P 2015-02-13 2015-02-13
US201562115981P 2015-02-13 2015-02-13
US62/115,969 2015-02-13
US62/115,981 2015-02-13
US62/115,974 2015-02-13
PCT/US2016/018122 WO2016131061A1 (en) 2015-02-13 2016-02-16 Method for roughness improvement and selectivity enhancement during arc layer etch

Publications (2)

Publication Number Publication Date
KR20170117480A KR20170117480A (ko) 2017-10-23
KR102436638B1 true KR102436638B1 (ko) 2022-08-25

Family

ID=56615754

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177025498A KR102436638B1 (ko) 2015-02-13 2016-02-16 Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법

Country Status (2)

Country Link
KR (1) KR102436638B1 (ko)
WO (1) WO2016131061A1 (ko)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109411332B (zh) * 2017-08-17 2020-08-07 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020042196A1 (en) 2000-10-07 2002-04-11 Se-Hyeong Lee Method of manufacturing a semiconductor device using anti-reflective layer and self-aligned contact technique and semiconductor device manufactured thereby

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6291356B1 (en) * 1997-12-08 2001-09-18 Applied Materials, Inc. Method for etching silicon oxynitride and dielectric antireflection coatings
KR101266291B1 (ko) * 2008-12-30 2013-05-22 제일모직주식회사 레지스트 하층막용 조성물 및 이를 이용한 반도체 집적회로디바이스의 제조방법
JP5655296B2 (ja) * 2009-12-01 2015-01-21 セントラル硝子株式会社 エッチングガス
KR101432605B1 (ko) * 2010-12-16 2014-08-21 제일모직주식회사 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020042196A1 (en) 2000-10-07 2002-04-11 Se-Hyeong Lee Method of manufacturing a semiconductor device using anti-reflective layer and self-aligned contact technique and semiconductor device manufactured thereby

Also Published As

Publication number Publication date
KR20170117480A (ko) 2017-10-23
WO2016131061A1 (en) 2016-08-18

Similar Documents

Publication Publication Date Title
KR102436638B1 (ko) Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법
US9576816B2 (en) Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
US9607843B2 (en) Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
US9530667B2 (en) Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
CN107431011B (zh) 用于原子层蚀刻的方法
JP6280030B2 (ja) 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス
TWI626686B (zh) 用於半導體製造之內部電漿格柵應用
JP5577530B2 (ja) 六フッ化硫黄(sf6)および炭化水素ガスを用いた反射防止層のパターニング方法
JP5349066B2 (ja) Cdバイアスの減少したシリコン含有反射防止コーティング層のエッチング方法
US7998872B2 (en) Method for etching a silicon-containing ARC layer to reduce roughness and CD
US7858270B2 (en) Method for etching using a multi-layer mask
US7637269B1 (en) Low damage method for ashing a substrate using CO2/CO-based process
KR102328025B1 (ko) 서브-해상도 스케일들로 상이한 임계 치수들을 패터닝하기 위한 방법
US10049875B2 (en) Trim method for patterning during various stages of an integration scheme
US10607835B2 (en) Etching method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant