WO2016131061A1 - Method for roughness improvement and selectivity enhancement during arc layer etch - Google Patents

Method for roughness improvement and selectivity enhancement during arc layer etch Download PDF

Info

Publication number
WO2016131061A1
WO2016131061A1 PCT/US2016/018122 US2016018122W WO2016131061A1 WO 2016131061 A1 WO2016131061 A1 WO 2016131061A1 US 2016018122 W US2016018122 W US 2016018122W WO 2016131061 A1 WO2016131061 A1 WO 2016131061A1
Authority
WO
WIPO (PCT)
Prior art keywords
gaseous molecular
molecular constituent
ratio
layer
flow rate
Prior art date
Application number
PCT/US2016/018122
Other languages
French (fr)
Inventor
Vinayak Rastogi
Alok RANJAN
Original Assignee
Tokyo Electron Limited
Tokyo Electron U.S. Holdings, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited, Tokyo Electron U.S. Holdings, Inc. filed Critical Tokyo Electron Limited
Priority to KR1020177025498A priority Critical patent/KR102436638B1/en
Publication of WO2016131061A1 publication Critical patent/WO2016131061A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Definitions

  • Embodiments of the invention relate to a method for patterning a thin film, and more specifically, to a method for patterning a silicon containing anti-reflective coating (ARC).
  • ARC anti-reflective coating
  • FIGs. 4A through 4C provide exemplary data regarding the adjustment of etch chemistry for patterning a layer on a substrate according to various embodiments
  • the patterned material serves as a protective layer that masks some regions of the semiconductor substrate, while other regions are exposed to permit transfer of the circuit pattern to an underlying layer utilizing a dry etching process, such as a plasma etch process.
  • a dry etching process such as a plasma etch process.
  • multi-layer schemes can be implemented, including bi-layer masks or tri-layer masks.
  • the uppermost patterned layer may be thinner than the thickness customarily chosen to withstand the subsequent dry etching process(es). Therefore, the demands placed upon subsequent dry etching techniques are elevated.
  • the block copolymer may be deposited by various methods, including, e.g., spin-on coating, spin casting, brush coating or vapor deposition.
  • the block copolymer may be provided as a solution in a carrier solvent such as an organic solvent, e.g., toluene.
  • the solution of the block copolymer can be applied to the layered structure and the carrier solvent subsequently removed to provide the block copolymer. While the invention is not bound by theory, it will be appreciated that the different block species are understood to self-aggregate due to thermodynamic considerations in a process similar to the domain separation of materials.
  • a plasma etch process that remedies some or all of the above noted deficiencies.
  • the plasma etch process modulates passivation during the etching of the underlying silicon containing ARC layer, thereby enhancing the etch selectivity relative to the patterned layer 140, e.g., etch selectivity relative to photoresist or other organic materials, and improving pattern roughness manifested in the form of LER/LWR.
  • the passivation species can form a thin protection on the patterned layer 140, and thus, provide increased etch resistance during the etching of the silicon containing ARC layer 130.
  • the line edge roughness and line width roughness can also be improved as a result of the formation of a passivation layer which smooths the exposed surface.
  • the first gaseous molecular constituent includes a C x F y - containing gas (wherein x and y are real numbers greater than zero), such as CF 4
  • the optional second gaseous molecular constituent includes a C x H y F z -containing gas (wherein x, y and z are real numbers greater than zero), such as CHF 3
  • the third gaseous molecular constituent includes atomic hydrogen, diatomic hydrogen, or a C x Hy-containing gas (wherein x and y represent a real number greater than 0, and wherein the ratio y/x exceeds the H-to-C ratio of the first and the second gaseous molecular constituents, such as CH 4 ).

Abstract

A method of patterning a silicon containing ARC (anti-reflective coating) layer underlying a patterned layer is described that includes establishing a flow of a process gas to a plasma processing system, selecting a process condition that increases an etch selectivity of the silicon containing ARC layer relative to the patterned layer, igniting plasma from the process gas using a plasma source in accordance with the process condition, and exposing the substrate to the plasma to extend the feature pattern of the patterned layer into the silicon containing ARC layer.

Description

TITLE OF THE INVENTION
METHOD FOR ROUGHNESS IMPROVEMENT AND SELECTIVITY ENHANCEMENT
DURING ARC LAYER ETCH CROSS-REFERENCE TO RELATED APPLICATIONS
[0001 ] Pursuant to 37 C.F.R. § 1 .78(a)(4), this application claims the benefit of and priority to co-pending U.S. Provisional Application Numbers 62/1 15,969 filed on February 13, 2015, 62/1 15,974 filed on February 13, 2015, and 62/1 15,981 filed on February 13, 2015, which are expressly incorporated by reference herein in their entirety.
FIELD OF INVENTION
[0002] The invention relates to a method for patterning a thin film, and more specifically, to a method for patterning a silicon containing anti-reflective coating (ARC).
DESCRIPTION OF RELATED ART
[0003] The need to remain competitive in cost and performance in the production of semiconductor devices elevates demand to continually increase the device density of integrated circuits. And, to achieve higher degrees of integration with the miniaturization in semiconductor integrated circuitry, robust methodologies are required to reduce the scale of the circuit pattern formed on the semiconductor substrate. These trends and requirements impose ever-increasing challenges on the ability to transfer the circuit pattern from one layer to another layer.
[0004] Photolithography is a mainstay technique used to manufacture semiconductor integrated circuitry by transferring geometric shapes and patterns on a mask to the surface of a semiconductor wafer. In principle, a light sensitive material is exposed to patterned light to alter its solubility in a developing solution. Once imaged and developed, the portion of the light sensitive material that is soluble in the developing chemistry is removed, and the circuit pattern remains.
[0005] Furthermore, to advance optical lithography, as well as accommodate the deficiencies thereof, continual strides are being made to establish alternative patterning strategies to equip the semiconductor manufacturing industry for sub-30nm technology nodes. Optical Lithography (193i) in conjunction with multiple patterning, EUV (Extreme Ultraviolet) Lithography, and DSA (Direct Self Assembly) Patterning are considered to be some of the promising candidates that are being evaluated to meet the rising demands for aggressive patterning. However, the aforementioned methodologies, present their own set of challenges, which manifest as issues with 'low resist budget', 'incoming detectivity', 'mask shape', 'critical dimension control', 'line edge roughness (LER)', and 'line width roughness (LWR)' at ever decreasing features sizes.
SUMMARY OF THE INVENTION
[0006] Embodiments of the invention relate to a method for patterning a thin film, and more specifically, to a method for patterning a silicon containing anti-reflective coating (ARC).
[0007] According to one embodiment, a method of patterning a silicon containing ARC (anti-reflective coating) layer underlying a patterned layer defining an open feature pattern is described that includes establishing a flow of a process gas to a plasma processing system, selecting a process condition that increases an etch selectivity of the silicon containing ARC layer relative to the patterned layer, igniting plasma from the process gas using a plasma source in accordance with the process condition, and exposing the substrate to the plasma to extend the open feature pattern of the patterned layer into the silicon containing ARC layer.
BRIEF DESCRIPTION OF THE DRAWINGS
[0008] In the accompanying drawings:
[0009] FIGs. 1A and 1 B illustrate a method of patterning a layer on a substrate;
[0010] FIG. 2 illustrates a method of patterning a layer on a substrate according to an embodiment;
[001 1 ] FIG. 3 provides a flow chart illustrating a method of patterning a layer on a substrate according to an embodiment;
[0012] FIGs. 4A through 4C provide exemplary data regarding the adjustment of etch chemistry for patterning a layer on a substrate according to various embodiments;
[0013] FIG. 5 shows a schematic representation of a plasma processing system according to an embodiment;
[0014] FIG. 6 shows a schematic representation of a plasma processing system according to another embodiment;
[0015] FIG. 7 shows a schematic representation of a plasma processing system according to another embodiment;
[0016] FIG. 8 shows a schematic representation of a plasma processing system according to another embodiment; [0017] FIG. 9 shows a schematic representation of a plasma processing system according to another embodiment;
[0018] FIG. 10 shows a schematic representation of a plasma processing system according to another embodiment; and
[0019] FIG. 1 1 shows a schematic representation of a plasma processing system according to another embodiment.
DETAILED DESCRIPTION OF SEVERAL EMBODIMENTS
[0020] In the following description, for purposes of explanation and not limitation, specific details are set forth, such as a particular geometry of a processing system, descriptions of various components and processes used therein. However, it should be understood that the invention may be practiced in other embodiments that depart from these specific details.
[0021] Similarly, for purposes of explanation, specific numbers, materials, and configurations are set forth in order to provide a thorough understanding of the invention. Nevertheless, the invention may be practiced without specific details. Furthermore, it is understood that the various embodiments shown in the figures are illustrative representations and are not necessarily drawn to scale.
[0022] Various operations will be described as multiple discrete operations in turn, in a manner that is most helpful in understanding the invention. However, the order of description should not be construed as to imply that these operations are necessarily order dependent. In particular, these operations need not be performed in the order of presentation. Operations described may be performed in a different order than the described embodiment. Various additional operations may be performed and/or described operations may be omitted in additional embodiments.
[0023] As used herein, the term "radiation sensitive material" means and includes photosensitive materials such as photoresists.
[0024] "Substrate" as used herein generically refers to the object being processed in accordance with the invention. The substrate may include any material portion or structure of a device, particularly a semiconductor or other electronics device, and may, for example, be a base substrate structure, such as a semiconductor wafer or a layer on or overlying a base substrate structure such as a thin film. The substrate may be a conventional silicon substrate or other bulk substrate comprising a layer of semi- conductive material. As used herein, the term "bulk substrate" means and includes not only silicon wafers, but also silicon-on-insulator ("SOI") substrates, such as silicon-on- sapphire ("SOS") substrates and silicon-on-glass ("SOG") substrates, epitaxial layers of silicon on a base semiconductor foundation, and other semiconductor or optoelectronic materials, such as silicon-germanium, germanium, gallium arsenide, gallium nitride, and indium phosphide. The substrate may be doped or undoped. Thus, substrate is not intended to be limited to any particular base structure, underlying layer or overlying layer, patterned or un-patterned, but rather, is contemplated to include any such layer or base structure, and any combination of layers and/or base structures. The description below may reference particular types of substrates, but this is for illustrative purposes only and not limitation.
[0025] As noted above, advanced methodologies are required to address the challenges and meet the demands for aggressive patterning at sub 30nm technology nodes. And, as also noted, these methodologies present their own set of challenges, which manifest as issues with 'low resist budget', 'incoming detectivity', 'mask shape', 'critical dimension control', 'line edge roughness (LER)', and 'line width roughness (LWR)' at ever decreasing features sizes. The ability to successfully integrate initial patterning schemes, i.e., lithography, DSA, etc., with etching techniques is paramount to robust pattern transfer.
[0026] As an example, once the circuit pattern is initially formed, the patterned material, whether it be a photosensitive material patterned using optical lithography, a mechanically imprinted patterned layer, or direct self-assembled layer, among other things, serves as a protective layer that masks some regions of the semiconductor substrate, while other regions are exposed to permit transfer of the circuit pattern to an underlying layer utilizing a dry etching process, such as a plasma etch process. In order to produce thinner features in the initial patterned layer, multi-layer schemes can be implemented, including bi-layer masks or tri-layer masks. With the inclusion of a second or third layer, the uppermost patterned layer may be thinner than the thickness customarily chosen to withstand the subsequent dry etching process(es). Therefore, the demands placed upon subsequent dry etching techniques are elevated.
[0027] In a plasma etch process, the semiconductor substrate is exposed to an etching chemistry that selectively removes the underlying layer while minimally removing the protective layer. This etch chemistry derives from an ionizable, dissociative gas mixture having atomic/molecular constituents capable of favorable reaction with the underlying layer while minimizing less favorable reaction with the protective layer. In the presence of plasma, the etch chemistry is formed through the interaction, e.g., collisions, of its atomic/molecular constituents with energetic electrons, of which some collisions are dissociative collisions and others are ionizing collisions, for example. Via complex mechanisms, the plasma is manipulated to achieve acceptable values for several inter-related etch metrics, including etch selectivity, etch rate, profile, etc. And, as noted above with ever-decreasing pattern scale, the ability to selectively remove one material relative to another, while satisfactorily meeting other pattern metrics (e.g., roughness, defectivity, etc.), becomes only more critical to robust pattern transfer. Notably, the etch selectivity of subsequent dry etching processes must preserve the lithographic layer to allow full pattern transfer to underlying layers. Furthermore, while etch selectivity is critical, the pattern integrity (e.g., roughness, defectivity, etc.) must be maintained, and more preferably, improved.
[0028] Referring now to the drawings, wherein like reference numerals designate identical or corresponding parts throughout the several views, FIGs. 1A, 2, and 3 illustrate a method for patterning a substrate according to an embodiment. The method is pictorially illustrated in FIGs. 1A and 2, and presented by way of a flow chart 300 in FIG. 3. As presented in FIG. 3, the flow chart 300 begins in 312 with disposing a substrate 1 10 comprising a multi-layer mask in a plasma processing system, wherein the multi-layer mask has a patterned layer 140, defining a first open feature pattern 142, overlying a silicon containing ARC (anti-reflective coating) layer 130. Substrate 1 10 further includes device layers 120, 125. The device layers 120, 125 can include any thin film or structure on substrate 1 10 into which a pattern is to be transferred.
[0029] The substrate 1 10 can include a bulk silicon substrate, a single crystal silicon (doped or un-doped) substrate, a semiconductor-on-insulator (SOI) substrate, or any other semiconductor substrate containing, for example, Si, SiC, SiGe, SiGeC, Ge, GaAs, InAs, InP, as well as other lll/V or ll/VI compound semiconductors, or any combination thereof (Groups II, III, V, VI refer to the classical or old lUPAC notation in the Periodic Table of Elements; according to the revised or new lUPAC notation, these Groups would refer to Groups 2, 13, 15, 16, respectively). The substrate can be of any size, for example, a 200 mm (millimeter) substrate, a 300 mm substrate, a 450 mm substrate, or an even larger substrate. The device layers 120, 125 can include any film or device structure into which a pattern can be transferred.
[0030] In one embodiment, the patterned layer 140 can be prepared by spin- coating the substrate 1 10 with a thin film of photo-sensitive material and patterning the thin film using a lithographic process. For example, the patterned layer 140 can include a photo-resist, such as a 248 nm (nanometer) resist, a 193 nm resist, a 157 nm resist, an EUV (extreme ultraviolet) resist, an electron beam sensitive resist, or any type of thermal freeze photo-resist, electromagnetic (EM) radiation freeze photo-resist, or chemical freeze photo-resist. [0031 ] During the lithographic process, spin-coating the substrate 1 10 with a thin layer of photo-sensitive material can be performed in a track system. For example, the track system can include a Clean Track ACT® 8, ACT® 12, LITHIUS®, LITHIUS™ Pro™, or LITHIUS™ Pro V™ resist coating and developing system commercially available from Tokyo Electron Limited (TEL). Other systems and methods for forming a photo-resist film on a substrate are well known to those skilled in the art of spin-on resist technology. The spin-coating process can be followed by one or more first post- application bakes (PAB) to heat the substrate 1 10 and one or more cooling cycles, following the one or more first PABs, to cool the substrate 1 10.
[0032] Thereafter, the photo-sensitive material can be imaged in a radiation exposure system and developed in a developing system to produce the first open feature pattern 142. The radiation exposure system may include a dry or wet photolithography system, including any suitable stepping/scanning lithography system. For example, the photo-lithography system may be commercially available from Nikon Corporation, ASML Netherlands B.V., or Canon, Inc. Alternatively, the first image pattern may be formed using an electron beam lithography system. The developing system can be located on the track system described above. The developing process may be preceded by one or more first post-exposure bakes (PEB) to heat the substrate 1 10 and one or more cooling cycles, following the one or more first PEBs, to cool the substrate 1 10.
[0033] In another embodiment, the patterned layer 140 can be prepared using a direct self-assembly (DSA) technique. Therein, a block copolymer is applied to substrate 1 10, and a self-assembled block copolymer layer is formed which is composed of materials that self-organize in a desired and predictable manner to achieve the first and second domains, e.g., the polymer blocks are immiscible and will segregate under appropriate conditions to form domains predominantly containing a single block species. Upon completion of phase segregation, one domain is selectively removed to create the patterned layer 140.
[0034] The block copolymer may be deposited by various methods, including, e.g., spin-on coating, spin casting, brush coating or vapor deposition. For example, the block copolymer may be provided as a solution in a carrier solvent such as an organic solvent, e.g., toluene. The solution of the block copolymer can be applied to the layered structure and the carrier solvent subsequently removed to provide the block copolymer. While the invention is not bound by theory, it will be appreciated that the different block species are understood to self-aggregate due to thermodynamic considerations in a process similar to the domain separation of materials. The self- organization is guided by the physical interfaces of the underlying layers, as well as the chemical affinity between the chemical species of the underlying layer of material and at least one of the polymer blocks within the block copolymer chain. Accordingly, the constituent blocks of the block copolymers can orient themselves on substrate 1 10 due to these interfacial interactions and chemical affinities.
[0035] In other embodiments, the patterned layer 140 is formed using any of the aforementioned techniques in combination with a multi-patterning scheme. For example, patterned layer 140 can be formed using LLE (Litho-Litho-Etch), LFLE (Litho- Freeze-Litho-Etch), or LELE (Litho-Etch-Litho-Etch) double and quad patterning schemes. Additionally, for example, patterned layer 140 can be the result of a sidewall image transfer (SIT) double or quad patterning scheme.
[0036] The silicon containing ARC layer 130 can also be prepared by spin-coating the substrate 1 10 with a thin film of material prior to applying materials for creating the patterned layer 140. The silicon content in the silicon containing ARC layer 130 can be varied. For example, in some embodiments, the silicon content can be less than 40%, or 30%, or even 20%. And, in other embodiments, the silicon content can be greater than 40%.
[0037] The patterned layer 140, which defines the first open feature pattern 142, can be characterized by a first thickness 145 and first roughness 147 (e.g., line edge roughness, LER, or line width roughness, LWR). As shown in FIG. 1 B, when using a conventional etching process to transfer the open feature pattern 142 of the patterned layer 140 into the silicon containing ARC layer 130 to form a second open feature pattern 152, a deficient mask condition 100 is observed. Notable observations include a second thickness 155 of the remaining patterned layer 140 being of insufficient thickness to fully transfer open feature pattern 147 into the silicon containing ARC layer 130 and underlying device layers 120, 125. Other notable observations includes a second roughness 157 that exceeds the first roughness 147, i.e., worsens the integrity of open feature patterns 142, 152.
[0038] Therefore, according to several embodiments, a plasma etch process is described that remedies some or all of the above noted deficiencies. The plasma etch process modulates passivation during the etching of the underlying silicon containing ARC layer, thereby enhancing the etch selectivity relative to the patterned layer 140, e.g., etch selectivity relative to photoresist or other organic materials, and improving pattern roughness manifested in the form of LER/LWR. The passivation species can form a thin protection on the patterned layer 140, and thus, provide increased etch resistance during the etching of the silicon containing ARC layer 130. The line edge roughness and line width roughness can also be improved as a result of the formation of a passivation layer which smooths the exposed surface.
[0039] According to one embodiment, as shown in FIG. 2, the open feature pattern 142 of the patterned layer 140 is transferred into the silicon containing ARC layer 130 to form a second open feature pattern 162 using a plasma etch process. The plasma etch process begins in 314 with establishing a flow of a process gas to the plasma processing system.
[0040] Then, in 316, a process condition for the plasma etch process is selected that increases an etch selectivity of the silicon containing ARC layer 130 and the patterned layer 140.
[0041 ] Thereafter, in 318, plasma is ignited from the process gas using a plasma source in accordance with the process condition, and in 320, the substrate 1 10 is exposed to the plasma to extend the feature pattern 142 of the patterned layer 140 into the silicon containing ARC layer 130.
[0042] According to one embodiment, the process gas contains a first gaseous molecular constituent composed of C, F and optionally H, and having a carbon-to- fluorine ratio of 1 -to-4, and a second gaseous molecular constituent composed of C, F, and optionally H, and having a carbon-to-fluorine ratio of 1 -to-3. And, the process condition for the plasma etch process is selected that adjusts the C-F molecular ratio to increase the etch selectivity, measured as the ratio of the etch rate of the silicon containing ARC layer 130 to the etch rate of the patterned layer 140, to a value that exceeds 5-to-1 by selecting a ratio of the flow rate of the first gaseous molecular constituent to the flow rate of the second gaseous molecular constituent greater than or equal to 0.5 and less than 2.5, or greater than or equal to 0.5 and less than 1 .5.
Figure imgf000009_0001
TABLE 1 .
[0043] In one example, the first gaseous molecular constituent includes a CxFy- containing gas (wherein x and y are real numbers greater than zero, and x/y=1/4), such as CF4, and the second gaseous molecular constituent includes a CxHyFz-containing gas (wherein x, y and z are real numbers greater than zero, and x/z=1/3), such as CHF3. The ratio of the CF4 flow rate to the CHF3 flow rate can range from 0.5 to 2.0, and preferably, from 0.8 to 1.2. Alternatively, the molecular ratio of carbon-to-fluorine for the combined flows of the process gas can range from 0.274 to 0.300.
[0044] Table 1 provides two exemplary process conditions, namely A and B, wherein the flow ratio of CF4 to CHF3 is varied from 1.89 to 1.00. The line CD is slightly decreased, while the LER and LWR are reduced by 10%, and the etch selectivity (E.S.) is increased by 66%. The data in Table 1 was obtained from cross-sectional SEM (scanning electron microscope) and top down SEM measurements, which clearly shows the effect of passivation species modulation on selectivity enhancement and pattern roughness reduction during underlying silicon containing ARC layer etch. Furthermore, the patterned layer 140 can have an open feature pattern 142 characterized by a first critical dimension (CD), wherein a difference between a second CD measured at a bottom of the open feature pattern 162 formed in the silicon containing ARC layer 130 and the first CD is less than 20% of the first CD. Table 2 provides the corresponding molecular ratios for exemplary flow rates that satisfy the flow ratios of Table 1.
Figure imgf000010_0001
TABLE 2.
[0045] The results of Table 1 were obtained by performing the plasma etch process in a capacitively coupled plasma (CCP) reactor, such as the one to be described with reference to FIG. 7. Furthermore, using optical emission spectroscopy (OES), optical emission spectra were obtained during the plasma etch process to observe trends in the ratios of CFX, F and CHX species in the plasma. As shown in FIG. 4A, the adjustment of the flow ratio of the first molecular constituent to the second molecular constituent (see Table 1) caused an increase in the CF/F, CF2/F, CH/F, CH/CF2, and H/F content.
[0046] The process gas can further include a third gaseous molecular constituent composed of atomic hydrogen, diatomic hydrogen, or C and an element selected from the group consisting of H and F, wherein the carbon-to-fluorine ratio of the third gaseous molecular constituent is greater than the maximum carbon-to-fluorine ratio of the first and second gaseous molecular constituents. For example, the third gaseous molecular constituent can include a CxFy-containing gas, wherein x and y represent a real number greater than 0, and wherein the ratio x/y exceeds the C-to-F ratio of the first and the second gaseous molecular constituents. The third gaseous molecular constituent can include C4F8.
Figure imgf000011_0001
TABLE 3.
[0047] Table 3 provides four exemplary process conditions, namely a through d, wherein the flow ratio of CF4 to CHF3 is set to 1.89, and the C4F8 molar ratio is varied. The line CD is slightly decreased in some cases, while the LER and LWR are reduced for moderate molar ratios, and the etch selectivity (E.S.) is increased to infinite (deposition condition on patterned layer 140). The results of the plasma etch process can be compared with the Post-Litho/lnitial condition (i.e., CD and roughness). However, the inventors have observed that a moderate molar ratios, e.g., 0.040, produces high etch selectivity and low roughness. The data in Table 3 was obtained from cross-sectional SEM (scanning electron microscope) and top down SEM measurements, which clearly shows the effect of passivation species modulation on selectivity enhancement and pattern roughness reduction during underlying silicon containing ARC layer etch. The C4F8 molar ratio can range up to 0.100, and preferably up to 0.050. Furthermore, the patterned layer 140 can have an open feature pattern 142 characterized by a first critical dimension (CD), wherein a difference between a second CD measured at a bottom of the open feature pattern 162 formed in the silicon containing ARC layer 130 and the first CD is less than 20% of the first CD.
[0048] Table 4 provides the molecular ratios for the exemplary flow rates of Process A with and without the addition of C4F8. The molecular ratio of carbon-to- fluorine for the combined flows of the process gas ranges from 0.270 to 0.300, and preferably between 0.274 and 0.300.
Figure imgf000011_0002
85 45 0 2.8889 0.2737 0.0947
85 45 5 3.3333 0.2913 0.0874
TABLE 4.
[0049] Alternatively, for example, the third gaseous molecular constituent can include a CxHy-containing gas, wherein x and y represent a real number greater than 0, and wherein the ratio y/x exceeds the H-to-C ratio of the first and the second gaseous molecular constituents. The CxHy-containing gas can include CH4, C2H4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, CgHs, CgHio, or CsHi2, or any combination of two or more thereof.
[0050] The introducing of the second gaseous molecular constituent (or the first molecular constituent, or the third molecular constituent) can be modulated, e.g., pulse modulated. Alternatively, any of the ratios of the flow rate of the first gaseous molecular constituent, the second gaseous molecular constituent, and the third gaseous molecular constituent can be modulated. A process condition for the flow rate modulating and controlling of a profile of the second open feature pattern 162 formed within the silicon containing ARC layer 130 can be selected that includes: setting a pulse amplitude, a pulse frequency, a pulse duty cycle, or a pulse waveform, or any combination thereof. As an example, the pulse modulating can include pulsing the flow rate of the second gaseous molecular constituent between an off-state and an on-state.
[0051] According to another embodiment, the process gas contains a first gaseous molecular constituent composed of C, F and optionally H, a second gaseous molecular constituent composed of C, F, and optionally H, the second gaseous molecular constituent having a different carbon-to-fluorine ratio than the first gaseous molecular constituent, and a third gaseous molecular constituent composed of C and an element selected from the group consisting of H and F, wherein the carbon-to-fluorine ratio of the third gaseous molecular constituent is greater than the maximum carbon-to-fluorine ratio of the first and second gaseous molecular constituents. And, the selecting of the process condition for the plasma etch process includes specifying a flow rate for each gaseous molecular constituent that increases an etch selectivity, measured as the ratio of the etch rate of the silicon containing ARC layer to the etch rate of the patterned lithographic layer, relative to a nominal etch selectivity achievable using the process condition while introducing only the first and second gaseous molecular constituents.
[0052] In one example, the first gaseous molecular constituent includes a CxFy- containing gas (wherein x and y are real numbers greater than zero), such as CF4, the second gaseous molecular constituent includes a CxHyFz-containing gas (wherein x, y and z are real numbers greater than zero), such as CHF3, and the third gaseous molecular constituent includes a CxHy-containing gas (wherein x and y represent a real number greater than 0, and wherein the ratio y/x exceeds the H-to-C ratio of the first and the second gaseous molecular constituents), such as CH4. Alternatively, the CxHy- containing gas can include C2H4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10, or C6H12, or any combination of two or more thereof. The ratio of the CHF3 flow rate to the CF4 flow rate can range from 0 to 2.0. Alternatively, the ratio of the CF4 flow rate to the CHF3 flow rate can range from 0.5 to 1 .5, and preferably, from 0.8 to 1 .2. Alternatively yet, the molecular ratio of carbon-to-fluorine for the combined flows of the process gas can range from 0.274 to 0.300.
Figure imgf000013_0001
TABLE 5.
[0053] Table 5 provides five exemplary process conditions, namely A through E. Process A is the same process condition as provided in Table 1 , i.e. , the flow ratio of CF4 to CHF3 is 1 .89. For Process conditions C through F, the molar ratio is increased. As evidenced by Table 4, the line CD is slightly decreased, while the LER and LWR are reduced by 10% , and the etch selectivity (E.S.) is increased by an order of magnitude. However, excessive CH4 addition causes substantive deposition, and the etch process fails. The CH4 molar ratio can range up to 0.10, or up to 0.030. The ratio of the flow rate of CH4 to the flow rate of CF4 can range up to 0.10, or up to 0.06, and the ratio of the flow rate of CH4 to the flow rate of CHF3 can range up to 0.20, or up to 0.15.
[0054] The data in Table 5 was obtained from cross-sectional SEM (scanning electron microscope) and top down SEM measurements, which clearly shows the effect of passivation species modulation on selectivity enhancement and pattern roughness reduction during underlying silicon containing ARC layer etch. Furthermore, the patterned layer 140 can have an open feature pattern 142 characterized by a first critical dimension (CD), wherein a difference between a second CD measured at a bottom of the open feature pattern 162 formed in the silicon containing ARC layer 130 and the first CD is less than 20% of the first CD. Table 6 provides the corresponding molecular ratios for exemplary flow rates in Process A with and without the addition of 5 seem (standard cubic centimeters per minute) of CH4. The molecular ratio of carbon-to- fluorine for the combined flows of the process gas ranges from 0.270 to 0.300, and preferably between 0.274 and 0.300.
Figure imgf000014_0001
TABLE 6.
[0055] The results of Table 5 were performed in a capacitively coupled plasma (CCP) reactor, such as the one to be described with reference to FIG. 7. Furthermore, using optical emission spectroscopy (OES), optical emission spectra were obtained during the plasma etch to observe trends in the ratios of CFX, F and CHX species in the plasma. As shown in FIG. 4B, the adjustment of the flow ratio of the first molecular constituent to the second molecular constituent (see Table 5) caused an increase in the CF/F, CF2/F, CH/F, and H/F content, and a decrease in the CH/CF2 content.
[0056] The process gas can further include a fourth gaseous molecular constituent composed of atomic hydrogen, diatomic hydrogen, or C and an element selected from the group consisting of H and F, wherein the carbon-to-fluorine ratio of the third gaseous molecular constituent is greater than the maximum carbon-to-fluorine ratio of the first and second gaseous molecular constituents. For example, the fourth gaseous molecular constituent can include a CxFy-containing gas, wherein x and y represent a real number greater than 0, and wherein the ratio x/y exceeds the C-to-F ratio of the first and the second gaseous molecular constituents. The fourth gaseous molecular constituent can include C4F8.
[0057] The introducing of the third gaseous molecular constituent (or the first molecular constituent, or the second molecular constituent, or the fourth molecular constituent) can be modulated, e.g., pulse modulated. Alternatively, any of the ratios of the flow rate of the first gaseous molecular constituent, the second gaseous molecular constituent, and the third gaseous molecular constituent can be modulated. A process condition for the flow rate modulating and controlling of a profile of the second open feature pattern 162 formed within the silicon containing ARC layer 130 can be selected that includes: setting a pulse amplitude, a pulse frequency, a pulse duty cycle, or a pulse waveform, or any combination thereof. As an example, the pulse modulating can include pulsing the flow rate of the second gaseous molecular constituent between an off-state and an on-state.
[0058] According to yet another embodiment, the process gas contains a first gaseous molecular constituent composed of C, F and optionally H, an optional second gaseous molecular constituent composed of C, F, and optionally H, the second gaseous molecular constituent having a different carbon-to-fluorine ratio than the first gaseous molecular constituent, and a third gaseous molecular constituent containing atomic hydrogen, diatomic hydrogen, or a CxHy-containing gas, wherein x and y are real numbers greater than zero. And, the selecting of the process condition for the plasma etch process includes specifying a flow rate for each molecular constituent that increases an etch selectivity, measured as the ratio of the etch rate of the silicon containing ARC layer to the etch rate of the patterned lithographic layer, relative to a nominal etch selectivity achievable using the process condition while excluding the introducing of the third gaseous molecular constituent.
Figure imgf000015_0001
TABLE 7.
[0059] In one example, the first gaseous molecular constituent includes a CxFy- containing gas (wherein x and y are real numbers greater than zero), such as CF4, the optional second gaseous molecular constituent includes a CxHyFz-containing gas (wherein x, y and z are real numbers greater than zero), such as CHF3, and the third gaseous molecular constituent includes atomic hydrogen, diatomic hydrogen, or a CxHy-containing gas (wherein x and y represent a real number greater than 0, and wherein the ratio y/x exceeds the H-to-C ratio of the first and the second gaseous molecular constituents, such as CH4). The third gaseous molecular constituent can include H2. Alternatively, the third gaseous molecular constituent includes CH4, C2H4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4Hio, C5H8, C5H10, CgHs, CgHio, or C6H12, or any combination of two or more thereof. The ratio of the CF4 flow rate to the CHF3 flow rate can range from 0.5 to 1.5, and preferably, from 0.8 to 1.2. Alternatively, the molecular ratio of carbon-to-fluorine for the combined flows of the process gas can range from 0.274 to 0.300. [0060] Table 7 provides three exemplary process conditions, namely G through I. For process conditions G through I, the molar ratio of H2 addition to CF4 is increased. As evidenced by Table 7, the line CD is slightly decreased for some cases, while the LER and LWR are marginally increased (however, reduced from Process A) and the etch selectivity (E.S.) is increased. However, excessive H2 addition causes the etch selectivity to decay substantially. The H2 molar ratio can range up to 0.100. The ratio of the flow rate of H2 to the flow rate of CF4 can range up to 0.20.
Figure imgf000016_0001
TABLE 8.
[0061 ] The data in Table 7 was obtained from cross-sectional SEM (scanning electron microscope) and top down SEM measurements, which clearly shows the effect of passivation species modulation on selectivity enhancement and pattern roughness reduction during underlying silicon containing ARC layer etch. Furthermore, the patterned layer 140 can have an open feature pattern 142 characterized by a first critical dimension (CD), wherein a difference between a second CD measured at a bottom of the open feature pattern 162 formed in the silicon containing ARC layer 130 and the first CD is less than 20% of the first CD. Table 8 provides the corresponding molecular ratios for exemplary flow rates in Processes G through I with and without the addition of H2. The molecular ratio of carbon-to-fluorine for the combined flows of the process gas can range from 0.270 to 0.300, and preferably between 0.274 and 0.300. The molecular ratio of hydrogen-to-fluorine for the combined flows of the first and second gaseous molecular constituents can range up to 0.10.
[0062] The results of Table 7 were performed in a capacitively coupled plasma (CCP) reactor, such as the one to be described with reference to FIG. 7. Furthermore, using optical emission spectroscopy (OES), optical emission spectra were obtained during the plasma etch to observe trends in the ratios of CFX, F and CHX species in the plasma. As shown in FIG. 4C, the adjustment of the flow ratio of the first molecular constituent to the second molecular constituent (see Table 7) caused an increase in the CF/F, CF2/F, CH/F, and H/F content, and a decrease in the CH/CF2 content.
[0063] The introducing of the third gaseous molecular constituent (or the first molecular constituent, or the second molecular constituent) can be modulated, e.g., pulse modulated. Alternatively, any of the ratios of the flow rate of the first gaseous molecular constituent, the second gaseous molecular constituent, and the third gaseous molecular constituent can be modulated. A process condition for the flow rate modulating and controlling of a profile of the second open feature pattern 162 formed within the silicon containing ARC layer 130 can be selected that includes: setting a pulse amplitude, a pulse frequency, a pulse duty cycle, or a pulse waveform, or any combination thereof. As an example, the pulse modulating can include pulsing the flow rate of the second gaseous molecular constituent between an off-state and an on-state.
[0064] The plasma etch process can include electrically biasing a substrate holder that supports the substrate 1 10 with radio frequency (RF) power, and optionally pulsing the RF power for the electrical biasing. As an example, the plasma etch process may comprise a process parameter space that includes: a chamber pressure ranging up to about 1000 mtorr (millitorr) (e.g., up to about 200 mtorr, or up to about 50 to 150 mtorr), a flow rate of a first gaseous molecular constituent ranging up to about 2000 seem (standard cubic centimeters per minute) (e.g. , up to about 1000 seem, or about 1 seem to about 200 seem), a flow rate of a second gaseous molecular constituent ranging up to about 2000 seem (e.g., up to about 1000 seem, or about 1 seem to about 100 seem), a flow rate of a third gaseous molecular constituent ranging up to about 2000 seem (e.g., up to about 1000 seem, or about 1 seem to about 100 seem), an optional noble gas (e.g., He or Ar) flow rate ranging up to about 2000 seem (e.g., up to about 1000 seem), an upper electrode (e.g., element 770 in FIG. 7) RF power ranging up to about 2000 W (watts) (e.g., up to about 1000 W, or up to about 600 W), a lower electrode (e.g., element 522 in FIG. 7) RF bias ranging up to about 1000 W (e.g., up to about 600 W, or up to about 100 W, or up to 50 W), an optional lower electrode pulse frequency ranging up to about 1000 Hz (e.g., up to about 100 Hz, or up to about 10 Hz, or up to 1 Hz), and an optional lower electrode pulse duty cycle ranging from about 1 :4 (20%- ON/80%-OFF) to about 4: 1 (80%-ON/20%-OFF) (e.g., from about 1 :2 to about 2: 1 , or about 1 : 1 (50%-ON/50%-OFF)). Also, the upper electrode bias frequency can range from about 0.1 MHz to about 200 MHz, e.g., about 60 MHz. In addition, the lower electrode bias frequency can range from about 0.1 MHz to about 100 MHz, e.g., about 2 MHz.
[0065] Flow modulation can be substantially in-phase with the pulsing of RF power (i.e., gas is introduced during RF power on-state). Alternatively, gas flow can be continuous during the pulsing of RF power. Alternatively yet, flow modulation can be substantially out-of-phase with the pulsing of RF power (i.e., gas is introduced during RF power off-state). The flow modulation can be substantially out-of-phase with the pulsing of RF power, yet there may be some overlap. Further, the RF power level (or RF amplitude) may be varied from one pulse to the next pulse. For example, the RF power level may be ramped downward or decreased during the electrical biasing of the substrate.
[0066] In one embodiment, the pulsing of RF power for the electrical biasing may include: (i) pulsing the RF power at a first RF power level for a first time duration; (ii) flowing a first gas (first gaseous molecular constituent) continuously, the first gas being a primary etchant; and (iii) flowing a second gas (second gaseous molecular constituent) continuously. In alternative embodiments, the first gas, or the second gas, or both may be pulsed.
[0067] In another embodiment, the pulsing of RF power for the electrical biasing may include: (i) pulsing the RF power at a first RF power level for a first time duration; and (ii) pulsing the RF power at a second RF power level for a second time duration, wherein the second RF power level is less than the first RF power level, and the second time duration follows the first time duration. In another embodiment, the pulsing of RF power for the electrical biasing may further include: (iii) pulsing the RF power at a third RF power level for a third time duration, wherein the third RF power level is less than the second RF power level, and the third time duration follows the second time duration. Furthermore, in other embodiments, the pulsing of RF power for the electrical biasing may further include: (iv) flowing a first gas (first gaseous molecular constituent) continuously, the first gas being a primary etchant; and (v) flowing a second gas (second gaseous molecular constituent) continuously, the second gas being a polymerizing or passivating gas. In alternative embodiments, the first gas, or the second gas, or both may be pulsed.
[0068] In yet another embodiment, the pulsing of RF power for the electrical biasing may include: (i) pulsing the RF power at a first RF power level for a first time duration; (ii) pulsing the RF power at a second RF power level for a second time duration, wherein the second RF power level is less than the first RF power level, and the second time duration follows the first time duration; and (iii) terminating the pulsing of power for a third time duration, wherein the third time duration follows the second time duration.
[0069] In other embodiments, RF power may be supplied to the lower electrode and not the upper electrode. In yet other alternate embodiments, RF power and/or DC power may be coupled in any of the manners described through FIGs. 5 to 1 1.
[0070] The time duration to perform a specific etching process may be determined using design of experiment (DOE) techniques or prior experience; however, it may also be determined using optical detection. One possible method of optical detection is to monitor a portion of the emitted light spectrum from the plasma region that indicates when a change in plasma chemistry occurs due to a change in the etching process. After emission levels corresponding to the monitored wavelengths cross a specified threshold (e.g., decrease below a particular level, or increase above a particular level), a transition point in the etching process can be considered to be reached. Various wavelengths, specific to the etch chemistry being used and the material layer being etched, may be used. Furthermore, the etch time can be extended to include a period of over-etch, wherein the over-etch period constitutes a fraction (i.e., 1 to 100%) of the time between initiation of the etch process and the time associated with transition detection.
[0071 ] One or more of the methods for patterning a silicon containing ARC layer described above may be performed utilizing a plasma processing system such as the one described in FIG. 7. However, the methods discussed are not to be limited in scope by this exemplary presentation. The method of patterning a gate stack on a substrate according to various embodiments described above may be performed in any one of the plasma processing systems illustrated in FIGs. 5 through 1 1 and described below.
[0072] According to one embodiment, a plasma processing system 500 configured to perform the above identified process conditions is depicted in FIG. 5 comprising a plasma processing chamber 510, substrate holder 520, upon which a substrate 525 to be processed is affixed, and vacuum pumping system 550. Substrate 525 can be a semiconductor substrate, a wafer, a flat panel display, or a liquid crystal display. Plasma processing chamber 510 can be configured to facilitate the generation of plasma in plasma processing region 545 in the vicinity of a surface of substrate 525. An ionizable gas or mixture of process gases is introduced via a gas distribution system 540. For a given flow of process gas, the process pressure is adjusted using the vacuum pumping system 550. Plasma can be utilized to create materials specific to a pre-determined materials process, and/or to aid the removal of material from the exposed surfaces of substrate 525. The plasma processing system 500 can be configured to process substrates of any desired size, such as 200 mm substrates, 300 mm substrates, or larger.
[0073] Substrate 525 can be affixed to the substrate holder 520 via a clamping system 528, such as a mechanical clamping system or an electrical clamping system (e.g., an electrostatic clamping system). Furthermore, substrate holder 520 can include a heating system (not shown) or a cooling system (not shown) that is configured to adjust and/or control the temperature of substrate holder 520 and substrate 525. The heating system or cooling system may comprise a re-circulating flow of heat transfer fluid that receives heat from substrate holder 520 and transfers heat to a heat exchanger system (not shown) when cooling, or transfers heat from the heat exchanger system to substrate holder 520 when heating. In other embodiments, heating/cooling elements, such as resistive heating elements, or thermo-electric heaters/coolers can be included in the substrate holder 520, as well as the chamber wall of the plasma processing chamber 510 and any other component within the plasma processing system 500.
[0074] Additionally, a heat transfer gas can be delivered to the backside of substrate 525 via a backside gas supply system 526 in order to improve the gas-gap thermal conductance between substrate 525 and substrate holder 520. Such a system can be utilized when temperature control of the substrate is required at elevated or reduced temperatures. For example, the backside gas supply system can comprise a two-zone gas distribution system, wherein a helium gas-gap pressure can be independently varied between the center and the edge of substrate 525.
[0075] In the embodiment shown in FIG. 5, substrate holder 520 can comprise an electrode 522 through which RF power is coupled to the processing plasma in plasma processing region 545. For example, substrate holder 520 can be electrically biased at a RF voltage via the transmission of RF power from a RF generator 530 through an optional impedance match network 532 to substrate holder 520. The RF bias can serve to heat electrons to form and maintain plasma. In this configuration, the system can operate as a reactive ion etch (RIE) reactor, wherein the chamber and an upper gas injection electrode serve as ground surfaces. A typical frequency for the RF bias can range from about 0.1 MHz to about 100 MHz. RF systems for plasma processing are well known to those skilled in the art.
[0076] Furthermore, the electrical bias of electrode 522 at a RF voltage may be pulsed using pulsed bias signal controller 531 . The RF power output from the RF generator 530 may be pulsed between an off-state and an on-state, for example.
[0077] Alternately, RF power is applied to the substrate holder electrode at multiple frequencies. Furthermore, impedance match network 532 can improve the transfer of RF power to plasma in plasma processing chamber 510 by reducing the reflected power. Match network topologies (e.g. L-type, D-type, T-type, etc.) and automatic control methods are well known to those skilled in the art.
[0078] Gas distribution system 540 may comprise a showerhead design for introducing a mixture of process gases. Alternatively, gas distribution system 540 may comprise a multi-zone showerhead design for introducing a mixture of process gases and adjusting the distribution of the mixture of process gases above substrate 525. For example, the multi-zone showerhead design may be configured to adjust the process gas flow or composition to a substantially peripheral region above substrate 525 relative to the amount of process gas flow or composition to a substantially central region above substrate 525.
[0079] Vacuum pumping system 550 can include a turbo-molecular vacuum pump (TMP) capable of a pumping speed up to about 5000 liters per second (and greater) and a gate valve for throttling the chamber pressure. In conventional plasma processing devices utilized for dry plasma etching, a 1000 to 3000 liter per second TMP can be employed. TMPs are useful for low pressure processing, typically less than about 50 mTorr. For high pressure processing (i.e., greater than about 100 mTorr), a mechanical booster pump and dry roughing pump can be used. Furthermore, a device for monitoring chamber pressure (not shown) can be coupled to the plasma processing chamber 510.
[0080] Controller 555 comprises a microprocessor, memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to plasma processing system 500 as well as monitor outputs from plasma processing system 500. Moreover, controller 555 can be coupled to and can exchange information with RF generator 530, pulsed bias signal controller 531 , impedance match network 532, the gas distribution system 540, vacuum pumping system 550, as well as the substrate heating/cooling system (not shown), the backside gas supply system 526, and/or the electrostatic clamping system 528. For example, a program stored in the memory can be utilized to activate the inputs to the aforementioned components of plasma processing system 500 according to a process recipe in order to perform a plasma assisted process, such as a plasma etch process, on substrate 525.
[0081 ] Controller 555 can be locally located relative to the plasma processing system 500, or it can be remotely located relative to the plasma processing system 500. For example, controller 555 can exchange data with plasma processing system 500 using a direct connection, an intranet, and/or the internet. Controller 555 can be coupled to an intranet at, for example, a customer site (i.e., a device maker, etc.), or it can be coupled to an intranet at, for example, a vendor site (i.e., an equipment manufacturer). Alternatively or additionally, controller 555 can be coupled to the internet. Furthermore, another computer (i.e., controller, server, etc.) can access controller 555 to exchange data via a direct connection, an intranet, and/or the internet.
[0082] In the embodiment shown in FIG. 6, plasma processing system 600 can be similar to the embodiment of FIG. 5 and further comprise either a stationary, or mechanically or electrically rotating magnetic field system 660, in order to potentially increase plasma density and/or improve plasma processing uniformity, in addition to those components described with reference to FIG. 5. Moreover, controller 555 can be coupled to magnetic field system 660 in order to regulate the speed of rotation and field strength. The design and implementation of a rotating magnetic field is well known to those skilled in the art.
[0083] In the embodiment shown in FIG. 7, plasma processing system 700 can be similar to the embodiment of FIG. 5 or FIG. 6, and can further comprise an upper electrode 770 to which RF power can be coupled from RF generator 772 through optional impedance match network 774. A frequency for the application of RF power to the upper electrode can range from about 0.1 MHz to about 200 MHz. Additionally, a frequency for the application of power to the lower electrode can range from about 0.1 MHz to about 100 MHz. Moreover, controller 555 is coupled to RF generator 772 and impedance match network 774 in order to control the application of RF power to upper electrode 770. The design and implementation of an upper electrode is well known to those skilled in the art. The upper electrode 770 and the gas distribution system 540 can be designed within the same chamber assembly, as shown. Alternatively, upper electrode 770 may comprise a multi-zone electrode design for adjusting the RF power distribution coupled to plasma above substrate 525. For example, the upper electrode 770 may be segmented into a center electrode and an edge electrode.
[0084] In the embodiment shown in FIG. 8, plasma processing system 800 can be similar to the embodiment of FIG. 7, and can further comprise a direct current (DC) power supply 890 coupled to the upper electrode 770 opposing substrate 525. The upper electrode 770 may comprise an electrode plate. The electrode plate may comprise a silicon-containing electrode plate. Moreover, the electrode plate may comprise a doped silicon electrode plate. The DC power supply 890 can include a variable DC power supply. Additionally, the DC power supply 890 can include a bipolar DC power supply. The DC power supply 890 can further include a system configured to perform at least one of monitoring, adjusting, or controlling the polarity, current, voltage, or on/off state of the DC power supply 890. Once plasma is formed, the DC power supply 890 facilitates the formation of a ballistic electron beam. An electrical filter (not shown) may be utilized to de-couple RF power from the DC power supply 890.
[0085] For example, the DC voltage applied to upper electrode 770 by DC power supply 890 may range from approximately -2000 volts (V) to approximately 1000 V. Desirably, the absolute value of the DC voltage has a value equal to or greater than approximately 100 V, and more desirably, the absolute value of the DC voltage has a value equal to or greater than approximately 500 V. Additionally, it is desirable that the DC voltage has a negative polarity. Furthermore, it is desirable that the DC voltage is a negative voltage having an absolute value greater than the self-bias voltage generated on a surface of the upper electrode 770. The surface of the upper electrode 770 facing the substrate holder 520 may be comprised of a silicon-containing material.
[0086] In the embodiment shown in FIG. 9, plasma processing system 900 can be similar to the embodiments of FIGs. 5 and 6, and can further comprise an inductive coil 980 to which RF power is coupled via RF generator 982 through optional impedance match network 984. RF power is inductively coupled from inductive coil 980 through a dielectric window (not shown) to plasma processing region 545. A frequency for the application of RF power to the inductive coil 980 can range from about 10 MHz to about 100 MHz. Similarly, a frequency for the application of power to the chuck electrode can range from about 0.1 MHz to about 100 MHz. In addition, a slotted Faraday shield (not shown) can be employed to reduce capacitive coupling between the inductive coil 980 and plasma in the plasma processing region 545. Moreover, controller 555 can be coupled to RF generator 982 and impedance match network 984 in order to control the application of power to inductive coil 980.
[0087] In an alternate embodiment, as shown in FIG. 10, plasma processing system 1000 can be similar to the embodiment of FIG. 9, and can further comprise an inductive coil 1080 that is a "spiral" coil or "pancake" coil in communication with the plasma processing region 545 from above as in a transformer coupled plasma (TCP) reactor. The design and implementation of an inductively coupled plasma (ICP) source, or transformer coupled plasma (TCP) source, is well known to those skilled in the art.
[0088] Alternately, plasma can be formed using electron cyclotron resonance (ECR). In yet another embodiment, the plasma is formed from the launching of a Helicon wave. In yet another embodiment, the plasma is formed from a propagating surface wave. Each plasma source described above is well known to those skilled in the art.
[0089] In the embodiment shown in FIG. 1 1 , plasma processing system 1 100 can be similar to the embodiment of FIG. 5, and can further comprise a surface wave plasma (SWP) source 1 180. The SWP source 1 180 can comprise a slot antenna, such as a radial line slot antenna, to which microwave power is coupled via microwave generator 1 182 through optional impedance match network 1 184.
[0090] Although only certain embodiments of this invention have been described in detail above, those skilled in the art will readily appreciate that many modifications are possible in the embodiments without materially departing from the novel teachings and advantages of this invention. Accordingly, all such modifications are intended to be included within the scope of this invention.

Claims

CLAIMS:
1. A method of patterning a layer on a substrate, comprising:
disposing a substrate comprising a multi-layer mask in a plasma processing system, wherein the multi-layer mask has a patterned layer defining an open feature pattern overlying a silicon containing ARC (anti-reflective coating) layer;
establishing a flow of a process gas to the plasma processing system, the process gas containing:
a first gaseous molecular constituent composed of C, F and optionally H, and having a carbon-to-fluorine ratio of 1 -to-4, and
a second gaseous molecular constituent composed of C, F, and optionally H, and having a carbon-to-fluorine ratio of 1 -to-3,
selecting a process condition that adjusts the C-F molecular ratio to increase an etch selectivity, measured as the ratio of the etch rate of the silicon containing ARC layer to the etch rate of the patterned layer, to a value that exceeds 5-to-1 by selecting a ratio of the flow rate of the first gaseous molecular constituent to the flow rate of the second gaseous molecular constituent greater than or equal to 0.5 and less than 2.5; igniting plasma from the process gas using a plasma source in accordance with the process condition; and
exposing the substrate to the plasma to extend the feature pattern of the patterned layer into the silicon containing ARC layer.
2. The method of claim 1 , wherein the first gaseous molecular constituent includes CF4.
3. The method of claim 2, wherein the second gaseous molecular constituent includes CHF3.
4. The method of claim 3, wherein the process gas contains CF4 and CHF3, and the ratio of the CF4 flow rate to the CHF3 flow rate ranges from 0.5 to 2.0.
5. The method of claim 1 , wherein the first gaseous molecular constituent includes CF4, the second gaseous molecular constituent includes CHF3, and the molecular ratio of carbon-to-fluorine for the combined flows of the process gas ranges from 0.274 to 0.300.
6. The method of claim 1 , wherein the introducing of the process gas further includes introducing:
a third gaseous molecular constituent composed of atomic hydrogen, diatomic hydrogen, or C and an element selected from the group consisting of H and F, wherein the carbon-to-fluorine ratio of the third gaseous molecular constituent is greater than the maximum carbon-to-fluorine ratio of the first and second gaseous molecular constituents.
7. The method of claim 6, wherein the third gaseous molecular constituent includes a CxFy-containing gas, and wherein x and y represent a real number greater than 0.
8. The method of claim 7, wherein the ratio x/y exceeds the C-to-F ratio of the first and the second gaseous molecular constituents.
9. The method of claim 7, wherein the third gaseous molecular constituent includes a C4F8.
10. The method of claim 6, wherein the third gaseous molecular constituent includes a CxHy-containing gas, and wherein x and y represent a real number greater than 0.
11. The method of claim 10, wherein the ratio y/x exceeds the H-to-C ratio of the first and the second gaseous molecular constituents.
12. The method of claim 10, wherein the CxHy-containing gas includes CH4, C2H4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, CgHs, CgHio, or C6H12, or any combination of two or more thereof.
13. The method of claim 1 , further comprising:
pulse modulating the introducing of the second gaseous molecular constituent.
14. The method of claim 13, further comprising:
selecting a process condition for the pulse modulating and controlling a profile of the open feature pattern formed within the silicon containing ARC layer, the process condition including setting a pulse amplitude, a pulse frequency, a pulse duty cycle, or a pulse waveform, or any combination thereof.
15. The method of claim 13, wherein the pulse modulating comprises pulsing the flow rate of the second gaseous molecular constituent between an off-state and an on-state.
16. The method of claim 1 , further comprising:
selecting a process condition that includes setting a flow rate of the second gaseous molecular constituent to decrease a roughness of the open feature pattern formed in the patterned layer and the silicon containing ARC layer relative to a nominal roughness achievable using the process condition while introducing only the primary etchant gas composition.
17. The method of claim 16, wherein the reduction of roughness exceeds 10% of the nominal roughness.
18. The method of claim 1 , further comprising:
electrically biasing a substrate holder that supports the substrate with radio frequency (RF) power; and
pulsing the RF power for the electrical biasing.
19. The method of claim 1 , wherein the etch selectivity exceeds a value of 10.
20. The method of claim 1 , wherein the patterned layer has an open feature pattern characterized by a first critical dimension (CD), and wherein a difference between a second CD measured at a bottom of the open feature pattern formed in the silicon containing ARC layer and the first CD is less than 20% of the first CD.
21 . A method of dry developing a multi-layer mask on a substrate, comprising: disposing a substrate comprising a multi-layer mask in a plasma processing system, wherein the multi-layer mask has a patterned layer defining an open feature pattern overlying a silicon containing ARC (anti-reflective coating) layer;
establishing a flow of a process gas to the plasma processing system, the process gas containing:
a first gaseous molecular constituent composed of C, F and optionally H , a second gaseous molecular constituent composed of C, F, and optionally H, the second gaseous molecular constituent having a different carbon-to-fluorine ratio than the first gaseous molecular constituent, and
a third gaseous molecular constituent composed of C and an element selected from the group consisting of H and F, wherein the carbon-to-fluorine ratio of the third gaseous molecular constituent is greater than the maximum carbon-to-fluorine ratio of the first and second gaseous molecular constituents; selecting a process condition specifying a flow rate for each gaseous molecular constituent that increases an etch selectivity, measured as the ratio of the etch rate of the silicon containing ARC layer to the etch rate of the patterned lithographic layer, relative to a nominal etch selectivity achievable using the process condition while introducing only the first and second gaseous molecular constituents;
igniting plasma from the process gas using a plasma source in accordance with the process condition; and
exposing the substrate to the plasma to extend the open feature pattern of the patterned layer into the silicon containing ARC layer.
22. The method of claim 21 , wherein the first gaseous molecular constituent includes CF4.
23. The method of claim 21 , wherein the second gaseous molecular constituent includes CHF3.
24. The method of claim 21 , wherein the first gaseous molecular constituent contains CF4, the secondary gaseous molecular constituent contains CHF3, and the ratio of the CHF3 flow rate to the CF4 flow rate ranges from 0 to 2.0.
25. The method of claim 21 , wherein the third gaseous molecular constituent includes a CxFy-containing gas, and wherein x and y represent a real number greater than 0.
26. The method of claim 25, wherein the ratio x/y exceeds the C-to-F ratio of the first and the second gaseous molecular constituents.
27. The method of claim 25, wherein the third gaseous molecular constituent includes a C4F8.
28. The method of claim 21 , wherein the third gaseous molecular constituent includes a CxHy-containing gas, and wherein x and y represent a real number greater than 0.
29. The method of claim 28, wherein the ratio y/x exceeds the H-to-C ratio of the first and the second gaseous molecular constituents.
30. The method of claim 28, wherein the CxHy-containing gas includes CH4, C2H4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, CsHe, C5H10, ΟβΗβ, ΟβΗιο, or C6H12, or any combination of two or more thereof.
31. The method of claim 21 , further comprising:
introducing a fourth gaseous molecular constituent composed of H or a noble element.
32. The method of claim 31 , wherein the fourth gaseous molecular constituent includes atomic or diatomic hydrogen.
33. The method of claim 21 , further comprising:
pulse modulating the introducing of the third gaseous molecular constituent.
34. The method of claim 33, further comprising:
selecting a process condition for the pulse modulating and controlling a profile of the open feature pattern formed within the silicon containing ARC layer, the process condition including setting a pulse amplitude, a pulse frequency, a pulse duty cycle, or a pulse waveform, or any combination thereof.
35. The method of claim 33, wherein the pulse modulating comprises pulsing the flow rate of the third gaseous molecular constituent between an off-state and an on- state.
36. The method of claim 21 , further comprising:
selecting a process condition that includes setting a flow rate of the third gaseous molecular constituent to decrease a roughness of the open feature pattern formed in the patterned layer and the silicon containing ARC layer relative to a nominal roughness achievable using the process condition while introducing only the first and second gaseous molecular constituents.
37. The method of claim 36, wherein the reduction of roughness exceeds 10% of the nominal roughness.
38. The method of claim 21 , further comprising:
electrically biasing a substrate holder that supports the substrate with radio frequency (RF) power; and
pulsing the RF power for the electrical biasing.
39. The method of claim 21 , wherein the etch selectivity exceeds a value of 5.
40. The method of claim 21 , wherein the patterned layer has an open feature pattern characterized by a first critical dimension (CD), and wherein a difference between a second CD measured at a bottom of the open feature pattern formed in the silicon containing ARC layer and the first CD is less than 20% of the first CD.
41 . A method of dry developing a multi-layer mask on a substrate, comprising: disposing a substrate comprising a multi-layer mask in a plasma processing system, wherein the multi-layer mask has a patterned layer defining an open feature pattern overlying a silicon containing ARC (anti-reflective coating) layer;
establishing a flow of a process gas to the plasma processing system, the process gas containing:
a first gaseous molecular constituent composed of C, F and optionally H , an optional second gaseous molecular constituent composed of C, F, and optionally H, the second gaseous molecular constituent having a different carbon-to-fluorine ratio than the first gaseous molecular constituent, and
a third gaseous molecular constituent containing atomic hydrogen, diatomic hydrogen, or a CxHy-containing gas, wherein x and y are real numbers greater than zero;
selecting a process condition specifying a flow rate for each molecular constituent that increases an etch selectivity, measured as the ratio of the etch rate of the silicon containing ARC layer to the etch rate of the patterned lithographic layer, relative to a nominal etch selectivity achievable using the process condition while excluding the introducing of the third gaseous molecular constituent; igniting plasma from the process gas using a plasma source in accordance with the process condition; and
exposing the substrate to the plasma to extend the open feature pattern of the patterned layer into the silicon containing ARC layer.
42. The method of claim 41 , wherein the first gaseous molecular constituent includes CF4.
43. The method of claim 42, wherein the second gaseous molecular constituent includes CHF3.
44. The method of claim 41 , wherein the first gaseous molecular constituent includes CF4, the second gaseous molecular constituent includes CHF3, and the ratio of the CF4 flow rate to the CHF3 flow rate ranges from 0.5 to 1.5.
45. The method of claim 41 , wherein the ratio y/x exceeds the H-to-C ratio of all other constituents of the process gas.
46. The method of claim 41 , wherein the CxHy-containing gas includes CH4, C2H4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4Hio, C5H8, C5H10, CgHs, CgHio, or C6H12, or any combination of two or more thereof.
47. The method of claim 41 , wherein the first gaseous molecular constituent includes CF4, the third gaseous molecular constituent includes CH4, and the CH4 molar ratio ranges up to 0.1.
48. The method of claim 41 , wherein the first gaseous molecular constituent includes CF4, the second gaseous molecular constituent includes CHF3, the third gaseous molecular constituent includes CH4, the ratio of the flow rate of CH4 to the flow rate of CF4 ranges up to 0.1 , and the ratio of the flow rate of CH4 to the flow rate of CHF3 ranges up to 0.2.
49. The method of claim 41 , wherein the first gaseous molecular constituent includes CF4, the second gaseous molecular constituent includes CHF3, the third gaseous molecular constituent includes CH4, and the molecular ratio of carbon-to- fluorine for the combined flows of the process gas ranges from 0.27 to 0.30.
50. The method of claim 41 , wherein the first gaseous molecular constituent includes CF4, the third gaseous molecular constituent includes H2, and the H2 molar ratio ranges up to 0.1 .
51 . The method of claim 41 , wherein the first gaseous molecular constituent includes CF4, the third gaseous molecular constituent includes H2, and the ratio of the flow rate of H2 to the flow rate of CF4 ranges up to 0.20.
52. The method of claim 41 , wherein the first gaseous molecular constituent includes CF4, the third gaseous molecular constituent includes H2, and the molecular ratio of hydrogen-to-fluorine for the combined flows of the first and second gaseous molecular constituents ranges up to 0.10.
53. The method of claim 41 , further comprising:
pulse modulating the introducing of the third gaseous molecular constituent.
54. The method of claim 53, further comprising:
selecting a process condition for the pulse modulating and controlling a profile of the open feature pattern formed within the silicon containing ARC layer, the process condition including setting a pulse amplitude, a pulse frequency, a pulse duty cycle, or a pulse waveform, or any combination thereof.
55. The method of claim 53, wherein the pulse modulating comprises pulsing the flow rate of the secondary etchant gas composition between an off-state and an on- state.
56. The method of claim 41 , further comprising:
selecting a process condition that includes setting a flow rate of the third gaseous molecular constituent to decrease a roughness of the open feature pattern formed in the patterned layer and the silicon containing ARC layer relative to a nominal roughness achievable using the process condition while introducing only the first and optionally second gaseous molecular constituents.
57. The method of claim 56, wherein the reduction of roughness exceeds 10% of the nominal roughness.
58. The method of claim 41 , further comprising:
electrically biasing a substrate holder that supports the substrate with radio frequency (RF) power; and
pulsing the RF power for the electrical biasing.
59. The method of claim 41 , wherein the etch selectivity exceeds a value of 5.
60. The method of claim 41 , wherein the patterned layer has an open feature pattern characterized by a first critical dimension (CD), and wherein a difference between a second CD measured at a bottom of the open feature pattern formed in the silicon containing ARC layer and the first CD is less than 20% of the first CD.
PCT/US2016/018122 2015-02-13 2016-02-16 Method for roughness improvement and selectivity enhancement during arc layer etch WO2016131061A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020177025498A KR102436638B1 (en) 2015-02-13 2016-02-16 Method for improving roughness and improving selectivity during ARC layer etching

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201562115974P 2015-02-13 2015-02-13
US201562115981P 2015-02-13 2015-02-13
US201562115969P 2015-02-13 2015-02-13
US62/115,974 2015-02-13
US62/115,969 2015-02-13
US62/115,981 2015-02-13

Publications (1)

Publication Number Publication Date
WO2016131061A1 true WO2016131061A1 (en) 2016-08-18

Family

ID=56615754

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2016/018122 WO2016131061A1 (en) 2015-02-13 2016-02-16 Method for roughness improvement and selectivity enhancement during arc layer etch

Country Status (2)

Country Link
KR (1) KR102436638B1 (en)
WO (1) WO2016131061A1 (en)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109411332A (en) * 2017-08-17 2019-03-01 中芯国际集成电路制造(上海)有限公司 Semiconductor devices and forming method thereof
US11284500B2 (en) 2018-05-10 2022-03-22 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator
US11462388B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11699572B2 (en) 2019-01-22 2023-07-11 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020016078A1 (en) * 1997-12-08 2002-02-07 Pavel Ionov Method for etching silicon oxynitride and dielectric antireflection coatings
US20020042196A1 (en) * 2000-10-07 2002-04-11 Se-Hyeong Lee Method of manufacturing a semiconductor device using anti-reflective layer and self-aligned contact technique and semiconductor device manufactured thereby
KR20100078853A (en) * 2008-12-30 2010-07-08 제일모직주식회사 Resist underlayer composition and process of producing integrated circuit devices using the same
KR20120067602A (en) * 2010-12-16 2012-06-26 제일모직주식회사 Hardmask composition and method of forming patterns and semiconductor integrated circuit device including the patterns
US20140349488A1 (en) * 2009-12-01 2014-11-27 Central Glass Company, Limited Etching Gas

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020016078A1 (en) * 1997-12-08 2002-02-07 Pavel Ionov Method for etching silicon oxynitride and dielectric antireflection coatings
US20020042196A1 (en) * 2000-10-07 2002-04-11 Se-Hyeong Lee Method of manufacturing a semiconductor device using anti-reflective layer and self-aligned contact technique and semiconductor device manufactured thereby
KR20100078853A (en) * 2008-12-30 2010-07-08 제일모직주식회사 Resist underlayer composition and process of producing integrated circuit devices using the same
US20140349488A1 (en) * 2009-12-01 2014-11-27 Central Glass Company, Limited Etching Gas
KR20120067602A (en) * 2010-12-16 2012-06-26 제일모직주식회사 Hardmask composition and method of forming patterns and semiconductor integrated circuit device including the patterns

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109411332A (en) * 2017-08-17 2019-03-01 中芯国际集成电路制造(上海)有限公司 Semiconductor devices and forming method thereof
US11284500B2 (en) 2018-05-10 2022-03-22 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11699572B2 (en) 2019-01-22 2023-07-11 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11462388B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11776789B2 (en) 2020-07-31 2023-10-03 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11887813B2 (en) 2021-06-23 2024-01-30 Applied Materials, Inc. Pulsed voltage source for plasma processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing

Also Published As

Publication number Publication date
KR20170117480A (en) 2017-10-23
KR102436638B1 (en) 2022-08-25

Similar Documents

Publication Publication Date Title
US9607843B2 (en) Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
US9576816B2 (en) Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
US9530667B2 (en) Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
WO2016131061A1 (en) Method for roughness improvement and selectivity enhancement during arc layer etch
CN107431011B (en) Method for atomic layer etching
JP6280030B2 (en) Etching process to control pattern critical dimension and integrity of multilayer masks
US9666447B2 (en) Method for selectivity enhancement during dry plasma etching
US8809196B2 (en) Method of etching a thin film using pressure modulation
KR101713330B1 (en) Method for patterning an arc layer using sf6 and a hydrocarbon gas
JP5349066B2 (en) Method for etching silicon-containing antireflection coating layer with reduced CD bias
US7998872B2 (en) Method for etching a silicon-containing ARC layer to reduce roughness and CD
US7858270B2 (en) Method for etching using a multi-layer mask
KR102328025B1 (en) Method for patterning differing critical dimensions at sub-resolution scales

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 16750072

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20177025498

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 16750072

Country of ref document: EP

Kind code of ref document: A1