JP6280030B2 - 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス - Google Patents
多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス Download PDFInfo
- Publication number
- JP6280030B2 JP6280030B2 JP2014501179A JP2014501179A JP6280030B2 JP 6280030 B2 JP6280030 B2 JP 6280030B2 JP 2014501179 A JP2014501179 A JP 2014501179A JP 2014501179 A JP2014501179 A JP 2014501179A JP 6280030 B2 JP6280030 B2 JP 6280030B2
- Authority
- JP
- Japan
- Prior art keywords
- pattern
- layer
- substrate
- mask layer
- flow rate
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000000034 method Methods 0.000 title claims description 171
- 230000008569 process Effects 0.000 title claims description 122
- 238000005530 etching Methods 0.000 title claims description 35
- 239000000758 substrate Substances 0.000 claims description 84
- 239000007789 gas Substances 0.000 claims description 69
- 239000000203 mixture Substances 0.000 claims description 21
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 19
- 239000001301 oxygen Substances 0.000 claims description 19
- 229910052760 oxygen Inorganic materials 0.000 claims description 19
- 238000000059 patterning Methods 0.000 claims description 19
- 239000006117 anti-reflective coating Substances 0.000 claims description 18
- 238000001459 lithography Methods 0.000 claims description 16
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 10
- 229910052710 silicon Inorganic materials 0.000 claims description 10
- 239000010703 silicon Substances 0.000 claims description 10
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 6
- 229910052799 carbon Inorganic materials 0.000 claims description 6
- 229910052756 noble gas Inorganic materials 0.000 claims description 6
- 150000001875 compounds Chemical class 0.000 claims description 5
- 230000015572 biosynthetic process Effects 0.000 claims description 4
- 229910003481 amorphous carbon Inorganic materials 0.000 claims description 2
- 238000001465 metallisation Methods 0.000 claims description 2
- 239000010410 layer Substances 0.000 description 105
- 238000012545 processing Methods 0.000 description 30
- 239000000463 material Substances 0.000 description 26
- 239000010409 thin film Substances 0.000 description 14
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 13
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 13
- 229910052794 bromium Inorganic materials 0.000 description 13
- 239000004065 semiconductor Substances 0.000 description 9
- 229920002120 photoresistant polymer Polymers 0.000 description 8
- 238000001020 plasma etching Methods 0.000 description 8
- 230000005855 radiation Effects 0.000 description 8
- 238000009826 distribution Methods 0.000 description 7
- 238000010438 heat treatment Methods 0.000 description 7
- 239000011248 coating agent Substances 0.000 description 6
- 238000000576 coating method Methods 0.000 description 6
- 238000001816 cooling Methods 0.000 description 6
- 230000006698 induction Effects 0.000 description 6
- 239000002184 metal Substances 0.000 description 6
- 229910052751 metal Inorganic materials 0.000 description 6
- 230000009467 reduction Effects 0.000 description 6
- 238000012546 transfer Methods 0.000 description 6
- 238000000151 deposition Methods 0.000 description 5
- 238000013461 design Methods 0.000 description 5
- 101100215341 Arabidopsis thaliana ACT12 gene Proteins 0.000 description 4
- 239000004215 Carbon black (E152) Substances 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- 238000011161 development Methods 0.000 description 4
- 229930195733 hydrocarbon Natural products 0.000 description 4
- 150000002430 hydrocarbons Chemical class 0.000 description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 4
- 101100434207 Arabidopsis thaliana ACT8 gene Proteins 0.000 description 3
- 238000000231 atomic layer deposition Methods 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 238000001514 detection method Methods 0.000 description 3
- 238000009616 inductively coupled plasma Methods 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- UMIVXZPTRXBADB-UHFFFAOYSA-N benzocyclobutene Chemical compound C1=CC=C2CCC2=C1 UMIVXZPTRXBADB-UHFFFAOYSA-N 0.000 description 2
- 239000006227 byproduct Substances 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 238000013400 design of experiment Methods 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 238000010894 electron beam technology Methods 0.000 description 2
- 238000009413 insulation Methods 0.000 description 2
- 239000004973 liquid crystal related substance Substances 0.000 description 2
- 229920000620 organic polymer Polymers 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 239000011347 resin Substances 0.000 description 2
- 229920005989 resin Polymers 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 230000003068 static effect Effects 0.000 description 2
- 239000004925 Acrylic resin Substances 0.000 description 1
- BSYNRYMUTXBXSQ-UHFFFAOYSA-N Aspirin Chemical compound CC(=O)OC1=CC=CC=C1C(O)=O BSYNRYMUTXBXSQ-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- 239000004734 Polyphenylene sulfide Substances 0.000 description 1
- 229910003691 SiBr Inorganic materials 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 239000003822 epoxy resin Substances 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- -1 fluorocarbon compound Chemical class 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 239000013529 heat transfer fluid Substances 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 229910052914 metal silicate Inorganic materials 0.000 description 1
- 238000001393 microlithography Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- 150000002894 organic compounds Chemical class 0.000 description 1
- 239000012044 organic layer Substances 0.000 description 1
- 235000012771 pancakes Nutrition 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 239000005011 phenolic resin Substances 0.000 description 1
- 229920006122 polyamide resin Polymers 0.000 description 1
- 229920000647 polyepoxide Polymers 0.000 description 1
- 229920001721 polyimide Polymers 0.000 description 1
- 239000009719 polyimide resin Substances 0.000 description 1
- 229920001955 polyphenylene ether Polymers 0.000 description 1
- 229920000069 polyphenylene sulfide Polymers 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 230000001902 propagating effect Effects 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 238000001228 spectrum Methods 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- 238000009827 uniform distribution Methods 0.000 description 1
- 229920006337 unsaturated polyester resin Polymers 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
- 238000005019 vapor deposition process Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
- H01L21/31122—Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/10—Measuring as part of the manufacturing process
- H01L22/12—Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/20—Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/20—Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
- H01L22/26—Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
Landscapes
- Engineering & Computer Science (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Drying Of Semiconductors (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
Description
臭素含有ガスの流速設定;
酸素含有ガスの流速設定;
酸素含有ガス量及び臭素含有含有量の流量比設定;
酸素含有ガス流速及び臭素含有ガス流速の流速比設定;
プラズマプロセスシステムの圧力設定;
基板保持のための基板ホルダ内の底部電極へ適用する第1の高周波数(RF)のためのパワーレベル設定;
基板の上の前記底部電極と対向する上部電極へ適用する第1の高周波数(RF)のためのパワーレベル設定;
プラズマプロセスシステムの温度条件設定;
基板又は基板ホルダの温度条件設定;及び/又は
オーバーエッチング時間設定。
さらには、チャンバ圧力をモニターする装置(図示されていない)が前記プラズマプロセスチャンバ10へ接続されてよい。
オーバーエッチング量を増加させて、前記プロセス条件は前記ラインCDのCD拡大からCD低減へ変更される。
Claims (13)
- 多層マスクをパターン化するための方法であり、前記方法は:
基板上に多層マスクを調製し、前記多層マスクはリソグラフィ層及び前記リソグラフィ層の下の中間マスク層を含み、前記中間マスク層が炭素含有化合物を含み;
前記リソグラフィ層にパターンを形成し、前記パターンは、最初のパターン限界寸法(CD)により特徴付けられ;
前記パターンを前記中間マスク層に移すためのエッチングプロセスレシピを確立し、前記エッチングプロセスレシピが、1又は複数のプロセスパラメータで定められる1又は複数のプロセス条件を含み;
前記エッチングプロセスレシピを用いて前記中間マスク層に形成されるべき中間パターンCDと、少なくとも1つの前記1つ又は複数のプロセスパラメータとの間の少なくとも1つのパラメータ関連性を確立することを含み、
前記少なくとも1つのパラメータ関連性が、前記最初のパターンCDを前記中間パターンCDへ増加するための第1のプロセス条件、及び前記最初のパターンCDを前記中間パターンCDへ低減するための第2のプロセス条件を与え;
前記少なくとも1つのパラメータ関連性を用いて前記1つ又は複数のプロセス条件からターゲットプロセス条件を選択して、前記最初のパターンCDと前記中間パターンCDの間のターゲットCD調節を達成し;及び
前記リソグラフィ層から前記中間マスク層へ前記パターンを、前記エッチングプロセスレシピと前記ターゲットプロセス条件を用いて移し、
前記エッチングプロセスレシピが、HBrガス、O 2 およびCO 2 からなる群から選択される、1種または2種以上の酸素含有ガス及び任意に希ガスからなるプロセス組成物を用いたプラズマ形成を含む、方法。 - 請求項1に記載の方法であり、前記中間マスク層が、有機平坦化層(OPL)又は有機絶縁層(ODL)を含む、方法。
- 請求項1に記載の方法であり、前記中間マスク層がアモルファスカーボンを含む、方法。
- 請求項1に記載の方法であり、前記プロセス組成物が、HBr、O2、CO2及びHeからなる、方法。
- 請求項1に記載の方法であり、前記少なくとも1つパラメータ関連性が、前記中間パターンCD及び、前記酸素含有ガス量と前記HBrガス量の流量比との間の関連性を確立する、方法。
- 請求項5に記載の方法であり、前記流量比は、O2の流速をHBrの流速で割り算することで評価される、方法。
- 請求項1に記載の方法であり、前記最初のパターンCDは、前記酸素含有ガスの流速に対して前記HBrガスの流速を増加させることで、前記中間パターンCDへ低減される、又は前記最初のパターンCDは、前記酸素含有ガスの流速に対して前記HBrガスの流速を低減させることで、前記中間パターンCDへ増加される、方法。
- 請求項1に記載の方法であり、さらに:
前記中間マスク層の前記パターンについてのパターンラフネスと、少なくとも1つの前記1つ又は複数のプロセスパラメータとの間の少なくとも1つの第2パラメータ関連性を確立することを含み、前記少なくとも1つの第2のパラメータ関連性が前記パターンラフネスを低減するためのプロセス条件を与える、方法。 - 請求項8に記載の方法であり、前記パターンラフネスが、ラインエッジラフネス(LER)、又はライン幅ラフネス(LWR)、又はそれらの組み合わせを含む、方法。
- 請求項1に記載の方法であり、前記最初のパターン及び中間パターンCDが、ラインCD又は空間CDのための尺度を与える、方法。
- 請求項10に記載の方法であり、前記最初のパターンCDが、フロント−エンド−オブ−ライン(FEOL)操作でゲートパターン化のためのラインCDを含む、又は前記最初のパターンCDが、バック−エンド−オブ−ライン(BEOL)操作で金属化パターン化のためのビア又はトレンチCDを含む、方法。
- 請求項1に記載の方法であり、前記多層マスクがさらに、前記リソグラフィ層と前記中間マスク層の間に挿入された、シリコン含有反射防止コーティング(ARC)層を含む、方法。
- 請求項1に記載の方法であり、さらに;前記中間マスク層の前記パターンをオーバーエッチングして、さらに前記中間パターンCDを変更中間CDへ調節することを含む、方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US13/053,215 | 2011-03-22 | ||
US13/053,215 US8334083B2 (en) | 2011-03-22 | 2011-03-22 | Etch process for controlling pattern CD and integrity in multi-layer masks |
PCT/US2012/029767 WO2012129209A2 (en) | 2011-03-22 | 2012-03-20 | Etch process for controlling pattern cd and integrity in multi-layer masks |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2014512096A JP2014512096A (ja) | 2014-05-19 |
JP6280030B2 true JP6280030B2 (ja) | 2018-02-14 |
Family
ID=45992834
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2014501179A Active JP6280030B2 (ja) | 2011-03-22 | 2012-03-20 | 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス |
Country Status (5)
Country | Link |
---|---|
US (1) | US8334083B2 (ja) |
JP (1) | JP6280030B2 (ja) |
KR (1) | KR101887723B1 (ja) |
TW (1) | TWI458014B (ja) |
WO (1) | WO2012129209A2 (ja) |
Families Citing this family (28)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP6140412B2 (ja) * | 2012-09-21 | 2017-05-31 | 東京エレクトロン株式会社 | ガス供給方法及びプラズマ処理装置 |
US8853085B1 (en) | 2013-04-23 | 2014-10-07 | International Business Machines Corporation | Grapho-epitaxy DSA process with dimension control of template pattern |
US9105478B2 (en) * | 2013-10-28 | 2015-08-11 | Globalfoundries Inc. | Devices and methods of forming fins at tight fin pitches |
US9425094B2 (en) * | 2014-12-26 | 2016-08-23 | Taiwan Semiconductor Manufacturing Co., Ltd | Mechanisms for forming semiconductor device structure with feature opening |
US9786503B2 (en) * | 2015-04-08 | 2017-10-10 | Tokyo Electron Limited | Method for increasing pattern density in self-aligned patterning schemes without using hard masks |
JP2016213475A (ja) * | 2015-05-13 | 2016-12-15 | 東京エレクトロン株式会社 | シュリンク及び成長方法を使用する極端紫外線感度低下 |
US9748110B2 (en) * | 2015-09-03 | 2017-08-29 | Tokyo Electron Limited | Method and system for selective spacer etch for multi-patterning schemes |
US9899219B2 (en) * | 2016-02-19 | 2018-02-20 | Tokyo Electron Limited | Trimming inorganic resists with selected etchant gas mixture and modulation of operating variables |
US10056265B2 (en) | 2016-03-18 | 2018-08-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Directed self-assembly process with size-restricted guiding patterns |
JP6541618B2 (ja) * | 2016-05-25 | 2019-07-10 | 東京エレクトロン株式会社 | 被処理体を処理する方法 |
JP6587580B2 (ja) * | 2016-06-10 | 2019-10-09 | 東京エレクトロン株式会社 | エッチング処理方法 |
US9673199B1 (en) | 2016-06-21 | 2017-06-06 | International Business Machines Corporation | Gate cutting for a vertical transistor device |
US10707139B2 (en) * | 2016-11-28 | 2020-07-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for adjusting etching parameters |
US10551749B2 (en) | 2017-01-04 | 2020-02-04 | Kla-Tencor Corporation | Metrology targets with supplementary structures in an intermediate layer |
KR102310079B1 (ko) | 2017-03-03 | 2021-10-08 | 삼성전자주식회사 | 반도체 소자 |
KR102594444B1 (ko) * | 2017-06-08 | 2023-10-25 | 도쿄엘렉트론가부시키가이샤 | 황 기반 화학물을 이용한 실리콘 함유 유기 막의 플라즈마 에칭 방법 |
KR102375887B1 (ko) | 2017-06-13 | 2022-03-18 | 삼성전자주식회사 | 반도체 장치 및 이미지 센서 |
US10734238B2 (en) * | 2017-11-21 | 2020-08-04 | Lam Research Corporation | Atomic layer deposition and etch in a single plasma chamber for critical dimension control |
US10658174B2 (en) | 2017-11-21 | 2020-05-19 | Lam Research Corporation | Atomic layer deposition and etch for reducing roughness |
US10515815B2 (en) | 2017-11-21 | 2019-12-24 | Lam Research Corporation | Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation |
US10446394B2 (en) | 2018-01-26 | 2019-10-15 | Lam Research Corporation | Spacer profile control using atomic layer deposition in a multiple patterning process |
US11227767B2 (en) | 2018-05-03 | 2022-01-18 | Tokyo Electron Limited | Critical dimension trimming method designed to minimize line width roughness and line edge roughness |
KR102460795B1 (ko) | 2018-05-09 | 2022-10-28 | 도쿄엘렉트론가부시키가이샤 | 낮은 종횡비 적층물의 패터닝을 위한 방법 및 시스템 |
US10748823B2 (en) * | 2018-09-27 | 2020-08-18 | International Business Machines Corporation | Embedded etch rate reference layer for enhanced etch time precision |
US11355342B2 (en) * | 2019-06-13 | 2022-06-07 | Nanya Technology Corporation | Semiconductor device with reduced critical dimensions and method of manufacturing the same |
CN112851131A (zh) * | 2019-11-26 | 2021-05-28 | 惠州市清洋实业有限公司 | 一种用于处理摄像头镜片cd纹蚀刻液及其使用方法 |
WO2022252707A1 (zh) * | 2022-02-24 | 2022-12-08 | 袁元 | 半导体器件的加工控制方法、装置及高能粒子束光刻设备 |
WO2024077586A1 (zh) * | 2022-10-14 | 2024-04-18 | 袁元 | 半导体器件的加工控制方法及高能粒子束光刻设备 |
Family Cites Families (17)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP0859400A3 (en) * | 1996-12-23 | 1998-09-02 | Texas Instruments Incorporated | Improvements in or relating to integrated circuits |
JP3331979B2 (ja) * | 1997-08-29 | 2002-10-07 | 株式会社デンソー | 半導体のエッチング方法 |
US6682861B2 (en) * | 1999-09-30 | 2004-01-27 | Photronics, Inc. | Disposable hard mask for phase shift photomask plasma etching |
US6350390B1 (en) | 2000-02-22 | 2002-02-26 | Taiwan Semiconductor Manufacturing Company, Ltd | Plasma etch method for forming patterned layer with enhanced critical dimension (CD) control |
JP4455936B2 (ja) * | 2003-07-09 | 2010-04-21 | 富士通マイクロエレクトロニクス株式会社 | 半導体装置の製造方法とエッチングシステム |
US7354847B2 (en) | 2004-01-26 | 2008-04-08 | Taiwan Semiconductor Manufacturing Company | Method of trimming technology |
US6893975B1 (en) | 2004-03-31 | 2005-05-17 | Tokyo Electron Limited | System and method for etching a mask |
US7375038B2 (en) * | 2005-09-28 | 2008-05-20 | Applied Materials, Inc. | Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication |
KR100694412B1 (ko) | 2006-02-24 | 2007-03-12 | 주식회사 하이닉스반도체 | 반도체소자의 미세패턴 형성방법 |
US8367303B2 (en) | 2006-07-14 | 2013-02-05 | Micron Technology, Inc. | Semiconductor device fabrication and dry develop process suitable for critical dimension tunability and profile control |
KR100898590B1 (ko) | 2007-08-13 | 2009-05-20 | 주식회사 하이닉스반도체 | 반도체 소자 제조 방법 |
US7888267B2 (en) | 2008-02-01 | 2011-02-15 | Tokyo Electron Limited | Method for etching silicon-containing ARC layer with reduced CD bias |
JP2010098176A (ja) * | 2008-10-17 | 2010-04-30 | Fujitsu Microelectronics Ltd | 半導体装置の製造方法 |
JP5176902B2 (ja) * | 2008-11-21 | 2013-04-03 | 富士通セミコンダクター株式会社 | 電子デバイスの製造方法及び設定装置 |
JP2010161162A (ja) | 2009-01-07 | 2010-07-22 | Tokyo Electron Ltd | 微細パターンの形成方法 |
TW201108324A (en) | 2009-03-24 | 2011-03-01 | Tokyo Electron Ltd | A method for processing a substrate |
US8236700B2 (en) | 2009-08-17 | 2012-08-07 | Tokyo Electron Limited | Method for patterning an ARC layer using SF6 and a hydrocarbon gas |
-
2011
- 2011-03-22 US US13/053,215 patent/US8334083B2/en active Active
-
2012
- 2012-03-06 TW TW101107496A patent/TWI458014B/zh not_active IP Right Cessation
- 2012-03-20 KR KR1020137027624A patent/KR101887723B1/ko active IP Right Grant
- 2012-03-20 JP JP2014501179A patent/JP6280030B2/ja active Active
- 2012-03-20 WO PCT/US2012/029767 patent/WO2012129209A2/en active Application Filing
Also Published As
Publication number | Publication date |
---|---|
KR101887723B1 (ko) | 2018-08-10 |
US8334083B2 (en) | 2012-12-18 |
WO2012129209A2 (en) | 2012-09-27 |
TWI458014B (zh) | 2014-10-21 |
TW201243940A (en) | 2012-11-01 |
JP2014512096A (ja) | 2014-05-19 |
US20120244458A1 (en) | 2012-09-27 |
KR20140031224A (ko) | 2014-03-12 |
WO2012129209A3 (en) | 2012-11-15 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6280030B2 (ja) | 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス | |
JP5577530B2 (ja) | 六フッ化硫黄(sf6)および炭化水素ガスを用いた反射防止層のパターニング方法 | |
US7888267B2 (en) | Method for etching silicon-containing ARC layer with reduced CD bias | |
CN107431011B (zh) | 用于原子层蚀刻的方法 | |
US8809196B2 (en) | Method of etching a thin film using pressure modulation | |
TWI620246B (zh) | 於抗反射塗佈層蝕刻期間使用氫以改良粗糙度及提升選擇性的方法 | |
US7998872B2 (en) | Method for etching a silicon-containing ARC layer to reduce roughness and CD | |
US8252192B2 (en) | Method of pattern etching a dielectric film while removing a mask layer | |
US7858270B2 (en) | Method for etching using a multi-layer mask | |
KR101688231B1 (ko) | Co2/co계 처리를 이용하여 기판을 애싱하기 위한 저손상 방법 | |
WO2013192323A1 (en) | Sidewall protection of low-k material during etching and ashing | |
KR20170117480A (ko) | Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법 | |
US8080473B2 (en) | Method for metallizing a pattern in a dielectric film | |
US7947609B2 (en) | Method for etching low-k material using an oxide hard mask | |
US20100216310A1 (en) | Process for etching anti-reflective coating to improve roughness, selectivity and CD shrink | |
US7935640B2 (en) | Method for forming a damascene structure | |
US20090246713A1 (en) | Oxygen-containing plasma flash process for reduced micro-loading effect and cd bias |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A072 | Dismissal of procedure [no reply to invitation to correct request for examination] |
Free format text: JAPANESE INTERMEDIATE CODE: A072 Effective date: 20140527 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20150317 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20160628 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20160926 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20161125 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20170228 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20170524 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20170725 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20180109 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20180118 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 6280030 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
S111 | Request for change of ownership or part of ownership |
Free format text: JAPANESE INTERMEDIATE CODE: R313117 |
|
R350 | Written notification of registration of transfer |
Free format text: JAPANESE INTERMEDIATE CODE: R350 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |