JP2014512096A - 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス - Google Patents

多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス Download PDF

Info

Publication number
JP2014512096A
JP2014512096A JP2014501179A JP2014501179A JP2014512096A JP 2014512096 A JP2014512096 A JP 2014512096A JP 2014501179 A JP2014501179 A JP 2014501179A JP 2014501179 A JP2014501179 A JP 2014501179A JP 2014512096 A JP2014512096 A JP 2014512096A
Authority
JP
Japan
Prior art keywords
pattern
layer
containing gas
mask
mask layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014501179A
Other languages
English (en)
Other versions
JP6280030B2 (ja
Inventor
ホアン ルオン,ヴィン
明輝 高
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2014512096A publication Critical patent/JP2014512096A/ja
Application granted granted Critical
Publication of JP6280030B2 publication Critical patent/JP6280030B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

多層マスク(150、150’、220)をパターン化するための方法が記載されている。前記方法は、基板(110、110’、200)上に多層マスク(150、150’、220)を調製することを含み、前記多層マスク(150、150’、220)はリソグラフィ層(226)及び前記リソグラフィ層(226)の下層の中間マスク層(222)を含み、前記空間マスク層(222)が炭素含有化合物を含む。前記方法はさらに:パターン(230)を前記中間マスク層(222)へ移すためのエッチングプロセスレシピを確立し、これは前記リソグラフィ層(226)に形成され、及び最初のパターン限界寸法(CD)(152、152’、232、232’)で特徴づけられ、前記中間マスク層(222)に形成されるべき中間パターンCD(154、154’、252、252’、262、262’、272、272’)と少なくとも1つのプロセスパラメータとの間の少なくとも1つのパラメータ関連性を確立し、前記少なくとも1つのパラメータ関連性が、前記最初のパターンCD(152、152’、232、232’)を前記中間パターンCD(154、154’、252、252’、262、262’、272、272’)へ増加及び低減することが可能なプロセス条件を与え;前記最初のパターンCd(152、152’、232、232’)と前記中間パターンCD(154、154’、252、252’、262、262’、272、272’)との間のターゲットCd調節を達成するための条件を選択し;及び前記パターンを、前記リソグラフィ層(226)から前記ターゲットプロセス条件を用いて前記中間マスク層へ移すことを含む。

Description

本発明は多層マスクをパターンエッチングするための方法に関する。
通常は、集積回路(IC)の製造の際、半導体製造装置は、(ドライ)プラズマエッチングプロセスを用いて、半導体基板上にパターン化された微細ラインに沿って、又はビア又はコンタクト内のエッチング材料を除去する。前記プラズマエッチングプロセスを成功させるには、実質的に他の材料をエッチングすることなく、1つの材料を選択的にエッチングするための好適な化学反応を含むエッチング化学を必要とする。
例えば、半導体基板上で、多層マスクに形成されたパターンが、プラズマエッチングプロセスを用いて選択された材料の下層へ移され得る。前記多層マスクは、リソグラフィプロセスを用いてそこに形成されたパターを持つ、フォトレジスト層などのリソグラフィ層を含み得る。前記多層マスクはさらに、反射防止コーティング(ARC)、有機平坦化層(OPL)、有機絶縁層(ODL)などの追加の層を、前記リソグラフィ層の下に含み、前記リソグラフィ層に形成されたパターンが続いて、前記1又は複数の追加のマスク層へ、一連のエッチングステップを介して移され得る。
前記リソグラフィ層から前記1又は複数の追加のマスク層へ前記パターンを移す際に、前記パターンの限界寸法(CD)を制御し、同様にパターンインテグリティ(例えば、ラインエッジラフネス(LER)、ライン幅ラフネス(LWR)など)を維持及び/又は改善することが望ましい。加えて、前記基板にわたり前記CD/パターンインテグリティの均一な分布を制御可能に達成することが望ましい。さらには、ネスト化された構造(緊密間隔)及び分離された(広い間隔を持った)構造の両方で同じCD/パターンインテグリティを制御可能に達成することが望ましい。エッチング化学がCDを削減させるために存在する一方でなお、多層マスクをパターン化する際に、CDを加減の両方を可能にし得るエッチングプロセスの必要性が存在する。
本発明は多層マスクをパターン化するための方法に関する。本発明はさらに、炭素含有層をパターンエッチングするための方法、特に、炭素含有層を含む多層マスクをパターン化する際に、限界寸法(CD)及びパターンインテグリティを制御するためにエッチングプロセスに関する。
1つの実施態様によると、多層マスクをパターン化するための方法が記載される。前記方法は、基板上に多層マスクを調製することを含み、前記多層マスクは、リソグラフィ層及び前記リソグラフィ層の下に中間マスク層を含み、及び前記中間マスク層は炭素含有化合物を含む。前記方法はさらに:前記リソグラフィ層に形成され及び最初のパターン限界寸法(CD)により特徴づけられるパターンを前記中間マスク層へ移すためのエッチングプロセス手順を確立し;前記中間マスク層に形成されるべき中間パターンCDと、少なくとも1つのプロセスパラメータとの間の少なくとも1つのパラメータ関連性を確立し、そこで、前記少なくとも1つのパラメータ関連性が、前記最初のパターンCDを前記中間パターンCDへ増加及び減少し得るプロセス条件を与え;前記最初のCD及び前記中間パターンCDの間のターゲットCD調節を達成するためのターゲットプロセス条件を選択し;及び前記リソグラフィ層から前記中間マスク層を、前記ターゲットプロセス条件を用いて前記パターンを移すことを含む。
他の実施態様によると、前記エッチングプロセス手順は、臭素含有ガス、酸素含有ガス及び場合により希ガスを含むプロセス組成物を用いるプラズマ形成を含む。
図1Aは、種々の実施態様による基板上の多層マスクのための例示的使用を提供する。 図1Bは、種々の実施態様による基板上の多層マスクのための例示的使用を提供する。 図2Aは、基板上の多層マスクをパターン化するための手順を模式的に表す。 図2Bは、基板上の多層マスクをパターン化するための手順を模式的に表す。 図2Cは、基板上の多層マスクをパターン化するための手順を模式的に表す。 図3は、ひとつの実施態様による基板上に多層マスクをパターン化するための方法を説明するフローチャートを提供する。 図4は、ひとつの実施態様によるプラズマ処理の模式図である。 図5は、他の実施態様によるプラズマ処理の模式図である。 図6は、他の実施態様によるプラズマ処理の模式図である。 図7は、他の実施態様によるプラズマ処理の模式図である。 図8は、他の実施態様によるプラズマ処理の模式図である。 図9は、他の実施態様によるプラズマ処理の模式図である。 図10は、他の実施態様によるプラズマ処理の模式図である。 図11Aは、種々の実施態様による基板上に多層マスクをパターン化する方法の例示的データを示す。 図11Bは、種々の実施態様による基板上に多層マスクをパターン化する方法の例示的データを示す。
以下の説明では、説明目的であり限定するものではなく、プロセスシステムの具体的な形状、種々の部品及び使用される工程(プロセス)などが説明される。しかし理解されるべきことは、本発明はこれらの具体的詳細から離れて他の実施態様で実施され得るものであり、ということである。
同様に、説明の目的で、具体的な数、材料及び構成が、本発明の完全な理解を与えるために説明される。しかし、本発明は具体的詳細がなくても実施され得る。さらに理解されるべきことは、図面に示される種々の実施態様が図示されるけれども、必ずしも寸法の通りではない。
以下種々の操作は、本発明を理解する上で助けとなる方法で、順に多数の別々の操作として説明される。しかしこの説明の順は、これらの操作が順序に依存する必要があることを意味するように解釈されるべきではない。特にこれらの操作は表される順に実施される必要はない。説明される操作は、説明される実施態様とは異なる順で実施され得る。種々の追加の操作が実施され及び/又は説明された操作は追加の実施態様では省略され得る。
ここで使用される「基板」は一般的に、本発明により処理(プロセス)される対象物を意味する。前記基板は、装置、特に半導体又は他の電子装置の任意の材料部分又は構造を含み、例えば、半導体ウェハなどのベース基板構造、又は薄膜などのベース基板上の又は上に重なる層であり得る。従って、基板は、ベース基板構造、又は薄膜などのベース基板上の又は上に重なる層、パターン化されているか又はパターン化されていないかに限定されることを意図するものではなく、むしろ、全てのかかる層又はベース構造、及び層及び/又はベース構造の全ての組み合わせを含むことを意図される。以下の説明は、基板の具体的なタイプを参照するが、これは説明を目的とするものであり、なんらを制限するものではない。
材料処理方法で上で説明したように、プラズマはしばしば、前記基板から材料を除去することを容易にするか、又は前記基板上の材料を堆積させるための膜形成反応を容易にするために、基板上の表面化学を作り出し補助するために利用される。基板のエッチングの際、プラズマは、前記基板の表面上のある材料と反応させる好適な反応性化学種を作り出すために利用され得る。さらに、基板のエッチングの際、プラズマが、前記基板上の表面反応にエネルギーを伝達するために有用である荷電種を作るために利用され得る。
ひとつの例によると、パターンエッチングは、放射線感受性材料(例えばフォトレジスト)の薄層などのリソグラフィ層を、エッチングの際に前記基板上の下の薄膜層にこのパターンを移すためにマスクを提供するため、続いてパターン化される基板の上部表面に適用することを含む。前記放射線感受性材料のパターン化は一般的に、前記リソグラフィ層を、例えばマイクロリソグラフィシステムを用いて電磁波(EM)放射の形状パターンに暴露し、続いて現像液を用いて、前記放射線感受性材料の前記放射領域が除去されるか(ポジティブトーンフォトレジストの場合に)、又は非放射領域が除去される(ネガティブトーンフォトレジストの場合に)。
従来技術のリソグラフィ技術を用いて前記リソグラフィ層のより薄い構造をパターン化するために、多層マスクが実現され得る。例えば、多層マスクは、二層マスク又は三層マスクを含み得る。第2及び第3マスク層を含めて、最上部リソグラフィ層は、続くドライエッチングプロセスに耐えるように通常選択された厚さよりも薄く、従って、従来のリソグラフィ技術を用いて、より微細な構造が前記より薄いリソグラフィ層に形成され得る。その後、前記リソグラフィ層で形成されるより微細な構造は、ドライエッチングプロセスなどのドライ現像プロセスを用いる下層の第2又は第3マスク層へ移され得る。
しかし、前記多層マスクの下の第2及び第3マスク層へ前記パターンを移す際に、限定されるものではないがCD低減、CD拡大、パターンラフネス低減などを含む前記パターンのインテグリティ及びCDのさらなる制御を生成するための必要性が存在する。加えて、前記基板全体にわたり、均一に又は異なるように、前記CDを維持、拡大又は低減させる必要性が存在する。さらに、ネスト化(緊密な空間配置)構造及び分離(広い空間配置)構造に対してCD制御を均一に又は異なるように適用する必要性が存在する。さらには、ラインエッジラフネス(LER)及びライン幅ラフネス(LWR)などの前記多層マスク内のパターン欠陥を修正する必要性が存在する。パターンを移す際に、従来のプロセス化学は、許容可能なCD制御を生成することができない。
ひとつの実施態様のよると、CD制御は、フロント−エンド−オブ−ライン(FEOL)操作においてゲートスタックのパターン化へ適用される。そこでは望ましいことは、前記印刷された多層マスクをエッチングしてより狭いゲートを形成するために、前記多層マスクの前記ラインCDを低減することである。図1Aに示されるように、基板110上に形成されたゲートスタック100が示され、前記ゲートスタック100はゲート界面層120、ゲート絶縁130、ゲート電極140及び多層マスク150を含む。少なくとも前記多層マスク150の一部分は、前記ゲートのための最初のパターンCD152で印刷され得る。前記多層マスク150の前記暴露側壁から材料を除去することで、中間パターンCD154が生成され、従って前記ゲートの前記ラインCDを低減させ得る。その後、前記中間パターンCD154は、前記下の層(図1Aでの点線参照)内に拡張され、低減されたライン幅を持つパターン化ゲートスタックを生成する。
他の実施態様によると、CD制御は、バック−エンド−オブ−ライン(BEOL)操作で金属化のためのトレンチ又はビアのパターン化へ適用される。そこでは望ましいことは、前記多層マスク内で、前記印刷された多層マスク上に堆積したより狭いトレンチ又はビアを形成させることで前記空間CDを低減(又は前記ラインCDを拡大)することである。図1Bに示されるように、基板110’上に形成される相互接続スタック100’が示され、前記相互接続スタック100’は第1のキャップ層120’、相互接続絶縁130’、第2のキャップ層140’及び多層マスク150’を含む。少なくとも前記多層マスク150’の一部分が、前記トレンチ又はビアのために最初のパターンCD152’で印刷され得る。前記多層マスク150’の暴露側壁へ材料を追加することで、中間パターンCD154’が生成され、従って、前記トレンチ又はビアのための空間CDが低減される。その後、前記中間パターンCD154’は下の層(図1Bの点線を参照)内に拡張されて、低減された空間幅を持つパターン化相互接続を生成する。
従って、ひとつの実施態様によると、基板上の多層マスクをパターン化するための方法は、図2Aから2Cに示され、図3のフローチャート300で説明される。従来技術の多層マスクパターン化方法とは異なり、ここで記載される方法は、CD低減及びCD拡大の両方を可能とする方法である。前記方法は310で、薄膜210上の多層マスク220を形成することで開始される。前記多層マスク220は、リソグラフィ層226、場合により反射防止コーティング(ARC)層224及び中間マスク層222を含む。
前記基板200は、半導体基板、シリコン−オン−インシュレータ(SIO)基板、フラットパネルディスプレ又は液晶ディスプレイを含み得る。
前記薄膜210は、導電層、非導電層又は半導電性層を含み得る。例えば、前記薄膜210は、金属、金属酸化物、金属窒化物、金属酸窒化物、金属ケイ酸塩、金属リシサイド、シリコン、多結晶性シリコン(ポリシリコン)、ドープ化シリコン、二酸化ケイ素、シリコン窒化物、シリコン炭化物又はシリコン酸窒化物などを含む材料層を含み得る。加えて、例えば、前記薄膜210は、見かけ誘電率が約4(例えば熱二酸化ケイ素の誘電率は、3.8から3.9である)であるSiOより低い誘電率を持つ、低誘電率(即ち、low−k)又は超−低誘電率(即ち、超−low−k)誘電層を含み得る。より具体的には、前記薄膜210は、誘電率が3.7未満、又は1.6から3.7の範囲の誘電率を持つ。前記薄膜210は多孔性又は非多孔性であり得る。
ひとつの実施態様によると、前記薄膜210はシリコン窒化物(SiN又はより一般的にはSi)を含む。例えば、シリコン窒化物の薄膜は、ポリシリコンのゲートパターン又は金属ゲートを調製する際にハードマスク層として使用され得る。前記パターンは、以下説明されるように、前記シリコン窒化物内に、最終的には前記下層にエッチングされる。
前記薄膜210は、化学蒸着(CVD)、プラズマ強化CVD(PECVD)、原子層堆積(ALD)、プラズマ強化ALD(PEALD)、又はイオン化PVD(iPVD)、又はスピン−オン技術などの蒸着技術を用いて形成され、これは例えば東京エレクトロン株式会社(TEL)から入手可能なシステムで、Clean Track ACT8SOD(スピン−オン−誘電体)、ACT12 SOD及びLithiusコーティングシステムなどが利用され得る。前記Clean Track ACT8(200mm)、ACT12(300mm)及びLithius(300 mm)コーティングシステムは、SOD材料をのための、コーティング、ベーキング及びキュア(cure)器具を提供する。前記トラックシステムは、100mm、200mm、300mm及び以上の基板サイズを処理するように構成され得る。基板上に薄膜を形成するための他のシステム及び方法は、スピン−オン技術及び蒸着技術の当業者によく知られている。
前記リソグラフィ層226は、フォトレジストなどの放射線感受性材料の層を含む。前記フォトレジスト層は、248nm(ナノメートル)レジスト、193nmレジスト、157nmレジスト、EUV(極紫外)レジスト又は電子ビームレジストを含み得る。前記フォトレジスト層はトラックシステムを用いて形成され得る。例えば、前記トラックシステムは、例えば東京エレクトロン社(TEL)から入手可能なシステムで、Clean Track ACT8、ACT12又はLithiusレジストコーティング及び現像システムなどが利用され得る。基板上にフォトレジスト層を形成するための他のシステム及び方法は、スピン−オン技術及び蒸着技術の当業者によく知られている。
前記場合によるARC層224はシリコン含有ARC層を含み得る。例えば、前記場合によるARC層224は、シリコン含有ARCで、市販入手可能な例えば、信越化学株式会社のSepr−Shb A series SiARCが挙げられる。前記場合によるARC層224は、例えば、スピンコーティング技術又は蒸着プロセスを使用して適用され得る。
前記中間マスク層222は、無機層又は有機層を含み得る。例えば、前記中間マスク層222は、炭素含有化合物を含み得る。加えて、例えば、前記中間マスク層222はアモルファスカーボンを含み得る。さらに、例えば、前記中間マスク層222は、有機絶縁層(ODL)又は有機平坦化層(OPL)を含み得る。前記EDL又はPOLは、光感受性有機ポリマー又はエッチング型有機化合物を含み得る。例えば、前記光感受性有機ポリマーは、ポリアクリレート樹脂、エポキシ樹脂、フェノール樹脂、ポリアミド樹脂、ポリイミド樹脂、不飽和ポリエステル樹脂、ポリフェニレンエーテル樹脂、ポリフェニレンスルフィド樹脂又はベンゾシクロブテン(BCB)であり得る。これらの材料は、スピン−オン技術また蒸着技術を用いて形成され得る。
320では、パターン230が前記リソグラフィ層226に形成され、前記パターン230は、最初のパターンCD232、232’で特徴づけられる。図2Aに示されるように、前記リソグラフィ層226は、イメージパターンでイメージ化される。EM放射への暴露は、ドライ又はウェットフォトリソグラフィシステムで実施される。前記イメージパターンは、任意の好適な従来技術ステッピングリソグラフィシステム又はスキャニングリソグラフィシステムを用いて形成され得る。例えば、前記フォトリソグラフィシステムは、ASML Netherlands B.V.(De Run 6501、5504 DR Veldhoven、The Netherlands)、又はCanon USA、Inc.、Semiconductor Equipment Division(3300 North First Street、San Jose、CA95134)から市販されている。従って、前記イメージパターンは、前記リソグラフィ層226で現像され、最初のパターンCD232を持つ分離された構造231と、最初のパターンCD232’を持つネスト化された構造231’を持つ前記パターン230を形成する。前記現像プロセスは、前記基板をトラックシステムなどの現像システムの現像液に暴露することを含む。例えば、前記トラックシステムは、東京エレクトロン株式会社(TEL)の市販で利用可能な、Clean Track ACT8、ACT12、又はLithiusレジストコーティング及び現像システムが挙げられる。
前記リソグラフィ層226のパターン化に続いて、以下詳細に説明されるように、基板200は移送されてプラズマプロセスシステム内に置かれる。
図2Bで示されるように、前記分離構造231とネスト化構造231’を含むパターン230は、前記リソグラフィ層226から、シリコン含有ARC層などの前記場合によるARC層224へ、ドライプラズマエッチングプロセスを用いて移される。前記エッチングプロセスは、プロセスレシピを確立し、前記プロセスレシピに従い、前記プラズマプロセスシステムに、を含むプロセス組成物を導入し、フッ化炭素化合物、フッ化炭化水素化合物及び/又はSFなどのハロゲン含有化合物、及び場合による、酸素含有ガス、水素含有ガス、窒素含有ガス、炭素含有ガス及び/又は炭化水素ガスを含むプロセスガスを含むプロセス組成物を導入し、前記プロセスレシピに従い前記プラズマプロセスシステムで前記プロセス組成物からプラズマを生成し、及び前記基板200を、前記プラズマに暴露して、前記リソグラフィ層226の前記パターン230を前記下層の場合によるARC層224へ移す、ことを含む。
前記炭化水素ガスは、C含有ガスであり、ここでx及びyは1以上の整数である。例えば、前記炭化水素ガスは、ハロゲン不含有のC含有ガスを含む。加えて、例えば、前記炭化水素ガスは、C、CH、C、C、C、C、C、C、C、C10、C、C10、C、C10及びC12を含み得る。例えば、前記プロセス組成物は、SF及びCを含み得る。又は、例えば、前記プロセス組成物は、SF及びCからなり得る。
前記プロセス組成物はさらに、C、C、C、C、CHF、又はCH、又はそれらの2以上の組み合わせを含み得る。加えて、前記プロセス組成物は、さらに、プラズマの存在下で前記基板で化学的に不活性である不活性ガスを含み得る。例えば、前記プロセスガスは希ガスを含み得る。又は前記プロセスガスはアルゴン(Ar)を含み得る。
図2Bに示されるように、前記パターンを移す際に、前記リソグラフィ層226のパターン230の分離された構造231のための最初のパターンCD232は、前記場合によるARC層224内のARCパターンCD242へ同じく維持、低減又は拡大される。加えて、前記パターンを移す際、前記リソグラフィ層226のパターン230のネスト化された構造231’のための最初のパターンCD232’は、前記場合によるARC層224内のARCパターンCD242’へ同じく維持、低減又は拡大される。
図2Cに示されるように、前記分離された構造231とネスト化された構造231’を含むパターン231は、前記リソグラフィ層226又は前記場合によるARC層224から前記中間層222へドライプラズマエッチングプロセスを用いて移される。そこで、前記パターンを移す際、前記リソグラフィ層226のパターン230の分離構造231についてのパターンCD232は、前記中間マスク層222の中間パターンCD252、262、272へ、それぞれ、そのまま維持、低減又は拡大される。加えて、前記パターンを移す際、前記リソグラフィ層226のパターン230のネスト化構造231’についてのパターンCD232’は、前記中間マスク層222の中間パターンCD252’、262’、272’へ、それぞれ、そのまま維持、低減又は拡大される。
330で、エッチングプロセスレシピが、前記パターン230を前記中間マスク層222へ移すために確立され、そこで、前記エッチングプロセスレシピは、1又は複数のプロセスパラメータで定められる1又は複数のプロセス条件を含む。前記エッチングプロセスレシピは、臭素含有ガス、酸素含有ガス、及び場合により希ガスを含むプロセス組成物を用いるプラズマ形成を含む。
前記臭素含有ガスは、HBr、Br、又はCBr、又はこれらの2以上の組み合わせを含み得る。例えば、臭素含有ガスはHBrを含み得る。加えて、例えば、臭素含有ガスはHBrからなる。酸素含有ガスは、O、CO、CO、NO、又はNO又はこれらの2以上に組み合わせを含み得る。例えば、前記酸素含有ガスはOを含み得る。加えて、例えば、前記酸素含有ガスは、O及びCOを含み得る。ひとつの実施態様では、前記プロセス組成物は、HBr、O及びCOからなる群から選択される1又は複数の酸素含有ガス及び場合により希ガスからなる。他の実施態様では、前記プロセス組成物は、HBr、O、CO及びHeからなる。
前記1又は複数のプロセス条件は、以下の1又は複数のプロセスパラメータを設定することで確立され得る:
臭素含有ガスの流速設定;
酸素含有ガスの流速設定;
酸素含有ガス量及び臭素含有含有量の流量比設定;
酸素含有ガス流速及び臭素含有ガス流速の流速比設定;
プラズマプロセスシステムの圧力設定;
基板保持のための基板ホルダ内の底部電極へ適用する第1の高周波数(RF)のためのパワーレベル設定;
基板の上の前記底部電極と対向する上部電極へ適用する第1の高周波数(RF)のためのパワーレベル設定;
プラズマプロセスシステムの温度条件設定;
基板又は基板ホルダの温度条件設定;及び/又は
オーバーエッチング時間設定。
340で、前記エッチングプロセスレシピを用いて前記中間マスク層222に形成されるべき前記中間パターンCD252、262、272、252’、262’、272’と、前記1又は複数のプロセスパラメータの少なくとも1つとの間の、少なくとも1つのパラメータ関連性を確立し、前記少なくとも1つのパラメータ関連性は、前記最初のパターンCD232、232’を前記中間パターンCD272、272’へ増加させるための第1のプロセス条件、及び前記最初のパターンCD232、232’を前記中間パターンCD262、262’へ低減させるための第2のプロセス条件を与える。
前記少なくとも1つのパラメータ関連性は、上で説明したプロセスパラメータの任意の1つ又は複数の使用を含む。例えば、前記少なくとも1つのパラメータ関連性は、前記中間パターンCD252、262、272、252’、262’、272’と、前記酸素含有ガスと前記臭素含有ガスの流量比との間の関係を確立し得る。前記流量比は、Oの流速をHBrの流速で割り算して評価され得る。図11Aでより詳細に説明されるように、前記最初のパターンCD232、232’は、前記酸素含有ガスの流速に対して前記臭素含有ガスの流速を下げることで、即ちエッチング条件とすることで、前記中間パターン262、262’に低減され得る。又は、前記最初のパターンCD232、232’は、前記酸素含有ガスの流速に対して前記臭素含有ガスの流速を増加することで、即ち堆積条件とすることで、前記中間パターン262、262’に増加され得る。本発明者は、エッチング条件の際には、COやHOなどの揮発性副生成物の生成が優勢となり、一方で堆積条件に際には、SiBrなどの不揮発性副生成物の生成が有意となる、と推定している。
加えて、例えば、前記少なくとも1つのパラメータ関連性は、前記中間パターンCD252、262、272、252’、262’、272’と、オーバーエッチング時間との間の関連性を確立し得る。図11Bで詳細に説明されるように、前記最初のパターンCD232、232’は、前記オーバーエッチング時間を増加させることで、前記中間パターンCD262、262’へ低減されるか、又はさらに、前記中間パターンCD262、262’から第3のCDへ低減され得る。前記オーバーエッチング時間は、前記エッチング時間のある割合である。
350で、前記少なくとも1つのパラメータ関連性を用いて前記1又は複数のプロセス条件からターゲットプロセス条件が選択されて、前記最初のパターンCD232、232’と、前記中間パターンCD252、252’、262、262’、272、272’との間のターゲットCD調節を達成する。
360では、前記リソグラフィ層226からの前記パターン230は、前記エッチングレシピを用いて前記ターゲットプロセス条件を用いて前記中間マスク層222へ移される。
前記方法はさらに、前記中間マスク層222の前記パターン230についてパターンラフネスと、前記1又は複数のプロセスパラメータの少なくとも1つとの間の少なくとも1つの第2のパラメータ関連性を確立することを含み、前記少なくとも1つのパラメータ関連性は、前記パターンラフネスを低減するためのプロセス条件を与える。前記パターンラフネスは、ラインエッジラフネス(LER)又はライン幅ラフネス(LWR)又はこれらの組み合わせを含む。
ひとつの実施態様によると、前記同定されたプロセス条件を実施するように構成されるプラズプロセスシステム1aが図4に示され、これは、プラズマプロセスチャンバ10上に処理される基板25が固定される基板ホルダ20、及び真空ポンプシステム50を含む。基板25は、半導体基板、ウェハ、フラットパネルディスプレイ又は液晶ディスプレイであり得る。プラズマプロセスチャンバ10は、基板25の表面の近傍のプロセス領域45でプラズマを発生させるように構成され得る。イオン化ガス又はプロセスガス混合物が、ガス分配システム40を通じて導入される。所与のプロセスガス流について、前記プロセス圧力が、真空ポンプシステム50を用いて調節される。プラズマは、既定の材料プロセスに特定の材料を形成するため、及び/又は基板25の暴露表面から材料を除去することを助けるために利用され得る。前記プラズマプロセスシステム1aは、200mm基板、300mm基板又はそれ以上の基板の任意の望ましいサイズの基板を処理するように構成され得る。
基板25は、機械的クランプシステム又は電気的クランプシステム(例えば静電クランプシステム)などのクランプシステム28により、基板ホルダ20に固定され得る。さらに、基板ホルダ20は、加熱システム(図示されていない)又は冷却システム(図示されていない)を含み、これは基板ホルダ20及び基板25の温度を調節及び/又は制御するように構成される。前記加熱システム又は冷却システムは、熱伝達流体の循環流を含むことができ、これは基板ホルダ20から熱を受取り、冷却の際は熱を熱交換システム(図示されていない)に伝達し、又は加熱の場合には熱交換システムから熱を基板ホルダ20へ伝達する。他の実施態様では、抵抗加熱要素又は熱電加熱装置/冷却装置などの加熱/冷却要素が前記基板ホルダ20内に含まれてもよく、同様に前記プラズマプロセスチャンバ10のチャンバ壁及び前記プラズマプロセスシステム1a内の任意の他の部品内に含まれてもよい。
加えて、熱伝達ガスが、前記基板裏側に、裏側ガス供給システム26により輸送されてよく、これは基板25と基板ホルダ20の間のガス−ギャップ熱伝導性を改善するためである。かかるシステムは、前記基板の温度制御が、温度上昇又は温度減少が必要とされる場合に利用され得る。例えば、裏側ガス供給システムは、2領域ガス分配システムを含み、前記ヘリウムガス−ギャップ圧力を独立して、前記基板25の中心部と端部の間で変更することが可能となる。
図4に示される実施態様では、基板ホルダ20は、それを通してRFパワーが、プロセス領域45で前記プロセスプラズマと結合される電極22を含むことができる。例えば、基板ホルダ20は、基板ホルダ20へ場合によるインピーダンスマッチングネットワーク機器32を通じて、RF発生装置30からRFパワーの伝達を通じてRF電圧で電気的にバイアスされ得る。前記RFバイアスは、電子を加熱してプラズマを形成し維持するように作用する。この構成で、前記システムは、反応性イオンエッチング(RIE)反応装置として操作され得るものであり、前記チャンバ及び上部ガス注入電極は設置表面として作用する。前記RFバイアスの通常周波数は、約0.1MHzから約100MHz変化し得る。プラズマプロセスのためのRFシステムは、当業者によく知られている。
又は、RFパワーは、多重周波数で前記基板ホルダ電極に適用される。さらに、インピーダンスマッチングネットワーク32は、プラズマプロセスチャンバ10内のプラズマへのRFパワー伝達を、前記反射パワー低減により改善することを可能にする。マッチングネットワーク形状(例えばL−タイプ、π−タイプ、T−タイプなど)及び自動制御方法は当業者によく知られている。
ガス分配システム40は、プロセスガスの混合物を導入するように設計されるシャワーヘッドを含み得る。又は、ガス分配システム40は、プロセスガスの混合物を導入し、基板25上のプロセスガス混合物の分配を調節するための多重領域シャワーヘッド設計を含み得る。例えば、前記多重領域シャワーヘッド設計は、基板25上の実質的に中心領域へプロセスガス流又は組成物の量に対して、基板25上の実質的に周辺領域へ前記プロセスガス流又は組成物を調節するように構成され得る。
真空ポンプシステム50は、1秒あたり約5000リットルまでポンプ可能なターボ分子真空ポンプ(TMP)及び前記チャンバ圧力を絞るためのゲートバルブを含み得る。ドライプラズマエッチングのために使用される従来のプラズマプロセス装置では、1秒あたり1000から3000リットルのTMPが適用され得る。TMPは、通常は約50mTorr(ミリトール)未満の低圧プロセスに有用である。高圧プロセスのためには(即ち約100mTorrを超える)、メカニカルブースターポンプ及びドライ粗挽きポンプが使用され得る。
さらには、チャンバ圧力をモニターする装置(図示されていない)が前記プラズマプロセスチャンバ10へ接続されてよい。
制御装置55は、マイクロプロセッサ、メモリ及び、プラズマプロセスシステム1aと交信し入力を起動させ、同様にプラズマプロセスシステム1aからの出力をモニタできる十分な制御電圧を生成し得るデジタルI/Oポートを含む。さらに、前記制御装置55は、RF発生装置30、インピーダンスマッチングネットワーク32、ガス分配システム40、真空ポンプシステム50に、基板加熱/冷却システム(図示されていない)、裏側ガス供給システム26及び/又は静電クランプシステム28同様、接続され及び情報交換を可能にする。例えば、前記メモリに記憶されたプログラムは、基板25にプラズマ補助プロセスを実施するためのプロセスレシピに従い、プラズマプロセスシステム1aの前記部品への入力を開始させるために利用され得る。
制御装置55は、プラズマプロセスシステム1aに対して局所的に設けられるか、又はプラズマプロセスシステム1aに対して遠隔的に設けられ得る。例えば、制御装置55は、プラズマプロセスシステム1aと、直接接続、イントラネット及び/又はインターネットを用いて情報交換し得る。戦記は、例えば顧客サイト(即ち半導体装置メーカー)のイントラネットへ接続され、又は例えば販売者サイト(即ち装置製造者)のイントラネットへ接続される。これに代えて、又は加えて、制御装置55は前記インターネットへ接続され得る。さらに、他のコンピュータ(即ち、制御装置、サーバーなど)が制御装置55にアクセスして、直接接続、イントラネット及び/又はインターネットを介してデータ交換することができる。
図5に示される実施態様では、プラズマプロセスシステム1aは図4の実施態様に類似してよく、さらに静的、又は機械的や電気的回転磁場システム60を含み、これにより、図4を参照して説明された部品と共に、プラズマ密度の大きな増加及び/又はプラズマプロセス均一性を改善することを可能にする。さらに、制御装置55は、磁場システム60と接続されてよく、これにより回転速度及び磁場強度を制御することが可能となる。回転磁場の設計及び実施は当業者によく知られている。
図6に示される実施態様では、プラズマプロセスシステム1cは図4又は図5の実施態様に類似してよく、さらに、場合によるインピーダンスマッチングネットワーク74を通じてRF発生装置72からRFパワーが接続され得る上部電極70を含み得る。前記上部電極へのRFパワーの適用のための周波数は、約0.1MHzから約200MHzの範囲で変わり得る。加えて、前記下部電極へのパワーの提供のための周波数は、約0.1MHzから約100MHzの範囲で変わり得る。さらに、制御装置55はRF発生装置72とインピーダンスマッチングネットワーク74へ接続され、それにより、上部電極70へのRFパワー適用を制御することを可能にする。上部電極の設計及び実施は当業者によく知られている。上部電極70及びガス分配システム40は、示されるように同じチャンバアセンブリ内に設計され得る。図7で示される実施態様では、プラズマプロセスシステム1c’は、図6の実施態様と類似してよく、さらに、基板25に対向する前記上部電極0へ接続される直流(DC)パワー供給90を含み得る。前記上部電極70は、電極プレートを含み得る。前記電極プレートはシリコン含有電極プレートであり得る。さらに、前記電極プレートは、ドープされたシリコン電極プレートであり得る。前記DCパワー供給90は、可変DCパワー供給を含み得る。加えて、前記DCパワー供給は、バイポーラDCパワー供給を含み得る。前記DCパワー供給90はさらに、前記DCパワー供給90をモニタし、調節し、又は極性、電流、電圧を調節し、又はオン/オフ状態の少なくとも1つを実施するように構成される。プラズマが生成されると、DCパワー供給90は、弾道電子ビーム形成を容易にする。電気フィルタ(図示されていない)が、RFパワーをDCパワー供給90から脱接続するために利用され得る。
例えば、DCパワー供給90により上部電極70へ適用されるDC電圧は、約−2000ボルト(V)から約1000Vの範囲であり得る。望ましくは、前記DC電圧の絶対値は、約100V以上の値を持ち、より好ましくはDC電圧の絶対値は約500V以上に値を持つ。加えて、望ましくは、前記DC電圧が負極性を持つ。さらに、望ましくは、前記DC電圧は、前記上部電極70の表面に生成される自己電圧以上の絶対値を持つ負電圧である。前記基板ホルダ20に面する上部電極70の表面は、シリコン含有材料からなり得る。
図8に示される実施態様では、プラズマプロセスシステム1dは図4及び5の実施態様と類似してよく、さらに、場合によりインピーダンスマッチングネットワーク機器84を通じてRF発生装置82を通じて、RFパワーが接続される誘導コイル80を含み得る。RFパワーは、誘電窓(図示されていない)を通じてプラズマプロセス領域45へ、誘導コイル80から誘導的に接続される。前記誘導コイル80へRFパワーの適用のための周波数は、約10MHzから約100MHzの範囲であり得る。同様に、前記チャック電極へパワー供給のための周波数は、約0.1MHzから約100MHzの範囲である得る。加えて、スロットファラデーシールド(図示されていない)が適用されて、前記プロセス領域45で前記誘導コイル80及びプラズマの間の容量結合を低減するように適用され得る。さらには、制御装置55は、RF発生装置82及びインピーダンスマッチングネットワーク84と結合されてよく、それにより誘導コイル80へパワーの供給を制御することが可能になる。
他の実施態様では、図9に示されるように、プラズマプロセスシステム1eは図8の実施態様と類似してよく、さらに、「スパイラル」コイル又は「パンケーキ」コイルである誘導コイル80’を含み、これは、変圧器結合プラズマ(TCP)反応装置と同様に上からプラズマプロセス領域45と交信する。誘導結合プラズマ(ICP)源、又は変圧器結合プラズマ(TCP)源の設計及び実施は当業者によく知られている。
又は、プラズマは、電子サイクロトロン共鳴(ECR)を用いて形成され得る。なお他の実施態様では、プラズマは、ヘリコン波放出から形成され得る。なお他の実施態様では、プラズマは、伝播表面波から形成される。前記記載のそれぞれのプラズマは当業者によく知られている。
図10に示されている実施態様では、プラズマプロセスシステム1fは、図4の実施態様に類似してよく、さらに、表面プラズマ(SWP)源80’’を含み得る。前記SWP源80’’は、マイクロ波発生装置82’を通じて場合によるインピーダンスマッチングネットワーク84’を通じてマイクロ波パワーが結合されるラジアルラインスロットアンテナ(RLSA)などのスロットアンテナを含む。
ひとつの実施態様では、多層マスクでの中間マスク層をパターンエッチングするプロセスレシピは、次のプロセス条件の少なくとも1つを含む:約1000mTorr(ミリトール)までの範囲のチャンバ圧力(例えば、約100mTorr、又は約5から30mTorr又は約10mTorr)、約2000sccm(標準立法センチメートル/分)までの範囲の臭素含有ガスプロセスガス流速(例えば、約1000sccmまで、又は約1ssmから約100sccmまで)、約2000sccm(標準立法センチメートル/分)までの範囲の酸素含有ガスプロセスガス流速(例えば、約1000sccmまで、又は約1sccmから約100sccmまで)、約2000sccmまでの場合による希ガスプロセスガス流速(例えば約1000sccmまで、上部電極(例えば図6の要素70)のRFバイアスは約2000W(ワット)まで(例えば約1000Wまで、又は約700Wまで)、及び下部電極(例えば図6の要素22)のRFバイアスが約1000Wまで(例えば約600Wまで、又は約300Wまで))。また、上部電極バイアス周波数は、約0.1MHzから約200MHzの範囲、例えば約60MHzであり得る。加えて、前記下部電極バイアス周波数は、約0.1MHzから約100MHzの範囲、例えば約2MHzであり得る。
ひとつの実施態様では図11Aで示されるように、OPLは、HBr/O/CO/Heを含むプロセス組成物を用いてパターン化される。パラメータ関連性が、CO/Heの流速を一定値に維持しつつ、前記中間パターンCD(nm、ナノメートル)と、O及びHBrの流量比の間で確立される。前記最初のパターンCD及び前記中間パターンCDは空間CDに対応する。前記パラメータ関連性は一群のデータ曲線を含み、それぞれのデータ曲線は異なる最初のパターンCDについて調製され、即ち44nm(実線)、40nm(長鎖線)、37nm(二点鎖線)及び32nm(−点破線)である。前記パラメータ関連性は、少なくとも1つのプロセス条件1101を含み、前記最初のパターンCDは前記中間パターンCDへ低減され、及び少なく1つの他のプロセス条件1102を含み、前記最初のパターンCDは前記中間パターンCDへ拡大される。さらに、前記パラメータ関連性は、少なくとも他のプロセス条件1103を含み、前記最初のパターンCDが実質的に前記中間パターンCDと同じに維持される。臭素含有ガスの量に比べて酸素含有ガスの量が増加すると、前記プロセス条件は前記空間CDのCD低減からCD拡大まで変化する。
他の例では、図11Bに示されるように、OPLは、HBr/O/CO/Heを含むプロセス組成物を用いてパターン化される。パラメータ関連性は、前記O/CO/Heを一定に維持して、前記空間パターンCD(nm)とオーバーエッチング時間(エッチング時間のパーセントとして表される)との間で確立される。前記最初のパターンCD及び中間パターンCDはラインCDに対応する。前記パラメータ関連性は、40nmの最初のパターンCDについて調製された一群のデータ曲線(ラインCD)を含み、それぞれのデータ曲線はHBrの異なる流速について調製される、即ち>110sccm(実線)、110sccm(長鎖線)、70sccm(二点鎖線)、及び30sccm(一点鎖線)である。前記パラメータ関連性は、少なくとも1つのプロセス条件1111を含み、前記最初のCDは前記中間パターンCDへ低減され、及び少なくとも1つの他のプロセス条件1112を含み、前記最初のCDは前記中間パターンCDへ拡大される。さらに、パラメータ関連性は、少なくとも他のプロセス条件1113を含み、前記最初のパターンCDが、前記中間パターンCdと実質的に同じに維持される。
オーバーエッチング量を増加させて、前記プロセス条件は前記ラインCDのCD拡大からCD低減へ変更される。
他の実施態様では、RFパワーは、前記上部電極へ供給され、下部電極へは供給されない。他の実施態様では、RFパワーは、下部電極へ供給され、上部電極へは供給されない。他の実施態様では、RFパワー及び/又はDCパワーは、図4から図10の記載される方法のいずれかの方法で接続され得る。
特定のエッチングプロセスを実施するために継続時間は、実験計画(DOE)技術を用いて、又は事前実験を用いて決定され得る;しかしまた終点検出を用いて決定される。終点検出のひとつの可能な方法は、前記プラズマ領域から発光される光スペクトルの一部分をモニタすることであり、これはプラズマ化学の変化が生じる時を示すものであり、前記変化は、前記基板及び下層の薄膜との接触からの特定の材料層の除去が変化すること又は実質的に完了近くになることによるものである。前記モニタされる波長に対応する発光レベルが特定の閾値を超えた後(例えば実質的にゼロに低下する、特定のレベルを下回る、又は特定のレベルを超えて増加する)、終点が到達したとされる。種々の波長、使用されるエッチング化学及びエッチングされる材料層が使用され得る。さらに、前記エッチング時間は、オーバーエッチングの期間を含むように拡大されてよく、前記オーバーエッチング時間は、前記エッチングプロセスの開始及び終点検出に関連する時間との間の時間の部分を構成する(即ち1から100%)。
前記の1又は複数のエッチングプロセスは、図6で説明されたプラズマプロセスシステムを用いて実施され得る。しかし、前記説明された方法はこの例示によっては限定されるものではない。
これまでこの発明のある実施態様のみ詳細に説明されたが、当業者であれば、本発明の新たな教示及び利点から実質的に離れることなく実施態様において多くの変更が可能であることが容易に理解される。例えば、1つの例示的プロセスフローが金属ゲート構造を形成するために与えられたが、他のプロセスフローもまた含まれる。従って、すべてのかかる変更は本発明の範囲に含まれることが意図される。

Claims (20)

  1. 多層マスクをパターン化するための方法であり、前記方法は:
    基板上に多層マスクを調製し、前記多層マスクはリソグラフィ層及び前記リソグラフィ層の下の中間マスク層を含み、前記中間マスク層が炭素含有化合物を含み;
    前記リソグラフィ層にパターンを形成し、前記パターンは、最初のパターン限界寸法(CD)により特徴づけられ;
    前記パターンを前記中間マスク層に移すためのエッチングプロセスレシピを確立し、前記エッチングプロセスレシピが、1又は複数のプロセスパラメータで定められる1又は複数のプロセス条件を含み;
    前記エッチングプロセスレシピを用いて前記中間マスク層に形成されるべき中間パターンCDと、少なくとも1つ前記1つ又は複数のプロセスパラメータとの間の少なくとも1つパラメータ関連性を確立することを含み、
    前記少なくとも1つのパラメータ関連性が、前記最初のパターンCDを前記中間パターンCDへ増加するための第1のプロセス条件、及び前記最初のパターンCDを前記中間パターンCDへ低減するための第2のプロセス条件を与え;
    前記少なくとも1つのパラメータ関連性を用いて前記1つ又は複数のプロセス条件からターゲットプロセス条件を選択して、前記最初のパターンCDと前記中間パターンCDの間のターゲットCD調節を達成し;及び
    前記リソグラフィ層から前記中間マスク層へ前記パターンを、前記エッチングプロセスレシピをと前記ターゲットプロセス条件を用いて移し、
    前記エッチングプロセスレシピが、臭素含有ガス、酸素含有ガス及び場合により希ガスを含むプロセス組成物を用いてプラズマ形成を含む、方法。
  2. 請求項1に記載の方法で、前記中間マスク層が、有機平坦化層(OPL)又は有機絶縁層(ODL)を含む、方法。
  3. 請求項1に記載の方法であり、前記中間マスク層がアモルファスカーボンを含む、方法。
  4. 請求項1に記載の方法であり、前記臭素含有ガスが、HBr、Br、又はCBr又はこれらの2以上の組み合わせを含む、方法。
  5. 請求項1に記載の方法であり、前記臭素含有ガスは、HBrからなる、方法。
  6. 請求項1に記載の方法であり、前記酸素含有ガスは、O、CO、CO、NO又はNO2、又はこれらの2以上の組み合わせを含む、方法。
  7. 請求項1に記載の方法であり、前記プロセス組成物が、HBr、O及びCOからなる群から選択される1又は複数の酸素含有ガス、及び場合により希ガスを含む、方法。
  8. 請求項1に記載の方法であり、前記プロセス組成物が、HBr、O、CO及びHeからなる、方法。
  9. 請求項1に記載の方法であり、前記少なくとも1つパラメータ関連性が、前記中間パターンCD及び、前記酸素含有ガス量と前記臭素含有ガス量の流量比との間の関連性を確立する、方法。
  10. 請求項9に記載の方法であり、前記流量比は、Oの流速をHBrの流速で割り算することで評価される、方法。
  11. 請求項1に記載の方法であり、前記最初のパターンCDは、前記酸素含有ガスの流速に対して前記臭素含有ガスの流速を増加させることで、前記中間パターンCDへ低減される、方法。
  12. 請求項1に記載の方法であり、前記最初のパターンCDは、前記酸素含有ガスの流速に対して前記臭素含有ガスの流速を低減させることで、前記中間パターンCDへ増加される、方法。
  13. 請求項1に記載の方法であり、さらに:
    前記中間マスク層のパターンラフネスと、少なくとも1つの前記1つ又は複数のプロセスパラメータとの間の少なくとも1つ第2パラメータ関連性を確立することを含み、前記少なくとも1つのパラメータ関連性が前記パターンラフネスを低減するためのプロセス条件を与える、方法。
  14. 請求項13に記載の歩法であり、前記パターンラフネスが、ラインエッジラフネス(LER)、又はライン幅ラフネス(LWR)、又はそれらの組み合わせを含む、方法。
  15. 請求項1に記載の方法であり、前記第1及び中間パターンCDが、ラインCD又は空間CDのための尺度を与える、方法。
  16. 請求項15に記載の方法であり、前記構成CDが、フロント−エンド−オブ−ライン(FEOL)操作でゲートパターン化のためのラインCDを含む、方法。
  17. 請求項15に記載の方法であり、前記構成CDが、バック−エンド−オブ−ライン(BEOL)操作で金属化パターン化のためのビア又はトレンチCDを含む、方法。
  18. 請求項1に記載の方法であり、前記多層マスクがさらに、前記リソグラフィ層と前記中間マスク層の間に、反射防止(ARC)層を含む、方法。
  19. 請求項18に記載の方法であり、前記ARC層が、シリコン含有ARC層を含む、方法。
  20. 請求項1に記載の方法であり、さらに;前記中間マスク層の前記パターンをオーバーエッチングして、さらに前記中間パターンCDを変更中間CDへ調節することを含む、方法。
JP2014501179A 2011-03-22 2012-03-20 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス Active JP6280030B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/053,215 US8334083B2 (en) 2011-03-22 2011-03-22 Etch process for controlling pattern CD and integrity in multi-layer masks
US13/053,215 2011-03-22
PCT/US2012/029767 WO2012129209A2 (en) 2011-03-22 2012-03-20 Etch process for controlling pattern cd and integrity in multi-layer masks

Publications (2)

Publication Number Publication Date
JP2014512096A true JP2014512096A (ja) 2014-05-19
JP6280030B2 JP6280030B2 (ja) 2018-02-14

Family

ID=45992834

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014501179A Active JP6280030B2 (ja) 2011-03-22 2012-03-20 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス

Country Status (5)

Country Link
US (1) US8334083B2 (ja)
JP (1) JP6280030B2 (ja)
KR (1) KR101887723B1 (ja)
TW (1) TWI458014B (ja)
WO (1) WO2012129209A2 (ja)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6140412B2 (ja) * 2012-09-21 2017-05-31 東京エレクトロン株式会社 ガス供給方法及びプラズマ処理装置
US8853085B1 (en) 2013-04-23 2014-10-07 International Business Machines Corporation Grapho-epitaxy DSA process with dimension control of template pattern
US9105478B2 (en) * 2013-10-28 2015-08-11 Globalfoundries Inc. Devices and methods of forming fins at tight fin pitches
US9425094B2 (en) * 2014-12-26 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for forming semiconductor device structure with feature opening
US9786503B2 (en) * 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
US10935889B2 (en) 2015-05-13 2021-03-02 Tokyo Electron Limited Extreme ultra-violet sensitivity reduction using shrink and growth method
US9748110B2 (en) * 2015-09-03 2017-08-29 Tokyo Electron Limited Method and system for selective spacer etch for multi-patterning schemes
US9899219B2 (en) * 2016-02-19 2018-02-20 Tokyo Electron Limited Trimming inorganic resists with selected etchant gas mixture and modulation of operating variables
US10056265B2 (en) 2016-03-18 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Directed self-assembly process with size-restricted guiding patterns
JP6541618B2 (ja) * 2016-05-25 2019-07-10 東京エレクトロン株式会社 被処理体を処理する方法
JP6587580B2 (ja) * 2016-06-10 2019-10-09 東京エレクトロン株式会社 エッチング処理方法
US9673199B1 (en) 2016-06-21 2017-06-06 International Business Machines Corporation Gate cutting for a vertical transistor device
US10707139B2 (en) * 2016-11-28 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for adjusting etching parameters
US10551749B2 (en) 2017-01-04 2020-02-04 Kla-Tencor Corporation Metrology targets with supplementary structures in an intermediate layer
KR102310079B1 (ko) 2017-03-03 2021-10-08 삼성전자주식회사 반도체 소자
KR102594444B1 (ko) * 2017-06-08 2023-10-25 도쿄엘렉트론가부시키가이샤 황 기반 화학물을 이용한 실리콘 함유 유기 막의 플라즈마 에칭 방법
KR102375887B1 (ko) 2017-06-13 2022-03-18 삼성전자주식회사 반도체 장치 및 이미지 센서
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10734238B2 (en) * 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
US11227767B2 (en) 2018-05-03 2022-01-18 Tokyo Electron Limited Critical dimension trimming method designed to minimize line width roughness and line edge roughness
US10748769B2 (en) 2018-05-09 2020-08-18 Tokyo Electron Limited Methods and systems for patterning of low aspect ratio stacks
US10748823B2 (en) * 2018-09-27 2020-08-18 International Business Machines Corporation Embedded etch rate reference layer for enhanced etch time precision
US11355342B2 (en) 2019-06-13 2022-06-07 Nanya Technology Corporation Semiconductor device with reduced critical dimensions and method of manufacturing the same
CN112851131A (zh) * 2019-11-26 2021-05-28 惠州市清洋实业有限公司 一种用于处理摄像头镜片cd纹蚀刻液及其使用方法
WO2022252707A1 (zh) * 2022-02-24 2022-12-08 袁元 半导体器件的加工控制方法、装置及高能粒子束光刻设备
WO2024077586A1 (zh) * 2022-10-14 2024-04-18 袁元 半导体器件的加工控制方法及高能粒子束光刻设备

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10199868A (ja) * 1996-12-23 1998-07-31 Texas Instr Inc <Ti> 反射防止コーティングのプラズマ・エッチング・プロセス
JPH11135489A (ja) * 1997-08-29 1999-05-21 Denso Corp 半導体のエッチング方法
JP2005045214A (ja) * 2003-07-09 2005-02-17 Fujitsu Ltd 半導体装置の製造方法とエッチングシステム
JP2007096295A (ja) * 2005-09-28 2007-04-12 Applied Materials Inc フォトマスクを製造するのに適した炭素ハードマスクを介してクロム層をプラズマエッチングする方法
US20080014533A1 (en) * 2006-07-14 2008-01-17 Keller David J Semiconductor device fabrication and dry develop process suitable for critical dimension tunability and profile control
JP2009188403A (ja) * 2008-02-01 2009-08-20 Tokyo Electron Ltd Cdバイアスの減少したシリコン含有反射防止コーティング層のエッチング方法
JP2010098176A (ja) * 2008-10-17 2010-04-30 Fujitsu Microelectronics Ltd 半導体装置の製造方法
JP2010123868A (ja) * 2008-11-21 2010-06-03 Fujitsu Microelectronics Ltd 電子デバイスの製造方法及び設定装置
JP2011040757A (ja) * 2009-08-17 2011-02-24 Tokyo Electron Ltd 六フッ化硫黄(sf6)および炭化水素ガスを用いた反射防止層のパターニング方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6682861B2 (en) * 1999-09-30 2004-01-27 Photronics, Inc. Disposable hard mask for phase shift photomask plasma etching
US6350390B1 (en) 2000-02-22 2002-02-26 Taiwan Semiconductor Manufacturing Company, Ltd Plasma etch method for forming patterned layer with enhanced critical dimension (CD) control
US7354847B2 (en) 2004-01-26 2008-04-08 Taiwan Semiconductor Manufacturing Company Method of trimming technology
US6893975B1 (en) 2004-03-31 2005-05-17 Tokyo Electron Limited System and method for etching a mask
KR100694412B1 (ko) 2006-02-24 2007-03-12 주식회사 하이닉스반도체 반도체소자의 미세패턴 형성방법
KR100898590B1 (ko) 2007-08-13 2009-05-20 주식회사 하이닉스반도체 반도체 소자 제조 방법
JP2010161162A (ja) 2009-01-07 2010-07-22 Tokyo Electron Ltd 微細パターンの形成方法
TW201108324A (en) 2009-03-24 2011-03-01 Tokyo Electron Ltd A method for processing a substrate

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10199868A (ja) * 1996-12-23 1998-07-31 Texas Instr Inc <Ti> 反射防止コーティングのプラズマ・エッチング・プロセス
JPH11135489A (ja) * 1997-08-29 1999-05-21 Denso Corp 半導体のエッチング方法
JP2005045214A (ja) * 2003-07-09 2005-02-17 Fujitsu Ltd 半導体装置の製造方法とエッチングシステム
JP2007096295A (ja) * 2005-09-28 2007-04-12 Applied Materials Inc フォトマスクを製造するのに適した炭素ハードマスクを介してクロム層をプラズマエッチングする方法
US20080014533A1 (en) * 2006-07-14 2008-01-17 Keller David J Semiconductor device fabrication and dry develop process suitable for critical dimension tunability and profile control
JP2009188403A (ja) * 2008-02-01 2009-08-20 Tokyo Electron Ltd Cdバイアスの減少したシリコン含有反射防止コーティング層のエッチング方法
JP2010098176A (ja) * 2008-10-17 2010-04-30 Fujitsu Microelectronics Ltd 半導体装置の製造方法
JP2010123868A (ja) * 2008-11-21 2010-06-03 Fujitsu Microelectronics Ltd 電子デバイスの製造方法及び設定装置
JP2011040757A (ja) * 2009-08-17 2011-02-24 Tokyo Electron Ltd 六フッ化硫黄(sf6)および炭化水素ガスを用いた反射防止層のパターニング方法

Also Published As

Publication number Publication date
TWI458014B (zh) 2014-10-21
WO2012129209A3 (en) 2012-11-15
US20120244458A1 (en) 2012-09-27
TW201243940A (en) 2012-11-01
KR20140031224A (ko) 2014-03-12
US8334083B2 (en) 2012-12-18
JP6280030B2 (ja) 2018-02-14
WO2012129209A2 (en) 2012-09-27
KR101887723B1 (ko) 2018-08-10

Similar Documents

Publication Publication Date Title
JP6280030B2 (ja) 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス
JP5577530B2 (ja) 六フッ化硫黄(sf6)および炭化水素ガスを用いた反射防止層のパターニング方法
US7888267B2 (en) Method for etching silicon-containing ARC layer with reduced CD bias
US8809196B2 (en) Method of etching a thin film using pressure modulation
CN107431011B (zh) 用于原子层蚀刻的方法
US7998872B2 (en) Method for etching a silicon-containing ARC layer to reduce roughness and CD
TWI620246B (zh) 於抗反射塗佈層蝕刻期間使用氫以改良粗糙度及提升選擇性的方法
US8252192B2 (en) Method of pattern etching a dielectric film while removing a mask layer
US7858270B2 (en) Method for etching using a multi-layer mask
US7637269B1 (en) Low damage method for ashing a substrate using CO2/CO-based process
WO2013192323A1 (en) Sidewall protection of low-k material during etching and ashing
US8080473B2 (en) Method for metallizing a pattern in a dielectric film
KR20170117480A (ko) Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법
US7947609B2 (en) Method for etching low-k material using an oxide hard mask
US20100216310A1 (en) Process for etching anti-reflective coating to improve roughness, selectivity and CD shrink
US7935640B2 (en) Method for forming a damascene structure
US20090246713A1 (en) Oxygen-containing plasma flash process for reduced micro-loading effect and cd bias

Legal Events

Date Code Title Description
A072 Dismissal of procedure [no reply to invitation to correct request for examination]

Free format text: JAPANESE INTERMEDIATE CODE: A072

Effective date: 20140527

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150317

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160628

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160926

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161125

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170228

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170524

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170725

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180109

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180118

R150 Certificate of patent or registration of utility model

Ref document number: 6280030

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250