JP2007096295A - フォトマスクを製造するのに適した炭素ハードマスクを介してクロム層をプラズマエッチングする方法 - Google Patents

フォトマスクを製造するのに適した炭素ハードマスクを介してクロム層をプラズマエッチングする方法 Download PDF

Info

Publication number
JP2007096295A
JP2007096295A JP2006244594A JP2006244594A JP2007096295A JP 2007096295 A JP2007096295 A JP 2007096295A JP 2006244594 A JP2006244594 A JP 2006244594A JP 2006244594 A JP2006244594 A JP 2006244594A JP 2007096295 A JP2007096295 A JP 2007096295A
Authority
JP
Japan
Prior art keywords
hard mask
process chamber
layer
flowing
sccm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006244594A
Other languages
English (en)
Inventor
Ajay Kumar
クマー アジェイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2007096295A publication Critical patent/JP2007096295A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Abstract

【課題】炭素ハードマスクを用いてクロムをエッチングし、微小寸法を制御したフォトマスクの形成方法が提供する。
【解決手段】クロム層をエッチングする方法は、パターン化された炭素ハードマスクから部分的に露出するクロム層を有する基板を処理チャンバ内に提供するステップと、塩素および一酸化炭素を含有するプロセスガスをエッチングチャンバの中に提供するステップと、プロセスガスのプラズマを維持するステップと、クロム層を炭素ハードマスク層を介してエッチングするステップとを含む。パターン化された炭素ハードマスク層を介してクロム層をエッチングする方法は、フォトマスクを製造するのに有用である。
【選択図】 図2

Description

発明の背景
発明の分野
[0001]本発明は、一般的には、クロムをプラズマエッチングする方法に関する。より詳細には、本発明は、フォトマスクを製造するための炭素ハードマスクを介してクロム層をエッチングする方法を提供する。
関連技術の説明
[0002]集積回路(IC)またはチップの製造においては、チップの様々な層を表現するパターンが、チップ設計者によって作成される。製造プロセス中にそれぞれのチップ層の設計構造を半導体基板上に転写するために、一連の再利用可能なマスクまたはフォトマスクが、これらのパターンから作成される。マスクパターン生成システムは、チップの各層の設計構造をそれぞれのマスク上に描画するために、精密なレーザーまたは電子ビームを使用する。その後、そのマスクは、まさにネガフィルムのように、各層ごとの回路パターンを半導体基板上に転写するのに使用される。これらの層は、連続したプロセスを使用して、積み重ねられ、各完成チップを構成する極めて小さなトランジスタおよび電気回路になる。したがって、マスクにおける何らかの欠陥が、チップに転写されることがあり、性能に悪影響を与える可能性がある。極めて深刻な欠陥は、マスクを完全に使い物にならないものにすることがある。典型的には、15〜30枚で一連のマスクが、チップを組み立てるのに使用され、反復して使用することができる。
[0003]マスクは、典型的には、クロム層を片面上に有するガラス基板または石英基板である。また、マスクは、モリブデン(Mb)をドーピングされた窒化ケイ素(SiN)の層を含有してもよい。クロム層は、反射防止膜および感光性レジストによって被覆される。パターン化プロセス中、レジストを紫外線に露光し、露光された部分を現像液中で溶解可能なものにすることによって、回路設計構造が、マスク上に書き込まれる。その後、レジストの溶解可能な部分が除去され、その下にある露出したクロムをエッチングするのを可能にする。エッチングプロセスは、レジストが除去された場所においてクロムおよび反射防止層をマスクから除去する。すなわち、露出したクロムが除去される。
[0004]パターン化に利用される別のマスクは、石英位相シフトマスクとして知られている。石英位相シフトマスクは、製造中に、パターン化されたクロム層から露出する石英部分の交互に隣接する領域が回路パターンを基板に転写するのに利用される光の波長の1/2にほぼ等しい深さにまでエッチングされることを除けば、上述したマスクに類似する。したがって、光が、石英位相シフトマスクを透過し、基板上に配置されたレジストを露光するとき、マスクの一方の開口からレジストに入射する光は、そのすぐ隣に隣接する他方の開口を通過する光に対して180°だけ位相がずれる。このために、マスク開口のエッジにおいて散乱する光は、隣接する開口のエッジにおいて散乱する180°だけ位相のずれた光によって打ち消され、それによって、レジストの予め定められた部分において、より緻密な光の分布をもたらす。光の、より緻密な分布は、より小さい微小寸法を有する特徴部を書き込むのを助ける。同様に、また、クロムレス型エッチングリソグラフィーに使用されるマスクは、レジストに連続的に描画するための2つのマスクの石英部分を通過する光の位相シフトを利用し、それによって、レジストパターンを現像するのに利用される光分布を改善する。
[0005]ドライエッチング、反応性イオンエッチング、または、プラズマエッチングとして知られている一エッチングプロセスにおいては、プラズマが、化学反応を助長し、かつ、マスクのパターン化されたクロム領域をエッチングするのに使用される。残念ながら、従来のクロムエッチングプロセスは、多くの場合、クロムをパターン化するのに利用されるフォトレジスト材料を侵食することによるエッチングバイアス問題という難点が存在する。フォトレジストは、クロムエッチング中に侵食されるので、パターン化されたフォトレジストの微小寸法は、クロム層に正確に転写されない。さらに、フォトレジストマスクを使用するエッチングは、エッチングバイアスに曝されるので、約5μm未満の微小寸法を製造するためのフォトレジストマスクの使用は、製造する者には極めて挑戦的なことである。なぜなら、これらの問題は、フォトマスクのエッチングされた特徴部を不均一なものにし、それに対応して、マスクを使用して小さい微小寸法を有する特徴部を作り出す能力を減退させるからである。マスクの微小寸法が、縮小しつづけるにつれて、エッチング均一性の重要性は増大する。
[0006]最近、ハードマスクが、フォトマスク製造のためのクロムエッチング中により正確な微小寸法(CD)を転写するのに使用されている。しかしながら、既存のクロムエッチングプロセスは、炭素ハードマスク材料に対して貧弱なエッチング選択比を有する。従来のクロムエッチング化学は、酸素を含むので、炭素ハードマスク材料は、多くの場合、クロム層と同じ速さかまたはクロム層よりも速い速度でエッチングされ、そのために、許容することのできないCD制御をもたらし、それによって、従来のクロムエッチングプロセスを、フォトマスクの製造に適さないものにする。
[0007]このように、炭素ハードマスク材料に対して高いエッチング選択比を有するクロムエッチングプロセスが必要とされている。
発明の概要
[0008]本発明は、一般的には、炭素ハードマスクを使用してクロム層をエッチングする方法を提供する。一実施形態においては、クロム層をエッチングする方法は、パターン化された炭素ハードマスク層から部分的に露出するクロム層を有する基板を提供するステップと、塩素および一酸化炭素を含有するプロセスガスをプロセスチャンバの中に流し込むステップと、プロセスガスのプラズマを維持し、パターン化された炭素ハードマスク層を介してクロム層をエッチングするステップとを含む。
[0009]別の実施形態においては、フォトマスクを形成する方法は、クロム層および石英層の上に配置されたパターン化された炭素ハードマスクを有する基板を、プロセスチャンバ内に配置された基板支持体上に提供するステップと、塩素および一酸化炭素を含有するプロセスガスをプロセスチャンバの中に導入するステップと、プロセスガスのプラズマを形成するステップと、基板にバイアスをかけるステップと、上記パターン化された炭素ハードマスクから露出するクロム層をエッチングし、石英層の幾つかの部分を露出させるステップと、ハードマスク層を除去するステップと、を含む。
[0010]本発明の教示は、添付の図面とともに以下の詳細な説明を考察することによって容易に理解することができる。
[0015]理解するのを容易にするために、可能であれば、同じ符号が、図面を通して共通する同じ構成要素を指示するのに使用される。一方の実施形態の構成要素および特徴は、さらに説明されることなく、他方の実施形態に有利に組み込まれてもよいことが想到される。
[0016]しかしながら、添付の図面は、本発明の単なる例示的な実施形態を図示するものであり、本発明は、その他の同等に有効な実施形態を実施することができるので、本発明の範囲を限定するものと考えるべきではないことに注意されたい。
詳細な説明
[0017]本発明の実施形態は、改善されたクロムエッチングプロセスおよびフォトマスクを製造するための方法を提供する。本方法は、炭素ハードマスク材料に対して高い選択比を有するクロムエッチング化学を利用する。
[0018]図1は、本発明による石英エッチングの方法が実施されてもよいエッチング処理チャンバ100の一実施形態の概略図を描いている。本明細書に開示される教示とともに使用するように適合されてもよい適切な反応室には、例えば、Decoupled Plasma Source(DPS(登録商標))II reactor、または、Tetra I and II Photomask etch systemsが含まれ、これらの全ては、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から市販されている。また、エッチング処理チャンバ100は、例えば、同様にアプライドマテリアルズ社から市販されているCENTURA(登録商標) integrated semiconductor wafer processing systemのような、図4に示される処理システム170の処理モジュールとして使用されてもよい。また、プロセスシステムは、アッシングに適した第1のチャンバ172およびポリマー堆積に適した第2のチャンバ174を含んでもよい。適切なアッシングチャンバおよび堆積チャンバの例には、同様にアプライドマテリアルズ社から市販されているAXIOM HT(商標) and Tetra II processing chamberが含まれる。本明細書に示される処理チャンバ100の特定の実施形態は、説明のために提供され、本発明の範囲を限定するのに使用されるべきではない。
[0019]処理チャンバ100は、一般的には、基板ペデスタル124を有するプロセスチャンバ本体102およびコントローラ146を備える。チャンバ本体102は、実質的に平坦な誘電性の天井108を支持する導電性の壁104を有する。処理チャンバ100の別の実施形態は、その他の種類の天井、例えば、ドーム状の天井を有してもよい。同軸の2つのエレメント110Aおよび110Bのような1つ以上の誘導コイルを有するアンテナ110が、天井108の上方に配置される。同軸のエレメント110Aおよび110Bは、選択的に制御されてもよい。アンテナ110は、第1の整合回路網114を介してプラズマ電源112に結合される。プラズマ電源112は、典型的には、約50kHzから約13.56MHzまでの範囲にある同調周波数において最大で約3,000ワット(W)の電力を発生させることができる。一実施形態においては、プラズマ電源112は、約100W〜約600Wの誘導結合RF電力を提供し、別の実施形態においては、プラズマ電源112は、約250W〜約600Wの誘導結合RF電力を提供する。
[0020]基板ペデスタル(カソード)124は、第2の整合回路網142を介してバイアス電源140に結合される。バイアス電源140は、約1kHzから約10kHzまでの範囲にある同調周波数において約0W〜約600Wの電力を提供する。バイアス電源140は、パルス変調RF出力電力を発生させることができる。あるいは、バイアス電源140は、パルス変調DC出力電力を発生させてもよい。電源140は、一定のDC出力電力および/またはRF出力電力を提供するように構成されてもよいことが想到される。
[0021]一実施形態においては、バイアス電源140は、約10%〜約95%のデューティー比を備える約1kHz〜約10kHzの周波数において約600W以下のパルス変調RF電力を提供するように構成される。別の実施形態においては、バイアス電源140は、約80%〜約95%のデューティー比を備える約2kHz〜約5kHzの周波数において約10W〜約150Wのパルス変調RF電力を提供するように構成される。さらに別の実施形態においては、バイアス電源は、約10Wのパルス変調RF電力を提供する。
[0022]DPS(登録商標)reactorとして構成された一実施形態においては、基板支持ペデスタル124は、静電チャック160を含む。静電チャック160は、少なくとも1つのクランプ電極132を備え、かつ、チャック電源166によって制御される。代替の実施形態においては、基板ペデスタル124は、サセプタクランプリング、機械的なチャックなどのような基板保持機構を備えてもよい。
[0023]ガスパネル120が、処理チャンバ100に結合され、プロセスガスおよび/またはその他のガスをチャンバ本体102の中に提供する。図1に描かれる実施形態においては、ガスパネル120は、チャンバ本体102の側壁104のチャンネル118に形成された1つ以上の入口116に結合される。1つ以上の入口116は、その他の場所に、例えば、処理チャンバ100の天井108に提供されてもよいことが想到される。
[0024]一実施形態においては、ガスパネル120は、プロセスガスを入口116からチャンバ本体102の中に提供するように適合される。処理中、プラズマは、チャンバ本体102内において、プロセスガスの形をなし、プラズマ電源112からの電力に誘導結合されることによって維持される。代替として、プラズマは、遠隔において形成されてもよく、あるいは、その他の方法によって励起されてもよい。一実施形態においては、ガスパネル120から提供されるプロセスガスは、塩素含有ガスおよび一酸化炭素ガス(CO)の少なくとも1つを含む。塩素含有ガスの例には、とりわけ、Cl、BCl、およびHClが含まれる。
[0025]処理チャンバ100内の圧力は、スロットルバルブ162および真空ポンプ164を使用して制御される。真空ポンプ164およびスロットルバルブ162は、チャンバ圧力を約1ミリトール〜約20ミリトールの範囲内に維持することができる。
[0026]壁104の温度は、壁104の中を通る液体を含んだ管(図示しない)を使用して制御されてもよい。壁の温度は、一般的には、約65℃に維持される。典型的には、チャンバ壁104は、金属(例えば、アルミニウム、ステンレス鋼など)から形成され、電気的なグランド106に結合される。処理チャンバ100は、また、プロセス制御、内部診断、終点検出などのための従来のシステムを備える。このようなシステムは、支援システム154として集合的に示される。
[0027]レチクルアダプター182が、基板(レチクルまたはその他のワークのような)122を基板支持ペデスタル124上に固定するのに使用される。レチクルアダプター182は、一般的には、ペデスタル124(例えば、静電チャック160)の上面を被覆するように機械加工された下部184と、基板122を保持するような寸法および形状を有する開口188を有する上部186とを含む。開口188は、一般的には、実質的にペデスタル124の中心に配置される。アダプター182は、一般的には、ポリイミド、セラミック、または、石英のような耐エッチング性および耐熱性のある材料の一体部材から形成される。適切なレチクルアダプターは、2001年6月26日に発行された米国特許第6,251,217号に開示されている。エッジリング126が、アダプター182を被覆してもよく、および/または、アダプター182をペデスタル124に固定してもよい。
[0028]リフト機構138が、アダプター182すなわち基板122を、基板支持ペデスタル124上に降下させ、あるいは、基板支持ペデスタル124から上昇させるのに使用される。一般的には、リフト機構162は、それぞれのガイドホール136の中を移動する複数のリフトピン(1つのリフトピン130が示される)を備える。
[0029]動作中、基板122の温度は、基板ペデスタル124の温度を安定させることによって制御される。一実施形態においては、基板支持ペデスタル124は、ヒーター144および随意的なヒートシンク128を備える。ヒーター144は、熱伝導流体がその中を流れるように構成された1つ以上の流体管であってもよい。別の実施形態においては、ヒーター144は、ヒーター電源168によって調節される少なくとも1つの発熱体134を含んでもよい。場合によって、ガス供給源156からのバックサイドガス(例えば、ヘリウム(He))が、ガス管158を介して、基板122の下にあるペデスタル表面に形成されたチャンネルへ提供される。バックサイドガスは、ペデスタル124と基板122との間の熱伝達を助けるのに使用される。処理中、ペデスタル124は、埋め込まれたヒーター144によって、定常状態の温度にまで加熱されてもよく、それは、ヘリウムバックサイドガスと組み合わせられて、基板122を均一に加熱するのを助ける。
[0030]コントローラ146は、中央演算処理装置(CPU)150、メモリ148、およびCPU150の支援回路152を備え、処理チャンバ100のコンポーネントを制御するのを助け、かつ、このようなものとして、以下で詳細に論じるように、エッチングプロセスを制御するのを助ける。コントローラ146は、種々のチャンバおよびサブプロセッサーを制御するための工業的な設定に使用することのできるあらゆる形態の汎用コンピュータプロセッサーの中のいずれか1つであってもよい。CPU150のメモリ148は、ランダムアクセスメモリ(RAM)、リードオンリーメモリ(ROM)、フロッピーディスク、ハードディスク、または、局所的なあるいは遠隔におけるその他の何らかの形態のディジタル記憶装置のような、簡単に入手することのできる1つ以上のメモリであってもよい。支援回路152は、CPU150に結合され、プロセッサーを従来の形で支援する。これらの回路は、キャッシュメモリ、電源、クロック回路、入力/出力回路および入力/出力サブシステムなどを含む。新しい方法は、一般的には、メモリ148またはCPU150がアクセスすることのできるその他のコンピュータ可読媒体にソフトウェアルーチンとして記憶される。代替として、このようなソフトウェアルーチンは、また、CPU150によって制御されるハードウェアから遠く離れて設置された第2のCPU(図示しない)によって、記憶されてもよく、および/または、実行されてもよい。
[0031]図2は、本発明の一実施形態による図3A〜図3Gに示されるようなフォトマスク320を製造するための方法200の一実施形態のフローチャートを描いている。方法200は、一般的には、メモリ148に典型的にはソフトウェアルーチンとして記憶される。本発明の方法200は、ソフトウェアルーチンとして実施されるものとして論じられるが、本明細書に開示される方法ステップの幾つかまたは全ては、ソフトウェアコントローラによってだけでなく、ハードウェアとして実行されてもよい。このようなものとして、本発明は、コンピュータシステム上でソフトウェアとして実施されてもよく、特定用途向け集積回路またはその他の種類のハードウェア実施のようなハードウェアとして実施されてもよく、あるいは、ソフトウェアおよびハードウェアの組み合わせとして実施されてもよい。
[0032]方法200は、基板122が支持ペデスタル124上に置かれるステップ202から開始する。基板122は、一般的には、製造されるフォトマスク構造の積層膜300を備える。積層膜300は、石英(すなわち、二酸化ケイ素(SiO))層302のような光学的に透明なシリコンベース材料を含み、フォトマスク材料として知られている不透明な遮光クロム層304を有し、石英層302の表面上にパターン化されたマスクを形成するように処理される。クロム層304は、クロムおよび/または酸窒化クロムであってもよい。基板122は、また、モリブデン(Mo)またはケイ化モリブデン(MoSi)をドーピングされた窒化ケイ素(SiN)のような減衰層306(細い線で示される)を含んでもよく、図3Aに示されるように、石英層302とクロム層304との間に介装される。
[0033]ステップ204において、図3Bに示されるように、化学気相堆積法を利用して、炭素ハードマスク層308が、基板122上に堆積される。炭素ハードマスク層308は、限定はされないが、約50Å〜約1,000Åの厚さを有する。炭素ハードマスク層308は、α炭素と呼ばれる耐熱性無機炭素材料であってもよい。別の実施形態においては、マスク層308は、カリフォルニア州サンタクララにあるアプライドマテリアルズ社によってAdvanced Patterning Film(APF(商標))という商標名で販売されている材料であってもよい。
[0034]一実施形態においては、炭素ハードマスク層308は、1つ以上の炭化水素化合物を含むガス混合物および不活性ガスを加熱し、1つ以上の炭化水素化合物および不活性ガスを熱分解し、混合ガス中の1つ以上の炭化水素化合物を熱分解し、アモルファスカーボン層を形成することによって堆積されてもよい。適切な炭化水素化合物には、一般式Cを有するガスが含まれ、ここで、xは、2〜4の範囲を有し、yは、2〜10の範囲を有する。ガス混合物は、約100℃から約700℃までの範囲に存在する温度にまで加熱されてもよい。堆積中、バイアス電力は、下にある膜にハードマスク層308が付着するのを改善するために、堆積された材料の変形応力をうまく処理するように設定されてもよい。より詳細には、フォトマスクの微小寸法が、45nm程度に近づくにつれて、エッチングバイアスを助長する炭素ハードマスク層308の貧弱な付着は、マスク材料からフォトマスクへの許容することのできないCDの転写をもたらす。
[0035]一実施形態においては、炭素ハードマスク層308は、リソグラフィックパターン化プロセス中に光の反射を制御するのに使用される誘電性の反射防止膜(DARC)層を含んでもよい。典型的には、DARC層は、二酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、窒化ケイ素(Si)などを含む。例としての一実施形態においては、ハードマスク層は、約1,800Åの組み合わせ厚さを有するα炭素膜およびDARC層を含む。
[0036]ステップ206において、当分野において広く知られているように、レジスト層310が、炭素ハードマスク層308上にパターン化される。レジスト層は、何らかの適切な方法によって、パターン化されてもよい。
[0037]ステップ208において、炭素ハードマスク層308が、図3Dに示されるように、パターン化されたフォトレジストマスク層310をエッチングマスクとして使用して、エッチングされる。
[0038]一実施形態においては、炭素ハードマスク層308は、臭化水素(HBr)を20sccm〜200sccmの流量で、酸素(O)を10sccm〜40sccmの流量で(すなわち、流量比HBr:Oは、1:2〜20:1の範囲にある)、およびアルゴン(Ar)を20sccm〜200sccmの流量で提供し、200W〜1,500Wの電力を誘導結合アンテナに印加し、500W〜200Wのカソードバイアス電力を印加し、および2ミリトール〜20ミリトールのプロセスチャンバ内圧力においてウェーハ温度を50℃〜200℃に維持することによって、エッチングされてもよい。1つのプロセスレシピは、60sccmの流量でHBrを、26sccmの流量でOを(すなわち、約2.3:1の流量比HBr:O)、60sccmの流量でArを提供し、600Wの電力をアンテナに印加し、60Wのバイアス電力を印加し、80℃のウェーハ温度を維持し、4ミリトールの圧力を維持する。
[0039]随意的なステップ210において、図3Eに示されるように、フォトレジストマスク310が、炭素ハードマスク層308から除去される。一実施形態においては、フォトレジストマスク310は、ステップ208における炭素ハードマスク層308のエッチングと同時に除去されてもよい。別の実施形態においては、フォトレジストマスク310は、酸素含有プラズマに暴露することによって、ハードマスクエッチングチャンバにおいてイン・シトゥで除去されてもよい。代替として、フォトレジストマスク310は、積層膜300上に残されてもよい。最終的には、フォトレジスト310は、その後のエッチングプロセス中に消滅させられ、または、以下で説明するように、ステップ214において、パターン化された炭素ハードマスク層308とともに除去される。
[0040]ステップ212において、クロム層304が、パターン化された炭素ハードマスク層308をエッチングマスクとして使用して、エッチングされる。図3Fは、エッチングされたクロム層304を備える積層膜300を描いている。一実施形態においては、エッチングステップ212は、処理チャンバ100の中に導入された塩素含有ガスおよび一酸化炭素(CO)から形成されたプラズマを利用する。例示的な塩素含有ガスは、とりわけ、Cl、BCl、およびHClのうち1つ以上を含んでもよい。
[0041]別の実施形態においては、クロム層304は、ステップ212において、Clを50〜1,000標準立方センチメートル毎分(sccm)の流量で、およびCOを10〜500sccmの流量で提供することによってエッチングされる。さらに別の実施形態においては、クロム層304は、Clを200〜400sccmの流量で、およびCOを100〜300sccmの流量で提供することによってエッチングされる。1つの特定のプロセスレシピは、Clを300sccmの流量で、およびCOを150sccmの流量で提供する。プロセスチャンバ内の圧力は、2〜50ミリトールに維持され、また、一実施形態においては、2〜10ミリトールに維持される。またさらに別の実施形態においては、圧力は、約3ミリトールに維持される。
[0043]クロム層エッチングステップ212中、パルス変調バイアス電力が、支持ペデスタル124に印加され、基板122にバイアスをかける。第1の例においては、基板122は、約100W以下のパルス変調RF電力によってバイアスをかけられ、第2の例においては、基板122は、約8Wのパルス変調RF電力によってバイアスをかけられる。バイアス電力は、上述したような周波数およびデューティー比によって、例えば、約1〜約10kHzの範囲にある周波数、および約10〜約95%のデューティー比によって、パルス変調されてもよい。パルス変調バイアス電力は、DCおよび/またはRFであってもよい。別の実施形態においては、バイアス電源140は、約2〜約5kHzの周波数において約80〜約95%のデューティ比で約5〜約25Wのパルス変調RF電力を提供する。さらに別の実施形態においては、バイアス電源は、約10Wのパルス変調RF電力を提供する。
[0044]ステップ212中、プロセスガスの形をなすプラズマが、約100W〜約1,000WのRF電力をプラズマ電源112からアンテナ110に印加することによって、チャンバ100内に維持される。別の例においては、プラズマは、100W〜500WのRF電力を印加することによって維持される。さらに別の実施形態においては、RF電力が、約300Wで印加される。プラズマは多くのいかなる方法によっても励起されてよいことが想到される。
[0045]パターン化された炭素ハードマスク層308から基板122上に露出されるクロム層304は、終点に到達するまでエッチングされる。終点は、時間、光干渉法、チャンバガス発光分光法によって、または、その他の適切な方法によって、決定されてもよい。
[0046]ステップ214において、炭素ハードマスク層308が除去され、フォトマスク320が形成される。別の実施形態においては、炭素ハードマスク層308は、エッチングステップ212が実行された処理チャンバ170においてイン・シトゥで除去されてもよい。例えば、炭素ハードマスク層308は、クロム層をエッチングした後に処理チャンバ170においてイン・シトゥで酸素含有プラズマに暴露することによって、除去されてもよい。別の実施形態においては、炭素ハードマスク層308は、臭化水素および酸素から形成されたプラズマを使用して除去されてもよい。流量比HBr:Oは、約1:10〜約10:1の範囲に存在してもよい。また、アルゴンが、プラズマ中に存在してもよい。別の実施形態においては、プラズマは、200〜1,000Wの電源電力および0〜300Wのバイアス電力によって駆動され、その後、基板の温度は、約20〜約80℃に維持される。代替として、水素(H)、または、酸素とアルゴン(Ar)のような希釈ガスとの混合物から形成されたプラズマが、炭素ハードマスク層308を除去するのに使用されてもよい。
[0047]このように、炭素ハードマスク層に対するクロム層の高い選択比によって微小寸法の転写を有利に改善するクロム層をエッチングする方法が提供された。したがって、本明細書で説明された改善されたCD制御によってクロム層をエッチングする方法は、小さな微小寸法を有する特徴部をパターン化するのに適したフォトマスクの製造を有利に助ける。
[0048]これまでの説明は、本発明の実施形態に関してなされたものであり、本発明の基本的な範囲から逸脱することなく、本発明のその他のおよびさらなる実施形態を考えだすことができ、その後、本発明の範囲は、特許請求の範囲に規定される。
クロム層をエッチングするのに適したエッチング反応室の一実施形態の概略断面図である。 本発明の一実施形態に基づいてフォトマスクを製造する方法の一実施形態のフローチャートを描いている。 図2の方法に基づいてフォトマスクに加工される積層膜の概略部分断面図を描いている。 図2の方法に基づいてフォトマスクに加工される積層膜の概略部分断面図を描いている。 図2の方法に基づいてフォトマスクに加工される積層膜の概略部分断面図を描いている。 図2の方法に基づいてフォトマスクに加工される積層膜の概略部分断面図を描いている。 図2の方法に基づいてフォトマスクに加工される積層膜の概略部分断面図を描いている。 図2の方法に基づいてフォトマスクに加工される積層膜の概略部分断面図を描いている。 図2の方法に基づいてフォトマスクに加工される積層膜の概略部分断面図を描いている。 図1の反応室を含む処理システム、例えば、クラスタツールの一実施形態の概略図である。
符号の説明
100…チャンバ、102…チャンバ本体、104…導電性の壁、106…電気的なグランド、108…誘電性の天井、110…アンテナ、112…電源、114…第1の整合回路網、116…入口、118…チャンネル、120…ガスパネル、122…基板、124…基板ペデスタル、126…エッジリング、128…ヒートシンク、130…リフトピン、132…クランプ電極、134…発熱体、136…ガイドホール、138…リフト機構、140…バイアス電源、142…第2の整合回路網、144…ヒーター、146…コントローラ、148…メモリ、150…CPU、152…支援回路、154…支援システム、156…ガス供給源、158…ガス管、160…静電チャック、162…スロットルバルブ、164…真空ポンプ、166…チャック電源、168…電源、170…システム、172…第1のチャンバ、174…第2のチャンバ、182…レチクルアダプター、184…下部、186…上部、188…開口、200…方法、202…ステップ、300…積層膜、302…石英層、304…クロム層、306…減衰層、308…ハードマスク層、310…フォトレジストマスク、320…フォトマスク。

Claims (19)

  1. クロム層をエッチングする方法であって、
    パターン化された炭素ハードマスクから部分的に露出するクロム層を有する基板をプロセスチャンバ内に提供するステップと、
    塩素および一酸化炭素を含有するプロセスガスをプロセスチャンバの中に提供するステップと、
    プロセスガスから形成されたプラズマを維持するステップと、
    クロム層を炭素ハードマスクを介してエッチングするステップと、
    を備える方法。
  2. 前記プロセスガスが、Cl、BCl、または、HClの少なくとも1つを含む、請求項1に記載の方法。
  3. 前記プロセスガスを提供するステップが、
    Clを約50〜約1,000sccmの流量でプロセスチャンバの中に流し込む工程と、
    COを約10〜約500sccmの流量でプロセスチャンバの中に流し込む工程と、
    を備える、請求項1に記載の方法。
  4. 前記プロセスガスを提供するステップが、
    Clを約200〜約400sccmの流量でプロセスチャンバの中に流し込む工程と、
    COを約100〜約300sccmの流量でプロセスチャンバの中に流し込む工程と、
    を備える、請求項1に記載の方法。
  5. 前記プラズマを維持するステップが、
    約100〜約500Wの範囲にあるプラズマ電源電力を印加する工程を備える、請求項1に記載の方法。
  6. クロム層をエッチングする方法であって、
    クロム層および石英層の上に配置された炭素ハードマスクを有する基板をプロセスチャンバ内に提供するステップと、
    塩素含有ガスおよび一酸化炭素の少なくとも1つを含有するプロセスガスをプロセスチャンバの中に導入するステップと、
    プロセスガスのプラズマを形成するステップと、
    プロセスチャンバ内に配置された基板にバイアスをかけるステップと、
    パターン化されたハードマスクから露出するクロム層をエッチングするステップと、
    を備える方法。
  7. 前記プロセスガスを導入するステップが、
    Cl、BCl、または、HClの少なくとも1つをプロセスチャンバの中に流し込む工程をさらに備える、請求項6に記載の方法。
  8. 前記プロセスガスを導入するステップが、
    Clを約300sccmの流量でプロセスチャンバの中に流し込む工程と、
    COを約150sccmの流量でプロセスチャンバの中に流し込む工程と、
    チャンバ圧力を約3ミリトールに維持する工程と、
    プラズマ電源電力を約300Wで印加する工程と、
    プラズマバイアス電力を約8Wで供給する工程と、
    を備える、請求項6に記載の方法。
  9. 前記プロセスガスを導入するステップが、
    Clを約50〜約1,000sccmの流量でプロセスチャンバの中に流し込む工程と、
    COを約10〜約500sccmの流量でプロセスチャンバの中に流し込む工程と、
    をさらに備える、請求項6に記載の方法。
  10. 前記プロセスガスを導入するステップが、
    Clを約200〜約400sccmの流量でプロセスチャンバの中に流し込む工程と、
    COを約100〜約300sccmの流量でプロセスチャンバの中に流し込む工程と、
    をさらに備える、請求項6に記載の方法。
  11. 前記バイアスをかけるステップが、
    100W以下の電力によって基板にバイアスをかける工程をさらに備える、請求項6に記載の方法。
  12. 前記バイアスをかけるステップが、
    バイアス電力をパルス変調する工程をさらに備える、請求項11に記載の方法。
  13. フォトマスクを形成する方法であって、
    クロム層および石英層を有する基板上に炭素ハードマスク層を形成するステップと、
    炭素ハードマスクをパターン化し、ハードマスクを形成するステップと、
    塩素含有ガスおよび一酸化炭素の少なくとも1つを含有するプロセスガスを、基板を収容するプロセスチャンバの中に導入するステップと、
    プロセスチャンバ内においてプロセスガスのプラズマを形成するステップと、
    プロセスチャンバ内に配置された基板にバイアスをかけるステップと、
    パターン化されたハードマスクから露出するクロム層をエッチングし、その後、ハードマスクを除去するステップと、
    を備える方法。
  14. 前記ハードマスクが、クロム層がエッチングされたチャンバにおいてイン・シトゥで除去される、請求項13に記載の方法。
  15. 前記プロセスガスを導入するステップが、
    Clを約200〜約400sccmの流量でプロセスチャンバの中に流し込む工程と、
    COを約100〜約300sccmの流量でプロセスチャンバの中に流し込む工程と、
    をさらに備える、請求項3に記載の方法。
  16. 前記バイアスをかけるステップが、
    100W以下の電力によって基板にバイアスをかける工程を備える、請求項13に記載の方法。
  17. 前記バイアスをかけるステップが、
    バイアス電力をパルス変調する工程をさらに備える、請求項13に記載の方法。
  18. 前記プロセスガスを導入するステップが、
    Cl、BCl、または、HClの少なくとも1つをプロセスチャンバの中に流し込む工程をさらに備える、請求項13に記載の方法。
  19. 前記炭素ハードマスクを形成するステップが、
    α炭素の層を堆積する工程をさらに備える、請求項13に記載の方法。
JP2006244594A 2005-09-28 2006-09-08 フォトマスクを製造するのに適した炭素ハードマスクを介してクロム層をプラズマエッチングする方法 Pending JP2007096295A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/238,424 US7375038B2 (en) 2005-09-28 2005-09-28 Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication

Publications (1)

Publication Number Publication Date
JP2007096295A true JP2007096295A (ja) 2007-04-12

Family

ID=37894666

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006244594A Pending JP2007096295A (ja) 2005-09-28 2006-09-08 フォトマスクを製造するのに適した炭素ハードマスクを介してクロム層をプラズマエッチングする方法

Country Status (5)

Country Link
US (3) US7375038B2 (ja)
JP (1) JP2007096295A (ja)
KR (1) KR100828781B1 (ja)
CN (1) CN1940717A (ja)
TW (1) TWI333124B (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008113007A (ja) * 2006-10-30 2008-05-15 Applied Materials Inc フォトマスクのプラズマエッチング方法及び装置
JP2009021582A (ja) * 2007-06-22 2009-01-29 Advanced Mask Technology Center Gmbh & Co Kg マスクブランク、フォトマスク、及びフォトマスクの製造方法
JP2010009001A (ja) * 2008-06-27 2010-01-14 S & S Tech Co Ltd ブランクマスク、フォトマスク及びその製造方法
JP2014512096A (ja) * 2011-03-22 2014-05-19 東京エレクトロン株式会社 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US7635546B2 (en) * 2006-09-15 2009-12-22 Applied Materials, Inc. Phase shifting photomask and a method of fabricating thereof
US7476588B2 (en) * 2007-01-12 2009-01-13 Micron Technology, Inc. Methods of forming NAND cell units with string gates of various widths
JP4914272B2 (ja) * 2007-04-02 2012-04-11 エルピーダメモリ株式会社 投影露光用のレチクル、該投影露光用のレチクルの製造方法及び該レチクルを用いた半導体装置
US8980756B2 (en) * 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US20090053620A1 (en) * 2007-08-24 2009-02-26 Hynix Semiconductor Inc. Blank Mask and Method for Fabricating Photomask Using the Same
US20090325387A1 (en) * 2008-06-26 2009-12-31 Applied Materials, Inc. Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
WO2010019722A2 (en) * 2008-08-13 2010-02-18 Intermolecular, Inc. Combinatorial approach to the development of cleaning formulations for glue removal in semiconductor applications
JP5114367B2 (ja) * 2008-11-21 2013-01-09 Hoya株式会社 フォトマスクの製造方法及びそのフォトマスクを用いたパターン転写方法
US20100276391A1 (en) * 2009-03-05 2010-11-04 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
WO2010102125A2 (en) * 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
CN101989035B (zh) * 2009-08-03 2012-02-08 中芯国际集成电路制造(上海)有限公司 光掩膜的铬金属膜去除方法
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
TW201304162A (zh) * 2011-05-17 2013-01-16 Intevac Inc 製作太陽能電池背側點接觸的方法
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US8937800B2 (en) * 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
US9408288B2 (en) * 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US20150020974A1 (en) * 2013-07-19 2015-01-22 Psk Inc. Baffle and apparatus for treating surface of baffle, and substrate treating apparatus
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US11417501B2 (en) * 2015-09-29 2022-08-16 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5819476A (ja) * 1981-07-24 1983-02-04 Mitsubishi Electric Corp クロム系膜のドライエツチング法
JPH0590226A (ja) * 1991-01-22 1993-04-09 Toshiba Corp 半導体装置の製造方法
WO2003036704A1 (en) * 2001-10-22 2003-05-01 Unaxis Usa, Inc. Method and apparatus for the etching of photomask substrates using pulsed plasma
WO2004006014A2 (en) * 2002-07-03 2004-01-15 Advanced Micro Devices, Inc. Method of using an amorphous carbon layer for improved reticle fabrication
WO2005076081A2 (en) * 2004-01-30 2005-08-18 Applied Materials, Inc. Reticle fabrication using a removable hard mask

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US5316616A (en) 1988-02-09 1994-05-31 Fujitsu Limited Dry etching with hydrogen bromide or bromine
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5273610A (en) 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
JP3251087B2 (ja) 1993-02-16 2002-01-28 東京エレクトロン株式会社 プラズマ処理装置
US5512130A (en) 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
KR100413649B1 (ko) * 1996-01-26 2004-04-28 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의제조방법
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6500314B1 (en) 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
EP0840350A2 (en) 1996-11-04 1998-05-06 Applied Materials, Inc. Plasma apparatus and process with filtering of plasma sheath-generated harmonics
US5899252A (en) 1997-07-18 1999-05-04 Freud Usa, Inc. Router bit and routing method
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6060132A (en) 1998-06-15 2000-05-09 Siemens Aktiengesellschaft High density plasma CVD process for making dielectric anti-reflective coatings
US6066132A (en) * 1998-06-30 2000-05-23 Ethicon, Inc. Articulating endometrial ablation device
TW434636B (en) 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
US6171764B1 (en) 1998-08-22 2001-01-09 Chia-Lin Ku Method for reducing intensity of reflected rays encountered during process of photolithography
US6642149B2 (en) 1998-09-16 2003-11-04 Tokyo Electron Limited Plasma processing method
US6635583B2 (en) 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
JP2000114245A (ja) * 1998-10-05 2000-04-21 Hitachi Ltd 半導体集積回路装置およびその製造方法
JP2000138201A (ja) * 1998-10-29 2000-05-16 Ulvac Seimaku Kk ハーフトーン位相シフト膜のドライエッチング方法および装置、ハーフトーン位相シフトフォトマスクおよびその作製方法、ならびに半導体回路およびその製作方法
EP1011135A3 (en) 1998-12-14 2000-07-26 Conexant Systems, Inc. Semiconductor interconnect structure employing a pecvd inorganic dielectric layer and process for making same
US6259334B1 (en) 1998-12-22 2001-07-10 Lam Research Corporation Methods for controlling an RF matching network
KR100322545B1 (ko) 1999-02-10 2002-03-18 윤종용 건식 세정 공정을 전 공정으로 이용하는 반도체 장치의콘택홀 채움 방법
US6228760B1 (en) 1999-03-08 2001-05-08 Taiwan Semiconductor Manufacturing Company Use of PE-SiON or PE-OXIDE for contact or via photo and for defect reduction with oxide and W chemical-mechanical polish
US6682861B2 (en) 1999-09-30 2004-01-27 Photronics, Inc. Disposable hard mask for phase shift photomask plasma etching
US20020036452A1 (en) * 1999-12-21 2002-03-28 Masakazu Muroyama Electron emission device, cold cathode field emission device and method for the production thereof, and cold cathode field emission display and method for the production thereof
US20010013313A1 (en) 2000-02-10 2001-08-16 Motorola, Inc. Apparatus for fabricating semiconductor structures and method of forming the structures
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6507155B1 (en) 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US6607984B1 (en) 2000-06-20 2003-08-19 International Business Machines Corporation Removable inorganic anti-reflection coating process
US6451705B1 (en) 2000-08-31 2002-09-17 Micron Technology, Inc. Self-aligned PECVD etch mask
US6887339B1 (en) 2000-09-20 2005-05-03 Applied Science And Technology, Inc. RF power supply with integrated matching network
US6391794B1 (en) 2000-12-07 2002-05-21 Micron Technology, Inc. Composition and method for cleaning residual debris from semiconductor surfaces
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
US20020197509A1 (en) 2001-04-19 2002-12-26 Carcia Peter Francis Ion-beam deposition process for manufacturing multi-layered attenuated phase shift photomask blanks
JP2003073836A (ja) 2001-08-28 2003-03-12 Canon Inc 真空処理方法及び真空処理装置
EP1444727A4 (en) * 2001-10-22 2007-07-18 Unaxis Usa Inc PROCESS AND DEVICE FOR CORROSING THIN, DAMAGE-SENSITIVE LAYERS USING HIGH FREQUENCY PULSE PLASMA
US6790770B2 (en) 2001-11-08 2004-09-14 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing photoresist poisoning
US6887340B2 (en) 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
US6500756B1 (en) 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US20050181604A1 (en) * 2002-07-11 2005-08-18 Hans-Peter Sperlich Method for structuring metal by means of a carbon mask
US6864020B1 (en) 2002-12-24 2005-03-08 Lsi Logic Corporation Chromeless phase shift mask using non-linear optical materials
JPWO2004090635A1 (ja) * 2003-04-09 2006-07-06 Hoya株式会社 フォトマスクの製造方法及びフォトマスクブランク
US7077973B2 (en) 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US7894348B2 (en) 2003-07-21 2011-02-22 Qlogic, Corporation Method and system for congestion control in a fibre channel switch
US7024105B2 (en) 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
US7128806B2 (en) 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7534469B2 (en) 2005-03-31 2009-05-19 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
US20070031609A1 (en) 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7829471B2 (en) 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5819476A (ja) * 1981-07-24 1983-02-04 Mitsubishi Electric Corp クロム系膜のドライエツチング法
JPH0590226A (ja) * 1991-01-22 1993-04-09 Toshiba Corp 半導体装置の製造方法
WO2003036704A1 (en) * 2001-10-22 2003-05-01 Unaxis Usa, Inc. Method and apparatus for the etching of photomask substrates using pulsed plasma
WO2004006014A2 (en) * 2002-07-03 2004-01-15 Advanced Micro Devices, Inc. Method of using an amorphous carbon layer for improved reticle fabrication
WO2005076081A2 (en) * 2004-01-30 2005-08-18 Applied Materials, Inc. Reticle fabrication using a removable hard mask

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008113007A (ja) * 2006-10-30 2008-05-15 Applied Materials Inc フォトマスクのプラズマエッチング方法及び装置
JP2009021582A (ja) * 2007-06-22 2009-01-29 Advanced Mask Technology Center Gmbh & Co Kg マスクブランク、フォトマスク、及びフォトマスクの製造方法
JP2010009001A (ja) * 2008-06-27 2010-01-14 S & S Tech Co Ltd ブランクマスク、フォトマスク及びその製造方法
JP2014512096A (ja) * 2011-03-22 2014-05-19 東京エレクトロン株式会社 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス

Also Published As

Publication number Publication date
US20080050661A1 (en) 2008-02-28
US20070072435A1 (en) 2007-03-29
US20080131789A1 (en) 2008-06-05
CN1940717A (zh) 2007-04-04
TW200712757A (en) 2007-04-01
US7718539B2 (en) 2010-05-18
US20080280212A9 (en) 2008-11-13
TWI333124B (en) 2010-11-11
KR100828781B1 (ko) 2008-05-09
KR20070035976A (ko) 2007-04-02
US7375038B2 (en) 2008-05-20

Similar Documents

Publication Publication Date Title
US7718539B2 (en) Method for photomask fabrication utilizing a carbon hard mask
US8293430B2 (en) Method for etching a molybdenum layer suitable for photomask fabrication
US7829243B2 (en) Method for plasma etching a chromium layer suitable for photomask fabrication
US7790334B2 (en) Method for photomask plasma etching using a protected mask
US7879510B2 (en) Method for quartz photomask plasma etching
JP2006215552A5 (ja)
JP2007041599A (ja) フォトマスク製造におけるプロセス集積のためのクラスターツールおよび方法
JP2007084919A (ja) デュアル周波数バイアスを具備する化学気相堆積チャンバおよびこれを使用するフォトマスク製造方法
JP5036726B2 (ja) フォトリソグラフィ用基体の改善されたエッチング方法
JP5459945B2 (ja) 位相シフトフォトマスク及びその製造方法
US7786019B2 (en) Multi-step photomask etching with chlorine for uniformity control

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090915

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090929

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100104

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100107

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100115

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20100706

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20100708

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100928