TWI333124B - Method for plasma etching a chromium layer through a carbon hardmask suitable for photomask fabrication - Google Patents

Method for plasma etching a chromium layer through a carbon hardmask suitable for photomask fabrication Download PDF

Info

Publication number
TWI333124B
TWI333124B TW095134103A TW95134103A TWI333124B TW I333124 B TWI333124 B TW I333124B TW 095134103 A TW095134103 A TW 095134103A TW 95134103 A TW95134103 A TW 95134103A TW I333124 B TWI333124 B TW I333124B
Authority
TW
Taiwan
Prior art keywords
layer
processing chamber
hard mask
substrate
gas
Prior art date
Application number
TW095134103A
Other languages
English (en)
Other versions
TW200712757A (en
Inventor
Ajay Kumar
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200712757A publication Critical patent/TW200712757A/zh
Application granted granted Critical
Publication of TWI333124B publication Critical patent/TWI333124B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Description

1333124
九、發明說明: 【發明所屬之技術領域】 本發明大體上有關於一種電漿蝕刻鉻的方法 而言,本發明提供一種透過碳硬罩幕來蝕刻鉻層 罩的方法。 【先前技術】 在積體電路(1C)或晶片的製程中,晶片設計 用來顯現晶片不同層的圖案。並由這些圖案製造 可重複使用的罩幕或光罩,以於製造過程中將晶 設計圖樣轉移至半導體基板上。罩幕圖案形成系 精確的雷射或電子束將該晶片各層的設計呈現於 幕上。該等罩幕隨後可作為類似照相負片般地將 路圖案轉移到半導體基板上。這些膜層係利用一 所建構而成,並轉變成微小的電晶體與包含每個 的電子電路。因此,罩幕中的任何缺陷都可能會 片上,而可能對性能造成潛在的不良影響。太嚴 可能會導致罩幕完全無法使用。通常,建構一個 10至20片罩幕,且該些罩幕可重複使用。 罩幕一般為玻璃或石英基材,且在一面上 絡。罩幕亦可能含有一層摻雜著鉬(molybdenum, 化矽(SiN)。鉻層上覆蓋著一抗反射塗層與一光阻 化的過程中,藉著將部分光阻暴露於紫外線中使 光部分可溶於一顯影溶液哩,而將電路設計寫在 。更明確 以製造光 師創造出 出一系列 片各層的 統係使用 各自的罩 各層的電 連串製程 完整晶片 轉移到晶 重的缺陷 晶片需要 具有一層 Mo)的氮 。在圖案 得該些曝 罩幕上。 5 1333124 該光阻的可溶解部分隨之移除,而暴露出下方欲蝕刻的 鉻。蝕刻步驟移除了該罩幕上已去除光阻之位置的鉻與抗 反射層,即是將暴露出來的鉻移除。
另一種用來進行圖案化製程的罩幕則是廣為人知的石 英相位移罩幕。石英相位移罩幕類似於上述罩幕,除了將 透過該圖案化鉻層所暴露出來之石英區域的調整鄰近區域 (alternating adjacent areas)#刻至約為製造過程中用來將 電路圖案轉移至基板上之光線波長一半的深度。因此,當 光線穿透該石英相位移罩幕而暴露至沉積在基板上的光阻 時,該透過該罩幕之開孔照射至光阻中的光線係與該剛通 過鄰近開孔的光線呈現1 8 0度的相差。因此,該些在罩幕 開孔邊緣處可能被散射掉的光線會被在該鄰近開孔之邊缘 處相差1 8 0度的散射光線所抵消,而在光阻的預定區域中 產生較緊密的光線分佈。該較緊密的光線分佈有助於刻寫 較小臨界尺寸的特徵。同樣地,用於無鉻蝕刻光微影製程 中的罩幕亦可利用光線穿透兩個罩幕之石英部分所產生的 相位移來連續地成像該光阻,進而改善用來產生光阻圖案 的光線分佈情形。 在一蝕刻製程中,例如熟知的乾蝕刻製程,使用活性 離子蝕刻或電漿蝕刻電漿來增強化學反應,並蝕刻該罩幕 的圖案化鉻區域。不幸地是,傳統鉻蝕刻製程通常受到因 電將撞擊用來圖案化鉻的光阻材料所導致的蝕刻偏差問題 所困擾。當於鉻蝕刻過程中,光阻受到攻擊時,已圖案化 之光阻的臨界尺寸無法精確的轉移至絡層。此外,使用光 6 1333124
阻罩幕來進行蝕刻易發生蝕刻偏差,製造業者使用光 幕來製造小於約5微米之臨界尺寸時,對於這些問題 成之光罩蝕刻特徵不均勻性而面臨極大挑戰,且相對 減了使用該罩幕製造小臨界尺寸特徵的能力。當罩幕 界尺寸不斷縮小時,蝕刻均勻性是主要關鍵。 近來已於光罩製造的鉻蝕刻過程中使用硬罩幕來 更精準的臨界尺寸轉移。然而,現行的鉻蝕刻製程對 罩幕材料的選擇性不佳。當傳統鉻蝕刻化合物包含氧 碳硬罩幕的蝕刻經常和鉻層的蝕刻同樣快速或更快, 無法接受的臨界尺寸控制,而使得傳統鉻餘刻製程不 於光罩製造方面上。 因此,需要一種對碳硬罩幕材料具有高蝕刻選擇 鉻蝕刻製程。 【發明内容】 本發明大致上提供一種使用碳硬罩幕來蝕刻鉻層 法。在一實施例中,蝕刻鉻層的方法包括:提供具有 層的基板使其透過一圖案化的碳硬罩幕層而部份曝光 一含氣與一氧化碳的製程氣體通入一處理室中;以及 該製程氣體之電漿已透過該圖案化碳硬罩幕來蝕刻 層。 在另一實施例中,形成光罩的方法包括:提供一 鉻層與石英層之基板於一處理室之基板支撐件上,並 案化的碳硬罩幕位於該鉻層與石英層上方;將一含氯 阻罩 所造 地削 的臨 提供 碳硬 時, 導致 適用 性的 的方 一絡 :將 維持 該鉻 具有 一圖 與一 7 1333124 氧化碳的製程氣體導入該處理至中’形成5玄製程氣體之電 漿;偏壓該基板;蝕刻透過該圖案化碳硬罩幕而暴露出來 的鉻層,以暴露出部分的石英層;移除該硬罩幕層。 【實施方式】
本發明多個實施例提供一種改良的鉻钱刻製程與一種 用來製造光罩的方法。該方法使用對碳硬罩幕材料具有高 選擇性的鉻敍刻化學物。
第1圖繪示一蝕刻處理室100之實施例的示意圖’其 該處理室100可能實施本發明之石英姓刻的方法。適合用 於本文中教示内容的反應器包括去耦合電漿源(DPS®)11反 應器(Decoupled Plasma Source (DPS®) II reactor)或型號 為Tetra I與Tetra II光罩蝕刻系統,這些系統均可購自應 用材料公司(Applied Materials, Inc. of Santa Clara, California)。該蝕刻處理室100亦可能用作如第4圖所示 之處理系統的處理模組,例如型號為 CENTURA®整合半 導體晶圓處理系統,其亦可購自應用材料公司。該處理系 統亦可能包含適合用於灰化步驟的第一室172以及適合用 於聚合物沉積的第二室174。灰化與沉積室的適當範例包 括商品型號AXIOM HTtm與Tetra II處理室,其亦可購自 應用材料公司。本文中所顯示處理室1〇〇的特定實施例係 做示範之用,不應用以限制本發明範圍。 處理室100通常包含一處理室主體102,其具有一基 板基座124與一控制器146。該室主體102具有一導電室 1333124
壁104支撐一實質平坦的介電性頂壁(dielectric “丨丨丨^) 10 8。處理室100的其他實施例1〇〇可能包括其他種類的頂 壁,例如圓頂狀的天花板。具有一或多個導電線圈(例如兩 共轴元件110A與11 0B)的天線安置在該頂壁1〇8上方。該 等共轴元件11 0 A與11 〇 B可選擇性地加以控制。天線11 〇 透過一第一匹配網路114耦接至電漿功率源112。電漿功 率源112通常能產生高達約3000瓦(W)、可調頻率範圍介 於約50 kHz至約13.5 6 MHz之間的功率。在一實施例中, 該電漿功率源1 1 2提供約1 〇 〇至約6 0 0瓦的誘導耦合射頻 功率(inductively coupled RF power),以及在另一實施例 中,該電漿功率源11 2提供約2 5 0至約6 0 0瓦的誘導耦合 射頻功率。
該基板基座(陰極,cathode) 124係透過一第二匹配網 路142耦接至一偏壓功率源140。該偏壓功率源140提供 介於約0至約600瓦,且可調脈衝頻率範圍介於約1至約 1 0 kHz的功率。該偏壓功率源1 40能產生一脈衝射頻功率 輸出。或者,該偏壓功率源140可能產生一脈衝直流功率 輸出。該功率源 140亦可設計用來提供一固定的直流與/ 或射頻功率輸出。 在一實施例中,該偏壓功率源係設計用以提供小於約 600瓦、頻率介於1至10kHz之間的脈衝射頻功率,且具 有介於約10%至95 %之間的工作週期(duty cycle)。在另一 實施例中,該偏壓功率源140係設計用以提供介於約10 至約150瓦、頻率介於约2至5 kHz之間的脈衝射頻功率, 9 1333124 且具有介於約80 %至95%之間的工作週期(duty cycle)。在 又一實施例中’該偏壓功率源提供約1 〇瓦的脈衝射頻功 率 〇
在一設計如DPS®反應器的實施例中,該基板支撐底座 124包含一靜電夾盤丨60。該靜電夾盤160包含至少一夾 甜電極132且由一夾盤電源供應器166所控制。在另一替 換實施例中,基板底座 124 可能包含基板固定機構 (substrate retention mechanisms),例如基座夹環、機械式 夾盤等等。 一氣體盤(gaspanel)120耦接至該處理室1〇〇,以提供 製程氣體及/或其他氣體至該室主體102内部。在繪示於第 1圖的實施例中’氣體盤120耗接至形成於該室主體1〇2 側壁104中之通道II·8内的一或多個入口 U6。該一或多 個入口 1 1 6可配置在其他位置上’例如位於該處理室1 〇 〇 的頂壁108中。
在一實施例中,氣體盤120適用於透過該等入口 116 來提供叛程氣體進入該室主體1〇2的内部。在處理過程中, 該製程氣體係於室主體U)2内形成—電毁,並透過來自電 漿功率源112之功率的誘導耗合來維持該電裂。該電聚可 替換地為遠端形成電漿或利用其他方 施例中’由氣體盤120所提供的製程 法來點燃之。在一實 氣體包含至少一含氣 氣體與-氧化碳㈣氣體。含氣氣體的範例包括氣氣 (Ch)、三氣化硼(BCh)與氣化氫(hci, 俗稱鹽酸)等等 該處理室1〇〇中的壓力係受到—節流間162與真空幫 10 1333124
浦164的控制。該真空幫浦164與節访 162能將室壓力維持在介於約i至約20 可利用流經該室壁104的含液體缚 該室壁104的溫度。室壁溫度通常維持-該室壁104係由金屬所形成(例如,銘 接至一電性接地106。處理室100亦包 控制、内部診斷、終點偵測等動作的傳 可集體地表示成支援系統1 5 4。 光罩承接件(reticle adapter)182 用: 或其他工件)1 22固定至該基板支撐基座 件182通常包含一下部份184用以覆蓋 面(例如,靜電夾盤160),以及其尺寸 有開孔1 8 8的頂部份1 8 6以握持住該基 通常實質上位於該基座124的中央。該 一整塊的抗蝕刻、耐高溫材料所製成, (ρ ο 1 y i m i d e c e r a m i c )或石英。一適合的 於2001年6月26曰核准之美國專利素 一邊緣環(edge ring)126可覆蓋及/或固 該基座1 2 4 » 一舉升機構138係用來降低或升高 因此該基板1 22可離開或位在該基板支 致而言,該舉升機構162包含複數個舉 中僅顯示一舉升銷130,舉升銷可各自 動。 ί 閥(throttle valve) 毫托(m T o r r)之間。 「管(未出示)來控制 &約65°C。典型地, 、不銹鋼等),且耦 含可用來進行製程 統系統。此類系統 象將基板(如一光罩 124。該光罩承接 該基座124的上表 與形狀經塑造之具 板122。該開孔188 承接件1 8 2通常由 例如聚醯亞胺陶瓷 光罩承接件係揭露 ί 6,251,217 號中。 定該承接件1 8 2至 該承接件1 8 2,且 撐基座124上。大 升銷(lift pins),圖 於引導孔136中移 11 1333124
在操作時,藉著穩定該基板基座124的溫度來控制該 基板122的溫度。在一實施例中,該基板支撐基座124包 含一加熱器144與一選用性的散熱片(heat sink) 1 28。該加 熱器144可為一或多個流體導管,其設計使一熱傳導流體 於其内流動。在另一實施例中,加熱器14 4可能包含至少 一加熱元件1 3 4,該加熱元件1 3 4受到一加熱器電源供應 器168所控制。選擇性地,可經由一氣體導管158從氣體 源156供應一背景氣體(backside gas,例如氦氣(He))至形 成於基板122下方之基座表面中的通道裡。該背景氣體係 用來幫助在基座124與基板122之間的熱傳遞。在處理過 程中’可利用包埋式加熱器144將該基座124加熱至一穩 態溫度’加上背景氣體「氦氣」的配合,有助於均勻加熱 該基本的加熱均勻該基板122。
該控制器1斗6包含一中央處理器(CPu) 15〇、一記憶體 148與用於該中央處理器15〇的支援電路152,並且該控制 器146可幫助控制該處理室1〇〇中的各個構件,以及蝕刻 製程等等,將於以下做更進一步的敘述。控制器丨46可以 疋任何一種形式之可用於控制多種處理室的工業設定動作 的一般用途電腦處理器,以及多個次處理器 (sub-processors)。該中央處理器15〇的記憶體148可為一 或多個方便購得的記憶體,例如隨機存取記憶體(ram)、 唯讀式己1*體(ROM)、軟碟機(fI〇ppy disk)'硬碟(心^仏匕) 或其他形式之遠端或原處的數位儲存件。該支援電路i52 耦接至中央處理器150 七 以傳統的方式來支援該中央處理 < S ; 12 1333124 器150。該電路包括快取器(cache)、電源供應器、時鐘電 路(clock circuits)、輸入/輸出電路及子系統等等。該創新 的方法通常儲存於記憶體148或其他電腦可讀媒體中,以 作為中央處理器150可讀取的軟體程式。或者’此類軟體 程式亦可由遠離該受中央處理器150所控制之硬體的第二 中央處理(未出不)來储存且/或執行。 第2圖繪示根據本發明之用來製造如第3A-G圖中所 示光罩320之方法200的實施例流程圖。該方法2〇〇通常 如同一軟體程式般地儲存於記憶體i 4 8中。雖然本發明之 方法200是以軟體程式(software r〇utine)的方式來實施, 但文中揭露方法的部份或所有步驟亦可再硬體中及藉由軟 體控制器來執行。因此,本發明可實施成軟體以由電腦系 統來執行,亦可實施成硬體成為特殊應用的積體電路或其 他的硬趙實施型態,或者是軟體與硬體的結合。 方法200起始於步驟202,在步驟2〇2中’基板122 被置於支撑…24上。基板122通常包含一將製造程光 罩結構的薄膜堆叠層300。薄膜堆叠層3〇〇包含—透光性 矽材料,例如石英層(即,二氧化矽(Si〇2))3〇2,並具有一 不透明的光阻擋鉻層304,其為已知的光罩材,料,在經處 理後係在該石英層302的表面上形成一圖案罩幕。該鉻層 3〇4可能為絡(chromium)及/或氡氡化鉻(chr〇mium oxynitride)。基板1 22亦可包含一衰減層3〇6 (虛擬方式 顯示之),使得摻雜有!a (Mo)的氮化矽(SiN)或矽化钥 (MoSi)夹在石英層302與絡層304之間,如第μ圖所示。 13 於步騍2 04中,利用化學氣相沉積製成於該基板122 货—碳硬罩幕層308,如第3B圖所示。該碳硬罩幕層 3〇8且古认 。 。 ,、有約50 Α至約1000 Α,但不僅限於此。碳硬罩幕層 8可此為一高溫無機碳材料,稱之為在 另—實施例中,碳硬罩幕層308可為應用材料公司所販售 商品名為 Advanced Patterning Film (APF™)的材料 (Applied Materials, Inc., located in Santa Clara, California)。 在一實施例中,藉由加熱包含一或多種碳氫化合物與 頓氣的氣體混合物,以熱分解該氣體混合物中的一或多種 碳氫化合物來形成一不定型碳層,而沉積出碳硬罩幕層 308。適合的碳氫化合物包括化學通式為CxHy的氣體,其 中;t介於2至4之間,y介於2至10之間。該氣體混合物 可加熱到約100至約700°C之溫度。沉機過程中,可藉著 偏壓功率來監控該沉積材料的應力(stress)以改善該硬罩 幕層308對其下方膜層的附著性。更明確而言,當光罩的 臨界尺寸接近45奈米(n m)範圍時,該碳硬罩幕層308的附 著性不佳,而此點促使從罩幕材料的鄰接尺寸轉移至該光 罩上時產生無法接受的蝕刻偏差。 在一實施例中,該碳硬罩幕層308可能包含一介電抗 反射塗層(dielectric anti-reflective coating,DARC),用以 在光微影圖案化製成中控制光的反射。通常,該DARC層 包含二氧化矽(Si〇2)、氮氧化矽(SiON)、氮化矽(Si3N4)及 其類似物。在另一替換實施例中,該硬罩幕層包括α-碳膜
S 14 1333124 層與DARC層,兩者之結合厚度約1800埃(Angstroms)。 在步驟206中,一圖案化的光阻層310覆蓋於該碳硬 罩幕層308上,此為該領域中的習知技術。可利用適合的 方法來圖案化該光阻層。 在步驟208中,如第3D圖所示般,利用該圖案化的 光阻層3 1 0作為蝕刻罩幕來蝕刻該碳硬罩幕層3 0 8。
在一實施例中,該碳硬罩幕層的蝕刻步驟可利用流量 約2 0至2 0 0 s c c m的漠化氫(Η B r)、流量約1 0至4 0 s c c m 的氧氣(〇2)(即,HBr:02流量比例介於1:2至20: 1之間)以 及流量約20至200 seem的氬氣(Ar),並對誘導耗合線圈 施加介於約200至1 500瓦的功率、施加介於約50至200 瓦之間的陰極偏壓功率,並維持介於50至200°C間的晶圓 溫度以及介於2至20毫托之間的處理室壓力。一製程處理 配方提供流量 60 seem的溴化氫、流量 26 seem的氧氣 (即,Η B r: Ο 2之流量比約2.3 : 1)、流量6 0 s c m的氬氣、施 加600瓦的天線功率、60瓦的偏壓功率、維持80°C的晶 圓溫度與維持4毫托的壓力。 在一選用性步驟210中,將該光阻層310自該碳硬罩 幕層308上移除,如第3E圖所示。在一實施例中,可於 步驟 208移除該光阻罩幕 310同時蝕刻該碳硬罩幕層 308。在另一實施例中,可藉著使光阻層310暴露至一含氧 電漿中而於該硬罩幕蝕刻室中原位移除該光阻罩幕 310 。光阻罩幕310亦留在該薄膜堆疊層300上。該光阻 罩幕310最終將於後續的蝕刻製程中損耗掉,或者於下述 £ 15 1333124 的步驟214中與該圖案化碳硬罩幕層30 8 —起移除。
在步驟212中,使用該圖案化碳硬罩幕層308做為蝕 刻罩幕來蝕刻該鉻層304。第3F圖繪示具有該蝕刻後之鉻 層304的薄膜堆疊層300。在一實施例中,該蝕刻步驟212 係使用由導入該處理室 1〇〇中的一含氣氣體與一氧化碳 (CO)所形成之電漿。含氣氣體的範例包括氣氣(Cl2)、三氣 化硼(BC13)與氯化氫(HC1)的其中一者或多者,以及其他含 氣氣體。
在另一實施例中,於步驟 2 1 2藉著提供流量介於 5 0 至1000 sccm(標準立方公分/分鐘)之間的氣氣以及介於10 至500 seem之間的一氧化碳來姓刻該鉻層304。在又一實 施例中,藉著提供流量介於200至400 seem之間的氣氣以 及介於 100至 300 seem之間的一氧化碳來蝕刻該鉻層 304。一特定製成配方提供流量300 seem的氣氣、流量150 seem的一氧化碳。處理室中的壓力係維持在2至50毫托 之間,且在一實施例中,則介於2至10毫托之間。在另一 實施例中,該壓力係維持在3毫托附近。 在鉻層蝕刻步驟2 1 2的過程中,一脈衝偏壓功率施加 至支撐基座124,以偏壓該基板122。在一第一範例中’以 低於約100瓦的脈衝射頻功率偏壓該基板122,且在一第 二範例中,以約8瓦的脈衝射頻功率來偏壓該基板1 22。 該偏壓功率可能以如上所述之頻率與工作週期來進行脈 衝,例如介於約1至約10 kHz的頻率以及約10至約95% 的工作週期。該脈衝偏壓功率可以是直流及/或射頻功率。
S 16 1333124
在另一實施例中,該偏壓源140提供介於約5至25瓦且 率介於5至5 kHz之間的脈衝射頻功率,且具有介於約 至約9 5 %的工作週期。在又一實施例中,該偏壓源提供 10瓦的脈衝射頻功率。 在步驟2 1 2中,藉著從該電漿功率源11 2施加介於 100至1000瓦的射頻功率至天線110來維持該處理室中 製程氣體所形成之電漿。在另一實施例中,該電漿係藉 施加介於100至500瓦之間的射頻功率來加以維持。在 一實施例中,所施加的射頻功率R F約為3 0 0瓦。本文 包含由任何方法所激發之電漿。 透過該圖案化碳硬罩幕308而暴露出該基板122上 該鉻層 304受到蝕刻,直到抵達終點(endpoint)為止。 終點可藉由時間、光學干擾學(optical interferometry)、 程氣體發射光譜或其他適當的方法來決定。 在步驟214中,移除該碳硬罩幕層308以形成一光 3 2 0。在另一實施例中,可於執行蝕刻步驟 2 1 2的處理 170中原位(in-situ)移除該碳_硬罩幕層308。舉例而言, 在鉻層蝕刻步驟之後,使碳硬罩幕層308原位暴露至處 室170内的含氧電漿,以移除該碳硬罩幕層308。在另 實施例中,可利用由溴化氫(hydrogen bromide,HBr)與 氣所形成之電將來移除該碳硬罩幕層 308。HBr: 02的 量比例可藉於約1: 1 0至1 〇 : 1之間。電漿中亦可存在有 氣(argon)。在另一實施例中,以200至1000瓦的功率 及0至300瓦的偏壓功率來激發(energized)該電漿,同 頻 80 約 約 由 著 又 亦 的 該 製 罩 室 可 理 氧 流 氬 以 時 17 1333124 將該基板的溫度維持在約20至約80°C之間。或者,由氫 氣(H2)或氧氣與諸如氬氣(Ar)等稀釋用氣體的混合物亦可 用來移除該碳硬罩幕層308。 因此,本文提供一種蝕刻鉻層的方法,其利用鉻層與 碳硬罩幕之間的高選擇性來有益地改善臨界尺寸的轉移。 因此,文中所敘述具有改善之臨界尺吋控制的鉻層蝕刻方 法有利於製造用來圖案化具有小臨界尺寸之特徵的光罩。
雖然已於上述内容提及本發明多個實施例,然而在不 偏離本發明基本範圍的情況下,仍有多個其他更進一步的 實施例。故,本發明範圍係由後附申請專利範圍所決定。 【圖式簡單說明】 可根據上述詳細敘述並配合附圖而輕易的了解本發明 教示内容,該些附圖如下: 第1圖係一適用於蝕刻鉻層的蝕刻反應器實施例之示 意剖面圖;
第2圖繪示根據本發明一實施例之製造光罩的方法流 程圖; 第3A-3G圖繪示根據第2圖之方法製造於光罩上之薄 膜堆疊層的部份剖面示意圖;以及 第4圖一包含第1圖之反應器的製程系統實施例示意 圖,例如群集工具。 為了幫助了解,在各圖式中使用相同元件符號係代表 著相同元件。且在無需進一步說明的情況下,當可明白一 18 1333124 實施例中的特徵與元件可有利地併入其他實 然而,需注意的是,該些附圖所繪示的 示範實施例,因此不應用以限制本發明範圍 蓋其他等效的實施例。 施例中。 僅是本發明的 ,且本發明涵
【主要元件符號說明】 100處理室 102室主體 104導電室壁 1 0 6電性接地 108介電頂壁 110天線 I 1 2功率源 II 4第一匹配網路 116 入口 118通道 120氣體盤 122基板 124基板基座 1 2 6邊緣環 128散熱片 1 3 0舉升銷 1 3 2夾鉗電極 134加熱元件 1 5 2支援電路 154支援系統 1 5 6氣體源 158氣體導管 160靜電炎盤 162節流閥 164真空幫浦 1 6 6夾盤電源 1 6 8電源供應 1 7 0系統 172第一室 174第二室 i 8 2光罩承接 1 8 4下部份 1 8 6上部份 188開扎 200方法 202步驟 應器 19 1333124
136 引 導 孔 300 138 舉 升 機 構 302 140 偏 壓 功 率 源 304 142 第 二 匹 配 網路 306 144 加 熱 器 308 146 控 制 器 3 10 148 記 憶 體 320 150 中 央 處 理 器 薄膜堆疊層 石英層 鉻層 衰減層 硬罩幕層 光阻罩幕 光罩
20

Claims (1)

1333124 7 9年修正補年 第《访W ce號蔚膝的年r月修正 十、申請專利範圍: 1、一種触刻一絡層的方法,包括: 提供一基板於一處理室中,該基板具有一絡層透過一 圖案化碳硬罩幕而部分暴露出來; 提供一含三氣化硼氣體及一氧化碳的製程氣體進入該 處理室中;
維持由製程氣體所形成的電漿;以及 透過該碳硬罩幕蝕刻該鉻層。 2、一種触刻一路.層的方法,包括: 提供一基板於一處理室中,該基板具有一鉻層透過一 圖案化碳硬罩幕而部分暴露出來; 提供一含氯氣及一氧化碳的製程氣體進入該處理室 中;
維持由製程氣體所形成的電漿;以及 透過該碳硬罩幕触刻該銘層。 3、如申請專利範圍第2項所述之方法,其中提供該製程氣 體的步驟包括: 通入约50至約1 000 seem的氣氣至該處理室中;以及 通入約10至約500 seem的一氧化碳至該處理室中。 4、如申請專利範圍第2項所述之方法,其中提供該製程氣 21 1333124 的年Y月修正補务 體的步驟包括: 通入約200至約400 seem的氣氣至該處理室中;以及 通入約1 00至約300 seem的一氧化碳至該處理室中。 5、 如申請專利範圍第2項所述之方法,其中維持該電漿的 步驟包括: 施加一介於約1 0 0至約5 0 0瓦的電漿源功率。
6、 一種餘刻一絡層的方法,包括: 提供一基板在一處理室中,該基板具有一破硬罩幕, 係位於一鉻層與一石英層上方; 導入一製程氣體至該處理室中,該製程氣體含有三氣 化硼氣體與一氧化碳; 形成該製程氣體之電漿; 偏壓置於該處理室中的該基材;以及
蝕刻透過該圖案化硬罩幕所暴露出來的該鉻層。 7、一種餘刻一絡層的方法,包括: 提供一基板在一處理室中,該基板具有一碳硬罩幕, 係位於一鉻層與一石英層上方; 導入一製程氣體至該處理室中,該製程氣體含有氣氣 與一氧化複; 形成該製程氣體之電漿; 22 1333124 分今年修正補充 偏壓置於k處理室中的該基材;以及 蝕刻透過該圊案化硬罩幕所暴露出來的該鉻層。 8、如申請專利範圍第7項所述之方法,其中導入該製程氣 體之步驟包括: 通入約300 seem之氣氣至該處理室中;
通入約150 seem之一氧化碳至該處理室中; 維持室壓力約3毫托; 施加一約3 00瓦的電漿源功率;以及 供應約8瓦的電漿偏壓功率。 9、如申請專利範圍第7項所述之方法,其中導入該製程氣 體之步驟更包括:
通入約50至約1000 seem之氣氣至該處理室中;以及 通入約10至約500 seem之一氧化碳至該處理室中。 10、如申請專利範圍第7項所述之方法,其中導入該製程 氣體之步驟更包括: 通入約200至約400 seem之氣氣至該處理室中:以及 通入約100至約300 seem之一氧化碳至該處理室中。 11、如申請專利範圍第7項所述之方法,其中該偏壓步驟 23 1333124 叫μ 安疋補充 更包括: 以一低於100瓦的功率偏壓該基板。 12、如申請專利範圍第11項所述之方法,其中該偏壓步驟 更包括: 脈衝該偏壓功率。
13、一種形成一光罩的方法,包括: 於一具有一絡層與一石英層的基板上形成一碳硬罩幕 層; 圖案化該碳硬罩幕層以形成一硬罩幕; 導入製程氣體至一含有該基板的處理室中,該製程氣 體包含氣氣與一氧化碳; 於該處理室中形成該製程氣體之電漿; 偏壓置於該處理室中的基板;
蝕刻透過該圖案化硬罩幕所暴露出來的該鉻層;以及 移除該硬罩幕。 14、如申請專利範圍第13項所述之方法,其中該硬罩幕於 蝕刻該鉻層的處理室中原位移除。 15、如申請專利範圍第13項所述之方法,其中導入該製程 氣體之步驟更包括: 24 1333124 曰修正補充 通入約200至約400 seem之氣氣至該處理室中;以及 通入約1 00至約300 seem之一氧化碳至該處理室中。 16、如申請專利範圍第13項所述之方法,其中該偏壓步驟 更包括: 以低於1 00瓦的功率偏壓該基板。
17、如申請專利範圍第13項所述之方法,其中該偏壓步驟 更包括: 脈衝該偏壓功率。 18、如申請專利範圍第13項所述之方法,其中形成一碳硬 罩幕之步驟更包括: 沉積一 α-破層。
19、一種形成一光罩的方法,包括: 於一具有一鉻層與一石英層的基板上形成一碳硬罩幕 層; 圖案化該碳硬罩幕層以形成一硬罩幕; 導入製程氣體至一含有該基板的處理室中,該製程氣 體包含三氣化硼氣體與一氧化碳; 於該處理室中形成該製程氣體之電漿; 偏壓置於該處理室中的基板; 25 1333124 (ή %,,
蝕刻透過該圊案化硬罩幕所暴露出來的該鉻層;以及 移除該硬罩幕。
26
TW095134103A 2005-09-28 2006-09-14 Method for plasma etching a chromium layer through a carbon hardmask suitable for photomask fabrication TWI333124B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/238,424 US7375038B2 (en) 2005-09-28 2005-09-28 Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication

Publications (2)

Publication Number Publication Date
TW200712757A TW200712757A (en) 2007-04-01
TWI333124B true TWI333124B (en) 2010-11-11

Family

ID=37894666

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095134103A TWI333124B (en) 2005-09-28 2006-09-14 Method for plasma etching a chromium layer through a carbon hardmask suitable for photomask fabrication

Country Status (5)

Country Link
US (3) US7375038B2 (zh)
JP (1) JP2007096295A (zh)
KR (1) KR100828781B1 (zh)
CN (1) CN1940717A (zh)
TW (1) TWI333124B (zh)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US7635546B2 (en) * 2006-09-15 2009-12-22 Applied Materials, Inc. Phase shifting photomask and a method of fabricating thereof
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7476588B2 (en) * 2007-01-12 2009-01-13 Micron Technology, Inc. Methods of forming NAND cell units with string gates of various widths
JP4914272B2 (ja) * 2007-04-02 2012-04-11 エルピーダメモリ株式会社 投影露光用のレチクル、該投影露光用のレチクルの製造方法及び該レチクルを用いた半導体装置
DE102007028800B4 (de) * 2007-06-22 2016-11-03 Advanced Mask Technology Center Gmbh & Co. Kg Maskensubstrat, Photomaske und Verfahren zur Herstellung einer Photomaske
US8980756B2 (en) * 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US20090053620A1 (en) * 2007-08-24 2009-02-26 Hynix Semiconductor Inc. Blank Mask and Method for Fabricating Photomask Using the Same
US20090325387A1 (en) * 2008-06-26 2009-12-31 Applied Materials, Inc. Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
TWI409580B (zh) * 2008-06-27 2013-09-21 S&S Tech Co Ltd 空白光罩、光罩及其製造方法
US8657966B2 (en) * 2008-08-13 2014-02-25 Intermolecular, Inc. Combinatorial approach to the development of cleaning formulations for glue removal in semiconductor applications
JP5114367B2 (ja) * 2008-11-21 2013-01-09 Hoya株式会社 フォトマスクの製造方法及びそのフォトマスクを用いたパターン転写方法
US20100276391A1 (en) * 2009-03-05 2010-11-04 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8368308B2 (en) * 2009-03-05 2013-02-05 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
CN101989035B (zh) * 2009-08-03 2012-02-08 中芯国际集成电路制造(上海)有限公司 光掩膜的铬金属膜去除方法
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8334083B2 (en) * 2011-03-22 2012-12-18 Tokyo Electron Limited Etch process for controlling pattern CD and integrity in multi-layer masks
TW201304162A (zh) * 2011-05-17 2013-01-16 Intevac Inc 製作太陽能電池背側點接觸的方法
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US8937800B2 (en) * 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
US9408288B2 (en) * 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US20150020974A1 (en) * 2013-07-19 2015-01-22 Psk Inc. Baffle and apparatus for treating surface of baffle, and substrate treating apparatus
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US11417501B2 (en) * 2015-09-29 2022-08-16 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS604270B2 (ja) * 1981-07-24 1985-02-02 三菱電機株式会社 クロム系膜のドライエツチング法
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US5316616A (en) * 1988-02-09 1994-05-31 Fujitsu Limited Dry etching with hydrogen bromide or bromine
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
JP3183929B2 (ja) * 1991-01-22 2001-07-09 株式会社東芝 半導体装置の製造方法
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5273610A (en) * 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
JP3251087B2 (ja) 1993-02-16 2002-01-28 東京エレクトロン株式会社 プラズマ処理装置
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
KR100413649B1 (ko) * 1996-01-26 2004-04-28 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의제조방법
US6252354B1 (en) * 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5689215A (en) * 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6500314B1 (en) * 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
JP3220383B2 (ja) * 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JPH10241895A (ja) 1996-11-04 1998-09-11 Applied Materials Inc プラズマシース発生高調波をフィルタリングすることによるプラズマプロセス効率の改善
US5899252A (en) * 1997-07-18 1999-05-04 Freud Usa, Inc. Router bit and routing method
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6060132A (en) * 1998-06-15 2000-05-09 Siemens Aktiengesellschaft High density plasma CVD process for making dielectric anti-reflective coatings
US6066132A (en) * 1998-06-30 2000-05-23 Ethicon, Inc. Articulating endometrial ablation device
TW434636B (en) * 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
US6171764B1 (en) * 1998-08-22 2001-01-09 Chia-Lin Ku Method for reducing intensity of reflected rays encountered during process of photolithography
US6642149B2 (en) * 1998-09-16 2003-11-04 Tokyo Electron Limited Plasma processing method
US6635583B2 (en) * 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
JP2000114245A (ja) * 1998-10-05 2000-04-21 Hitachi Ltd 半導体集積回路装置およびその製造方法
JP2000138201A (ja) * 1998-10-29 2000-05-16 Ulvac Seimaku Kk ハーフトーン位相シフト膜のドライエッチング方法および装置、ハーフトーン位相シフトフォトマスクおよびその作製方法、ならびに半導体回路およびその製作方法
EP1011135A3 (en) 1998-12-14 2000-07-26 Conexant Systems, Inc. Semiconductor interconnect structure employing a pecvd inorganic dielectric layer and process for making same
US6259334B1 (en) * 1998-12-22 2001-07-10 Lam Research Corporation Methods for controlling an RF matching network
KR100322545B1 (ko) * 1999-02-10 2002-03-18 윤종용 건식 세정 공정을 전 공정으로 이용하는 반도체 장치의콘택홀 채움 방법
US6228760B1 (en) * 1999-03-08 2001-05-08 Taiwan Semiconductor Manufacturing Company Use of PE-SiON or PE-OXIDE for contact or via photo and for defect reduction with oxide and W chemical-mechanical polish
US6682861B2 (en) * 1999-09-30 2004-01-27 Photronics, Inc. Disposable hard mask for phase shift photomask plasma etching
US20020036452A1 (en) * 1999-12-21 2002-03-28 Masakazu Muroyama Electron emission device, cold cathode field emission device and method for the production thereof, and cold cathode field emission display and method for the production thereof
US20010013313A1 (en) * 2000-02-10 2001-08-16 Motorola, Inc. Apparatus for fabricating semiconductor structures and method of forming the structures
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6507155B1 (en) * 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US6607984B1 (en) * 2000-06-20 2003-08-19 International Business Machines Corporation Removable inorganic anti-reflection coating process
US6451705B1 (en) * 2000-08-31 2002-09-17 Micron Technology, Inc. Self-aligned PECVD etch mask
US6887339B1 (en) * 2000-09-20 2005-05-03 Applied Science And Technology, Inc. RF power supply with integrated matching network
US6391794B1 (en) * 2000-12-07 2002-05-21 Micron Technology, Inc. Composition and method for cleaning residual debris from semiconductor surfaces
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
US20020197509A1 (en) * 2001-04-19 2002-12-26 Carcia Peter Francis Ion-beam deposition process for manufacturing multi-layered attenuated phase shift photomask blanks
JP2003073836A (ja) 2001-08-28 2003-03-12 Canon Inc 真空処理方法及び真空処理装置
US20030077910A1 (en) * 2001-10-22 2003-04-24 Russell Westerman Etching of thin damage sensitive layers using high frequency pulsed plasma
EP1444726A4 (en) * 2001-10-22 2008-08-13 Unaxis Usa Inc METHOD AND DEVICE FOR Etching PHOTOMASCIC SUBSTRATES USING PULSED PLASMA
US6790770B2 (en) * 2001-11-08 2004-09-14 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing photoresist poisoning
US6887340B2 (en) 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
US6500756B1 (en) * 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US20040079726A1 (en) * 2002-07-03 2004-04-29 Advanced Micro Devices, Inc. Method of using an amorphous carbon layer for improved reticle fabrication
US20050181604A1 (en) * 2002-07-11 2005-08-18 Hans-Peter Sperlich Method for structuring metal by means of a carbon mask
US6864020B1 (en) * 2002-12-24 2005-03-08 Lsi Logic Corporation Chromeless phase shift mask using non-linear optical materials
DE112004000591B4 (de) * 2003-04-09 2020-09-10 Hoya Corp. Herstellungsverfahren für Photomaske
US7077973B2 (en) * 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US7894348B2 (en) * 2003-07-21 2011-02-22 Qlogic, Corporation Method and system for congestion control in a fibre channel switch
US7024105B2 (en) * 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
US7128806B2 (en) * 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7879185B2 (en) * 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7365014B2 (en) * 2004-01-30 2008-04-29 Applied Materials, Inc. Reticle fabrication using a removable hard mask
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7534469B2 (en) * 2005-03-31 2009-05-19 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication

Also Published As

Publication number Publication date
JP2007096295A (ja) 2007-04-12
CN1940717A (zh) 2007-04-04
KR100828781B1 (ko) 2008-05-09
US20080050661A1 (en) 2008-02-28
US20080280212A9 (en) 2008-11-13
US7375038B2 (en) 2008-05-20
KR20070035976A (ko) 2007-04-02
US20070072435A1 (en) 2007-03-29
TW200712757A (en) 2007-04-01
US7718539B2 (en) 2010-05-18
US20080131789A1 (en) 2008-06-05

Similar Documents

Publication Publication Date Title
TWI333124B (en) Method for plasma etching a chromium layer through a carbon hardmask suitable for photomask fabrication
JP5449642B2 (ja) デュアル周波数バイアスを具備する化学気相堆積チャンバおよびこれを使用するフォトマスク製造方法
KR100808694B1 (ko) 포토마스크 제조의 프로세스 통합을 위한 클러스터 툴 및 방법
US8293430B2 (en) Method for etching a molybdenum layer suitable for photomask fabrication
KR100822276B1 (ko) 보호 마스크를 이용하는 포토마스크 플라즈마 에칭 방법
US7879510B2 (en) Method for quartz photomask plasma etching
JP2006215552A (ja) フォトマスク製作に適したクロム層をプラズマエッチングするための方法
JP2006215552A5 (zh)
JP5459945B2 (ja) 位相シフトフォトマスク及びその製造方法
US7786019B2 (en) Multi-step photomask etching with chlorine for uniformity control

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees