DE102007028800B4 - Maskensubstrat, Photomaske und Verfahren zur Herstellung einer Photomaske - Google Patents

Maskensubstrat, Photomaske und Verfahren zur Herstellung einer Photomaske Download PDF

Info

Publication number
DE102007028800B4
DE102007028800B4 DE102007028800.1A DE102007028800A DE102007028800B4 DE 102007028800 B4 DE102007028800 B4 DE 102007028800B4 DE 102007028800 A DE102007028800 A DE 102007028800A DE 102007028800 B4 DE102007028800 B4 DE 102007028800B4
Authority
DE
Germany
Prior art keywords
layer
absorber
mask
absorber layer
hard mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102007028800.1A
Other languages
English (en)
Other versions
DE102007028800A1 (de
Inventor
Dr. Dersch Uwe
Dr. Rolff Haiko
Dr. Nesladek Pavel
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Mask Technology Center GmbH and Co KG
Original Assignee
Advanced Mask Technology Center GmbH and Co KG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Mask Technology Center GmbH and Co KG filed Critical Advanced Mask Technology Center GmbH and Co KG
Priority to DE102007028800.1A priority Critical patent/DE102007028800B4/de
Priority to JP2008158871A priority patent/JP4961395B2/ja
Priority to US12/144,330 priority patent/US20080318139A1/en
Publication of DE102007028800A1 publication Critical patent/DE102007028800A1/de
Application granted granted Critical
Publication of DE102007028800B4 publication Critical patent/DE102007028800B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof

Abstract

Maskensubstrat (100, 101, 200, 201, 300, 301) umfassend: eine Absorberschicht (122, 222, 322), die bei einer Belichtungswellenlänge absorbierend und bei einer Inspektionswellenlänge, die größer ist als die Belichtungswellenlänge, reflektiv ist; eine Antireflexionsschicht (124, 224, 324), die über der Absorberschicht (122, 222, 322) angeordnet und bei der Inspektionswellenlänge schwach reflektiv ist; und eine Hartmaskenschicht (130, 230, 330) aus Kohlenstoff, die über der Antireflexionsschicht (124, 224, 324) angeordnet ist.

Description

  • Ausführungsformen der Erfindung beziehen sich auf ein Maskensubstrat (mask blank), auf Photomasken, wie sie zum Beispiel bei der Herstellung integrierter Halbleiterschaltkreise Verwendung finden, sowie auf Verfahren zur Herstellung solcher Photomasken. Sowohl für Maskentechnologien für extreme Ultraviolett-Lithographie (EUVL) als auch für verbesserte optische Lithographie-Plattformen, zum Beispiel Doppelstrukturierung oder Hyper-NA-Inversions-Lithographie, wird eine Absorberschicht auf der Photomaske mittels einer Photolackmaske strukturiert. Die Auflösung, die dabei erreicht werden kann, hängt von der für die Strukturierung der Absorberschicht erforderlichen Photolackschichtdicke sowie vom Photolacktyp ab. Für eine hohe Auflösung wäre eine dünnere Photolackschicht erforderlich. Andererseits wird die Photolackmaske während der Übertragung des Musters aus der Photolackschicht in die Absorberschicht verbraucht, so dass der Photolack eine ausreichende Mindestschichtdicke aufweisen muss.
  • Das Absorbermuster für die EUV-Lithographie reflektiert üblicherweise Licht, wie es zur optischen Inspektion des Absorbermusters benutzt wird. Daher wird, die Absorberschicht üblicherweise, wie in der US 2006/2228479 A1 beschrieben, mit einer Antireflexionsschicht abgedeckt, deren Reflexionsgrad bei der Inspektionswellenlänge geringer ist als der der Absorberschicht. Weitere Antireflexionssysteme für reflektive Masken sind in der US 2007/0128528 A1 und US 2006/0008749 A1 für transparente Masken in der US 2004/0229136 A1 beschrieben. Die Antireflexionsschicht verbessert während einer folgenden optischen Maskeninspektion den Kontrast. Im Allgemeinen sind die Antireflexionsschichten gegenüber Ätzprozessen, wie sie zur Übertragung des Photolackmusters in die Absorber schicht typischerweise Verwendung finden, äußerst widerstandsfähig.
  • Für transparente Photomasken, wie sie üblicherweise für DUV- und UV-Lithographie Verwendung finden, werden chromhaltige Schichten zur Ausbildung opaker Muster auf der Maske vorgesehen. Die US 2004/0131948 A1 beschreibt eine transparente Photomaske mit einer Absorberschicht aus Chrom oder Tantalnitrid und eine Antireflexionsschicht aus Siliziumnitrid. Die US 6,472,107 B1 bezieht sich auf das Strukturieren eines Chrom/Chromoxid-Stapels mit einer Hartmaske aus SiO2, Si3N4, Ti, TiW, W oder TiN. Die US 2007/0138136 A1 bezieht sich auf eine Hartmaske aus SiO2 oder SiN zur Strukturierung einer Chromabsorberschicht. Das Strukturieren von chromhaltigen Schichten erfordert typischerweise einen auf Sauerstoff basierenden Ätzprozess, um eine flüchtige Chromverbindung, z. B. CrO2Cl2, ausbilden zu können. Sauerstoff basierte Ätzprozesse weisen jedoch üblicherweise eine isotrope Komponente auf, die die Strukturgröße (Linienweite) im Maskenmuster beeinflussen.
  • Die US 6,720,118 B2 offenbart einen EUV-Maskenabsorberstapel, der eine auf einem Metallnitrid, zum Beispiel Titan- oder Tantalnitrid, basierende Absorberschicht und eine die Absorberschicht bedeckende Antireflexionsschicht aufweist, die z. B. auf Tantal- oder Titannitrid basiert, das zusätzlich ein oder mehrere Nichtmetalle wie zum Beispiel Fluor (F), Sauerstoff (O), Argon (Ar), Kohlenstoff (C), Wasserstoff (H), Germanium (Ge) oder Bor (B) enthält.
  • Die US 2005/0282072 A1 bezieht sich auf eine EUV-Maske mit einem zweiteiligen Mehrlagenspiegel. Mittels einer Hartmaske wird der obere Teil des Mehrlagenspiegels oberhalb einer im Mehrlagenspiegel eingebetteten Ätzstoppschicht strukturiert. Der untere Teil des Mehrlagenspiegels unterhalb der Ätzstoppschicht bleibt unstrukturiert. Die Hartmaske, die aus Chrom vorgesehen werden kann, ermöglicht steile Seitenwände für Öffnungen und Strukturen im oberen Teil des Mehrlagenspiegels.
  • Der Erfindung liegt die Aufgabe zugrunde, ein Maskensubstrat und eine Photomaske, die hocheffiziente Absorberschichten mit einer kurzen Absorptionslänge bei der Belichtungswellenlänge aufweisen und die mit hoher Auflösung strukturierbar sind, sowie ein Verfahren zur Herstellung von Photomasken, die solche hocheffizienten Absorberschichten sowie eine Antireflexionsschicht aufweisen, zur Verfugung zu stellen.
  • Ausführungsformen der Erfindung beziehen sich demnach auf ein Maskensubstrat (mask blank) entsprechend Anspruch 1, auf eine Photomaske gemäß Anspruch 8 sowie auf ein Verfahren zur Herstellung einer Photomaske gemäß Anspruch 12. Die Unteransprüche beziehen sich jeweils auf Verbesserungen dieser Ausführungsformen.
  • Ein Maskensubstrat (mask blank) gemäß einer Ausführungsform der Erfindung umfasst eine Absorberschicht, die bei einer Belichtungswellenlänge absorbierend und bei einer Inspektionswellenlänge reflektierend ist, wobei die Belichtungswellenlänge die ist, die in einem Lithographieprozess zur Übertragung von Mustern von einer Photomaske auf zum Beispiel einen Halbleiterwafer zur Belichtung verwendet wird. Die Belichtungswellenlänge kann zum Beispiel 13,5 nm betragen. Die Inspektionswellenlänge ist die eines typischen optischen Fehlererkennungswerkzeuges und ist größer als die Belichtungswellenlänge, zum Beispiel 193 nm, 196 nm oder 248 nm.
  • Über der Absorberschicht ist eine Antireflexionsschicht angeordnet, wobei die Antireflexionsschicht bei der Inspektionswellenlänge nur schwach reflektiv ist. Die Antireflexionsschicht kann direkt auf der Absorberschicht vorgesehen sein. Über der Antireflexionsschicht ist eine Hartmaskenschicht angeordnet. Die Hartmaskenschicht kann direkt auf der Antireflexionsschicht vorgesehen sein, so dass die Hartmaskenschicht die Antireflexionsschicht kontaktiert. Keiner der Hauptbestandteile der Hartmaskenschicht weist eine Kernladungszahl größer 41 auf. Eine erste Ätzselektivität S1 mit S1 = R(HM)/R(Res) ist zwischen dem Material der Hartmaskenschicht mit einer Ätzrate R(HM) und einem über der Hartmaskenschicht angeordneten Photolack zur Strukturierung der Hartmaske mit einer Ätzrate R(Res) definiert. Zwischen dem Material der Antireflexionsschicht, das eine Ätzrate R(AR) aufweist und dem Photolack ist eine zweite Ätzselektivität S2 mit S2 = R(AR)/R(Res) definiert. Durch Auswahl eines geeigneten Materials für die Hartmaskenschicht und einen geeigneten Ätzprozess kann die erste Ätzselektivität in bevorzugter Weise größer als die zweite Ätzselektivität gewählt werden.
  • Dadurch kann die für eine Strukturierung des Maskensubstrates vorgesehene Photolackschicht dünner als ohne Hartmaske vorgesehen werden. Durch die niedrige Kernladungszahl der Hauptbestandteile der Hartmaskenschicht wird während des Elektronenstrahlschreibens der über der Hartmaskenschicht vorgesehenen Photolackschicht die Rückstreuung von Elektronen in den Photolack und damit Schleier-Effekte (fogging effects) vermieden.
  • Gemäß einer anderen Ausführungsform des Maskensubstrates kann eine Photolackschicht die Hartmaskenschicht bedecken. Die Hartmaskenschicht kann in einem fluor- oder chlorbasierten Ätzprozess eine Ätzrate aufweisen, die nicht kleiner ist als die der Antireflexionsschicht, so dass der Einsatz dünner Photolackschichten von weniger als 160 nm Schichtdicke ermöglicht wird.
  • Die Hartmaskenschicht ist bevorzugt in einer HF-Lösung löslich, so dass während des Entfernens von Hartmaskenresiduen eine Schädigung der Absorberschicht, der Antireflexionsschicht oder der Unterlage vermieden werden kann.
  • Gemäß einer beispielhaften Ausführungsform weisen die Hauptbestandteile der Hartmaskenschicht Kernladungszahlen von 24, 14 oder weniger auf, um das Zurückstreuen von Elektronen während des Elektronenstrahlschreibens oder der Belichtung mit anderen geladenen Partikeln zu vermeiden.
  • Gemäß einer Ausführungsform kann die Hartmaskenschicht Silizium und Sauerstoff als Hauptbestandteile aufweisen. Zum Beispiel ist die Hartmaskenschicht eine Siliziumdioxidschicht oder eine Silziumoxynitridschicht, die in fluorbasierten Ätzprozessen einen hohen Ätzwiderstand aufweisen. Gemäß anderer Ausführungsformen kann die Hartmaskenschicht Chrom oder Kohlenstoff enthalten oder jeweils aus diesen bestehen. Das Maskensubstrat kann das einer EUVL-Maske mit einem Mehrlagenspiegel mit oder ohne Kappenschicht sein, der unterhalb der Absorberschicht angeordnet ist, oder eine transparente Maske mit einem Trägersubstrat, das die Absorberschicht trägt, wobei das Trägersubstrat bei einer Wellenlänge von mindestens 193 nm transparent ist.
  • Eine Photomaske gemäß einer weiteren Ausführungsform der Erfindung umfasst ein Trägersubstrat, das bei einer Belichtungswellenlänge transparent ist sowie eine Absorberschicht, die bei der Belichtungswellenlänge opak und bei einer Inspektionswellenlänge reflektiv ist, wobei die Inspektionswellenlänge größer als die Belichtungswellenlänge ist. Eine über der Absorberschicht angeordnete Antireflexionsschicht ist bei der Inspektionswellenlänge nicht oder schwach reflektiv, und weist bei der Reflexionswellenlänge einen niedrigeren Reflexionsgrad auf als zum Beispiel eine auf Chrom basierende Schicht. Eine Photomaske gemäß einer solchen Ausführungsform liefert während der Fehlerinspektion einen erhöhten Kontrast.
  • Gemäß einer Ausführungsform kann die Hartmaskenschicht über der Antireflexionsschicht angeordnet sein, wobei keine der Hauptbestandteile der Hartmaskenschicht eine Kernladungszahl größer als 41 aufweist. Dieselbe Hartmaskenschicht/Absorber-Konfiguration kann auch für EUVL-Masken benutzt werden. Als unmittelbare Folge können sowohl transparente Masken als auch reflektive Masken unter Verwendung der gleichen oder im wesentlichen der gleichen Ätzchemie strukturiert werden.
  • Gemäß anderen Ausführungsformen kann eine Photolackschicht die Hartmaskenschicht bedecken bzw. kann eine Phasenschieberschicht zwischen dem Trägersubstrat und der Absorberschicht vorgesehen werden.
  • Gemäß weiteren Ausführungsformen sind die Antireflexionsschicht und die Absorberschicht strukturiert und bilden ein Absorbermuster aus, das Absorberstrukturen umfasst, wobei zwischen den Absorberstrukturen Abschnitte einer Unterlage, zum Beispiel des Trägersubstrates, freigelegt sind.
  • Eine weitere Ausführungsform der Erfindung bezieht sich auf ein Verfahren zur Herstellung einer Photomaske, wobei zunächst ein Maskensubstrat (mask blank) bereitgestellt wird, das eine Absorberschicht, eine über der Absorberschicht angeordnete Antireflexionsschicht sowie eine über der Antireflexionsschicht angeordnete Hartmaskenschicht umfasst. Die Hartmaskenschicht wird strukturiert, wobei eine Hartmaske ausgebildet wird. Das Muster bzw. die Struktur der Hartmaske wird in die Antireflexionsschicht übertragen. Darauf wird das Muster der Antireflexionsschicht in die Absorberschicht übertragen, so dass Abschnitte einer Unterlage, zum Beispiel eines Trägersubstrates freigelegt werden. Die Hartmaskenschicht kann dadurch strukturiert werden, dass ein Photolackmuster in die Hartmaskenschicht übertragen wird. Die Photolackmaske kann sehr dünn, zum Beispiel in einer Schichtdicke von etwa 100 nm oder weniger, vorgesehen werden, so dass der Photolack mit hoher Auflösung strukturiert werden kann. Residuen der Photolackmaske können entfernt (gestrippt) werden, bevor das Muster der Antireflexionsschicht in die Absorberschicht übertragen wird, so dass beim Entfernen der Photolackresiduen eine Unterlage unterhalb der Absorberschicht nicht geschädigt werden kann.
  • Die Hartmaskenresiduen können mittels eines Nassätzprozesses entfernt werden, nachdem die Antireflexionsschicht strukturiert ist.
  • Nachfolgend werden Ausführungsformen der Erfindung sowie ihre Vorteile anhand der Figuren näher erläutert. Die Figuren sind nicht notwendigerweise maßstabsgetreu und dienen hauptsächlich zur Darstellung der den Ausführungsformen zugrunde liegenden Prinzipien.
  • Die 1A ist eine schematische Querschnittsansicht eines Abschnitts eines EUV-Maskensubstrates mit einer Hartmaskenschicht gemäß einer Ausführungsform der Erfindung.
  • Die 1B ist eine schematische Querschnittsdarstellung eines Abschnitts eines EUV-Maskensubstrates mit einer Hartmaskenschicht und einer Photolackschicht gemäß einer weiteren Ausführungsform der Erfindung.
  • Die 1C ist eine schematische Querschnittsansicht eines Abschnitts einer EUV-Photomaske mit einem Absorbermuster, das aus einem Verfahren zur Herstellung einer Photomaske gemäß einer weiteren Ausführungsform der Erfindung hervorgeht.
  • Die 2A ist eine schematische Querschnittsdarstellung eines Abschnitts eines transparenten Photomaskensubstrates mit einem Absorberstapel und einer Hartmaskenschicht gemäß einer weiteren Ausführungsform der Erfindung.
  • Die 2B ist eine schematische Querschnittsdarstellung eines Abschnitts eines transparenten Photomaskensubstrates mit einer Hartmaskenschicht und einer Photolackschicht gemäß einer weiteren Ausführungsform der Erfindung.
  • Die 2C ist eine schematische Querschnittsdarstellung eines Abschnitts einer transparenten Photomaske mit einem Absorbermuster, das aus einem Verfahren zur Herstellung einer Photomaske gemäß einer weiteren Ausführungsform der Erfindung hervorgeht.
  • Die 3A ist eine schematische Querschnittsdarstellung eines Abschnitts eines transparenten, phasenschiebenden Maskensubstrates mit einem Absorberstapel und einer Hartmaskenschicht gemäß einer weiteren Ausführungsform der Erfindung.
  • Die 3B ist eine schematische Querschnittsdarstellung eines Abschnitts eines transparenten phasenschiebenden Maskensubstrates mit einer Hartmaskenschicht und einer Photolackschicht gemäß einer weiteren Ausführungsform der Erfindung.
  • Die 3C ist eine schematische Querschnittsdarstellung eines Abschnitts einer transparenten phasenschiebenden Maske mit einem Absorbermuster, das aus einem Verfahren zur Herstellung einer Photomaske gemäß einer weiteren Ausführungsform der Erfindung hervorgeht.
  • Die 4A ist eine schematische Querschnittsdarstellung eines Abschnitts einer EUV-Maske mit einem Absorberstapel, einer Hartmaskenschicht und einer Photolackschicht zur Darstellung eines Verfahrens zur Herstellung einer lithographischen Maske gemäß einer weiteren Ausführungsform der Erfindung, nach dem Strukturieren der Photolackschicht.
  • Die 4B ist eine schematische Querschnittsdarstellung des EUV-Maskenabschnitts der 4A nach dem Strukturieren der Hartmaskenschicht.
  • Die 4C ist eine schematische Querschnittsdarstellung des EUV-Maskenabschnitts der 4A nach Strukturieren einer Antireflexionsschicht des Absorberstapels.
  • Die 4D ist eine schematische Querschnittsdarstellung des EUV-Maskenabschnitts der 4A nach dem Strippen von Photolackresiduen.
  • Die 4E ist eine schematische Querschnittsdarstellung des EUV-Maskenabschnitts der 4A nach Strukturieren einer Absorberschicht des Absorberstapels.
  • Die 4F ist eine schematische Querschnittsdarstellung des EUV-Maskenabschnitts der 4A nach Entfernen von Hartmaskenresiduen.
  • Die 5 ist ein Flussdiagramm zur Darstellung eines Verfahrens zur Herstellung einer Photomaske gemäß einer weiteren Ausführungsform der Erfindung.
  • Die 1A bis 1C beziehen sich auf reflektive Photomasken, zum Beispiel auf EUV-Lithographie Masken.
  • Die 1A ist eine Querschnittsdarstellung eines EUV-Maskensubstrates (mask blank) 100, das einen Basisabschnitt 110, einen Absorberstapel 120 und eine Hartmaskenschicht 130 umfasst. Der Basisabschnitt 110 kann ein Trägersubstrat 114 umfassen. Das Trägersubstrat 114 kann Glas, eine Keramik oder ein anderes Siliziumoxidmaterial mit einem niedrigen thermischen Ausdehnungskoeffizienten sein, zum Beispiel ein mit Titandioxid dotiertes Siliziumdioxid. Der Basisabschnitt 110 kann ferner einen Mehrlagenspiegel 116 aufweisen. Der Mehrlagenspiegel 116 kann 20 bis 100 Doppellagen umfassen, wobei jede Doppellage eine erste Schicht 116a eines ersten Materials mit einer hohen Kernladungszahl und eine zweite Schicht 116b eines anderen Materials mit einer niedrigen Kernladungszahl umfasst. Die Doppelschichten sind derart angeordnet, dass die ersten und zweiten Schichten 116a, 116b einander abwechseln. Die ersten Schichten 116a wirken als Streuschichten. Die zweiten Schichten 116b wirken als Abstandsschichten mit minimaler Absorption bei der Belichtungswellenlänge. Beispielsweise sind die ersten Schichten 116a Molybdänschichten mit einer effektiven Kernladungszahl von etwa 42 und sind die zweiten Schichten 116b Siliziumschichten mit einer effektiven Kernladungszahl von etwa 14. Bei einer Belichtungswellenlange von zum Beispiel 13,5 nm kann jede Doppelschicht beispielsweise eine 1,5 bis 3,5 nm dicken Molybdänschicht und eine 3,0 bis 5,0 dicke Siliziumschicht umfassen. Dem Mehrlagenspiegel 116 kann am Trägersubstrat 114 eine Rückseitenschicht 112 gegenüber liegen. Die Rückseitenschicht 112 kann leitfähig sein, um ein temporäres elektrostatisches Arretieren des Wafers (chucking) zu ermöglichen. Die Rückseitenschicht 112 kann zum Beispiel eine Chromschicht mit einer Schichtdicke von etwa 70 nm sein. Der Basisabschnitt 110 kann ferner eine Kappenschicht 118 umfassen, zum Beispiel eine Schicht, die aus Ruthenium besteht oder dieses enthält und etwa 2,0 bis etwa 4,0 nm dick ist.
  • Der Basisabschnitt 110 trägt den Absorberstapel 120. Der Absorberstapel 120 kann in Kontakt mit der Kappenschicht 118 angeordnet sein. Gemäß einer anderen Ausführungsform kann zwischen dem Absorberstapel 120 und dem Basisabschnitt 110 eine Bufferschicht vorgesehen sein. Der Absorberstapel 120 umfasst eine Absorberschicht 122 und eine Antireflexionsschicht 124. Die Absorberschicht 122 kann auf einem Metallnitrid basieren, zum Beispiel einem Übergangsmetallnitrid wie Tantal- oder Titannitrid. Die Absorberschicht 120 kann eine Schichtdicke von etwa 10 nm bis etwa 90 nm aufweisen. Die Absorberschicht 122 ist bei einer ersten Wellenlänge absorbierend, die zur Belichtungswellenlänge korrespondiert, wobei der Absorptionsgrad bei der Belichtungswellenlänge größer 0,5 sein kann. Die Absorptionsschicht 122 ist typischerweise bei einer zweiten Wellenlänge, bei der die Photomaske nach der Strukturierung inspiziert wird, reflektiv. Typischerweise ist der Reflexionsgrad bei einer typischen Wellenlänge von, zum Beispiel 193 nm, 198 nm, 248 nm, 257 nm, 266 nm, 365 nm oder 488 nm größer 0,4. Dabei sind auch größere Inspektionswellenlängen möglich, wobei kürzere Wellenlängen eine bessere Auflösung ermöglichen. Daneben basieren auch Maskenjustierwerkzeuge auf optischer Mustererkennung im Bereich des sichtbaren Lichts.
  • Der Absorberstapel 120 umfasst weiterhin eine Antireflexionsschicht 124. Die Antireflexionsschicht 124 ist über der Absorberschicht 122 angeordnet und bei der Inspektionswellenlänge weniger reflektiv als die Absorptionsschicht 122. Der Reflexionsgrad ist bei der jeweiligen Inspektionswellenlänge typischerweise kleiner 0,12. Die Antireflexionsschicht 124 kann auf einem Metallnitrid basieren, zum Beispiel einem Übergangsmetallnitrid wie Titan- oder Tantalnitrid. Die Antireflexionsschicht 24 kann ferner ein oder mehrere weitere Bestandteile aufweisen, die aus einer Gruppe gewählt sind, die Chlor, Fluor, Argon, Wasserstoff und Sauerstoff enthält. Die Antireflexionsschicht 124 kann etwa dadurch erzeugt werden, dass die Absorptionsschicht 122 einer Umgebung, die den weiteren Bestandteil oder eine Vorläuferverbindung davon enthält, ausgesetzt wird. Gemäß einer anderen Ausführungsform kann die Antireflexionsschicht 124 eine Siliziumnitridschicht Si3N4 sein.
  • Das EUV-Maskensubstrat 100 umfasst ferner eine Hartmaskenschicht 130, wobei der schwerste Hauptbestandteil eine Kernladungszahl von weniger als 42 aufweist. Die Hartmaskenschicht 130 ist über der Antireflexionsschicht 124 angeordnet und kann mit dieser direkt in Kontakt stehen. Die Hartmaskenschicht 130 kann eine Ätzrate von weniger als 1 nm pro Sekunde in einem fluorbasierten Trockenätzprozess aufweisen. Die Kernladungszahl des schwersten Hauptbestandteils kann zum Beispiel kleiner als 25, zum Beispiel 24 oder 14 sein. Gemäß einer anderen Ausführungsform ist die Kernladungszahl des schwersten Hauptbestandteils kleiner als 14. Die Dicke der Hartmaskenschicht 130 kann zum Beispiel etwa 10 bis etwa 30 nm betragen. Die Hartmaskenschicht 130 kann eine Siliziumoxidschicht, zum Beispiel eine Siliziumdioxidschicht, eine Siliziumoxynitridschicht, eine Kohlenstoffschicht, eine Germaniumschicht, eine Aluminiumschicht oder eine Schicht mit Germanium und Aluminium oder eine auf Chrom basierende Schicht sein.
  • Die Hartmaskenschicht 130 kann unter Verwendung einer dünnen Photolackschicht 130 strukturiert werden. Die Dicke der Photolackschicht 130 kann dabei weniger als 200 nm betragen, zum Beispiel etwa 100 nm, und dabei kleiner sein als die typische Photolackschichtdicke, die zur Strukturierung typischer Absorberstapel ohne Hartmaske erforderlich wäre. Die dünne Photolackschicht ermöglicht einen hochauflösenden Strukturierungsprozess für die Photolackschicht. Unter Verwendung eines fluorbasierten Trockenätzprozesses kann eine Hartmaskenschicht 130 mit einer Schichtdicke von weniger als 30 nm sich als ausreichend erweisen, um selbst hochätzresistive Antireflexionsschichten 124 zu durchbrechen. Die niedrigen Kernladungszahlen der Hauptbestandteile der Hartmaskenschicht 130 reduzieren die Elektronenrückstreuung während der Strukturierung der Photolackschicht mittels Elektronenstrahlschreibens. Die Hartmaskenschicht 130 vermag ferner die Antireflexionsschicht 124 während der folgenden Ätzung der Absorberschicht 122 zu schützen. Eine Verminderung des Reflexionsgrades der Antireflexionsschicht 124, die die reflektiven Eigenschaften während einer Inspektion oder einer optischen Mustererkennung verschlechtert, kann vermieden werden. Es können steile Seitenwandwinkel der Absorberstrukturen sowie ein nur minimales Abrunden der Ecken erzielt werden. Unterschiedliche Antireflexionsschichten von unterschiedlichen Photomaskentypen können mit der gleichen Hartmaske und dem gleichen Hartmaskenstrukturierungsprozess geätzt werden.
  • Die 1B zeigt ein weiteres Maskensubstrat 101 mit einem Basisabschnitt 110, einem Absorberstapel 120 und einer Hartmaskenschicht 130. Das Maskensubstrat 101 umfasst ferner eine Photolackschicht 140. Die Photolackschicht 140 kann zum Beispiel eine Elektronenstrahl-Photolackschicht mit einer Schichtdicke von etwa 60 bis etwa 200 nm sein. Der Photolack kann ein chemisch verstärkter Photolack, ein selbststrukturierender Photolack, ein nicht chemisch verstärkter Photolack oder ein mehrlagiges Schichtsystem sein.
  • Die 1C zeigt eine strukturierte EUV-Maske 102, die etwa aus einem Maskensubstrat, wie es anhand der 1A bis 1B dargestellt ist, hervorgehen kann. Die EUV-Maske 102 umfasst einen nichtstrukturierten Basisabschnitt 110 und einen strukturierten Absorberstapel mit Absorberstrukturen 120a, die durch Gräben 120b separiert sind, welche wiederum zwischen den Absorberstrukturen 120a den Basisabschnitt 110, zum Beispiel eine Kappenschicht 118, freilegen. Da die Absorberstrukturen 120a während der gesamten Ätzung der Gräben 120b durch remanente Abschnitte der Hartmaskenschicht 130 abgedeckt bleiben können, kommt es kaum zu einem Abrunden der Ecken. Die Stufen bzw. Seitenwände der Absorberstrukturen 120a sind steil. Die Strukturgröße kann kleiner als 30 nm sein.
  • Die 2A bis 2C beziehen sich auf eine transparente Photomaske zum Gebrauch, zum Beispiel, in der DUV- oder UV-Lithographie.
  • Das Maskensubstrat 200, das in der 2A dargestellt ist, umfasst ein transparentes Trägersubstrat 214, das zum Beispiel ein Glas oder eine Keramik, zum Beispiel ein dotiertes Siliziumdioxid sein kann. Das Maskensubstrat 200 umfasst ferner einen Absorberstapel 220, der eine Absorberschicht 222 umfasst, die über dem Trägersubstrat 214 angeordnet ist. Die Absorberschicht 222 kann direkt in Kontakt mit dem Trägersubstrat 214 und beispielsweise eine Tantalnitridschicht mit einer Schichtdicke von etwa 10 bis etwa 100 nm sein. Eine Antireflexionsschicht 224 bedeckt die Absorberschicht 222. Die Antireflexionsschicht 224 kann eine weitere Tantalnitridschicht sein, die mit weiteren Bestandteilen, zum Beispiel Sauerstoff, Fluor, Wasserstoff oder Argon dotiert ist und eine Schichtdicke von 10 bis 14 nm aufweisen kann. Über dem Absorberstapel 220 ist eine Hartmaskenschicht 230 mit einer Schichtdicke von zwischen 10 und 30 nm angeordnet. Die Absorber/Hartmaskenschicht-Konfiguration 220 kann der der EUVL-Masken der 1A bis 1C entsprechen. Ein einziges Abscheidungs- und Strukturierungsregime kann implementiert werden, welches unabhängig vom Maskentyp (reflektiv oder transparent) ist. Da das Ätzregime keine sauerstoffbasierte Ätzchemie erfordert, ist der Ätzschritt zur Ausbildung des Absorbermusters in hohem Grade anisotrop, wobei eine verkleinerte Abbildung der Linien vermieden wird.
  • Die 2B zeigt ein weiteres transparentes Maskensubstrat 201, welches ein Trägersubstrat 214, einen Absorberstapel 220 und eine Hartmaskenschicht 230, wie bereits mit Bezug auf 2A beschrieben, aufweist. Darüber hinaus umfasst das Maskensubstrat 201 eine Photolackschicht 240 mit einer Schichtdicke im Bereich zwischen 50 bis 160 nm, zum Beispiel 130 nm.
  • Die 2C bezieht sich auf eine strukturierte transparente Photomaske 202, die aus einem der in den 2A bis 2B gezeigten Maskensubstrate 200, 201 hervorgehen kann. Die strukturierte und transparente Photomaske 202 umfasst ein Trägersubstrat 214, das opake Strukturen 220a trägt, die durch Gräben 220b separiert werden, die zwischen den opaken Strukturen 220a das Trägersubstrat 214 freilegen. Bei typischen Inspektionswellenlängen kann der Reflexionsgrad einer Antireflexionsschicht, die zum Beispiel Tantalnitrid oder Siliziumnitrid aufweist, kleiner als 0,1 sein, wohingegen der Reflexionsgrad von Chrom, wie es für opake Abschnitte in gewöhnlichen transparenten Masken benutzt wird, etwa 0,2 beträgt. Daraus ergibt sich, dass während der optischen Inspektion oder einer optischen Mustererkennung der Kontrast deutlich verbessert werden kann.
  • Die 3A bis 3C beziehen sich auf transparente Halbton-Phasenschiebermasken 300 bis 320. Das Maskensubstrat 300, wie es in der 3A dargestellt ist, umfasst einen Basisabschnitt 310, der neben dem Trägersubstrat 314 eine Phasenschieberschicht 316 umfasst. Das Trägersubstrat 314 kann Glas, zum Beispiel ein dotiertes Siliziumdioxid sein. Die Phasenschieberschicht 316 kann eine Molybdänsilizidschicht mit einer Dicke von etwa 10 bis etwa 50 nm sein. Die Absorber/Hartmaskenschicht-Konfiguration 320, 330 kann der der Maskensubstrate 100, 200 gemäß den 1A und 2A entsprechen.
  • Die 3B bezieht sich auf ein weiteres Maskensubstrat 301, das zusätzlich eine Photolackschicht 340 umfasst, die eine Schichtdicke von etwa 50 bis 160 nm, zum Beispiel 130 nm aufweist.
  • Die 3C zeigt eine strukturierte phasenschiebende Maske 302 mit Absorberstrukturen 320a, die durch Gräben 320b getrennt sind, die das Trägersubstrat 314 freilegen. Gemäß anderen Ausführungsformen ist die Phasenschieberschicht 316 nicht komplett durchgeätzt, so dass gedünnte Abschnitte der Phasenschieberschicht 316 das Trägersubstrat 314 am Boden der Gräben 320b bedecken können.
  • Die 4A bis 4F beziehen sich auf ein Verfahren zur Strukturierung eines Maskensubstrates, wie es in den 1A, 1B, 2A, 2B, 3A oder 3B beschrieben ist. Zwar beziehen sich die folgenden Querschnittsdarstellungen auf eine reflektive EUVL-Maske, jedoch kann das gleiche Verfahren auf transparente binäre und phasenschiebende Masken in entsprechender Weise angewendet werden.
  • Entsprechend der 4A wird ein Maskensubstrat bereitgestellt, das einen Absorberstapel 420 umfasst, der auf einem Basisabschnitt 410 ruht. Daneben umfasst das Maskensubstrat eine Hartmaskenschicht 430, die den Absorberstapel 420 bedeckt, wobei die Hartmaskenschicht 430 dem Basisabschnitt 410 am Absorberstapel 420 gegenüberliegt. Der Absorberstapel 420 umfasst eine Absorberschicht 422. Die Absorberschicht 422 ist bei einer ersten Wellenlänge in hohem Grade absorbierend, wobei die erste Wellenlänge einer Belichtungswellenlänge einer Belichtungsbestrahlung entspricht, der die Photomaske in einem photolithographischen Prozess ausgesetzt wird, in dem die Photomaske in einem Prozess zur Strukturierung eines Halbleiterwafers Verwendung findet. Die Belichtungswellenlänge kann zum Beispiel 13,5 nm betragen. Der Absorptionsgrad der Absorptionsschicht bei der Belichtungswellenlänge kann größer als 0,5 sein. Die Absorberschicht 422 kann aus einem Übergangsmetallnitrid gebildet sein, wobei das Übergangsmetall derart ausgewählt wird, dass es eine flüchtige Fluor- oder Chlorverbindung zu bilden vermag, zum Beispiel Tantalnitrid. Die Absorberschicht 422 kann bei einer zweiten Wellenlänge reflektiv sein, wobei die zweite Wellenlänge einer Inspektionswellenlänge entspricht, wie sie gemäß einem optischen Inspektionsverfahren benutzt wird, mit dem die Maskenstrukturen nach Fehlern gescannt werden. Die Inspektionswellenlänge kann zum Beispiel 193 nm, 198 nm, 248 nm, 257 nm, 266 nm, 365 nm, 488 nm oder mehr betragen. Der Reflexionsgrad der Absorptionsschicht bei der Inspektionswellenlänge kann größer 0,4 sein. Die Absorptionsschicht 422 kann unmittelbar auf dem Basisabschnitt 410 aufliegen. Der Absorberstapel 420 kann weiterhin eine Antireflexionsschicht 424 aufweisen, die die Absorberschicht 422 bedeckt. Die Antireflexionsschicht 422 ist bei der Inspektionswellenlänge schwach reflektiv und kann einen hohen Ätzwiderstand gegenüber typischen Ätzchemien aufweisen, wie sie zur Strukturierung mittels Photolackschichten Verwendung finden. Der Reflexionsgrad der Antireflexionsschicht 424 kann zum Beispiel kleiner sein als 0,12.
  • Die Hartmaskenschicht 430 wird über der Antireflexionsschicht 424 angeordnet und kann eine Ätzrate aufweisen, die in einem fluorbasierten Ätzprozess kleiner ist als 1 nm pro Sekunde. Die Kernladungszahl des schwersten Hauptbestandteils der Hartmaskenschicht 430 ist kleiner als die von Molybdän, zum Beispiel 24, 14 oder kleiner 14. Die Hartmaskenschicht 430 kann enthalten oder bestehen aus zum Beispiel Siliziumoxid, Siliziumoxynitrid, einer Germaniumverbindung, Kohlenstoff oder Chrom. Beispielsweise erweist sich eine 10 nm dicke Chrom-Hartmaske als ausreichend ätzresistent, um einen tantalnitridbasierten Absorberstapel 420 zu strukturieren, dessen Schichtdicke zwischen 40 und etwa 90 nm beträgt.
  • Das Maskensubstrat 400 umfasst ferner eine Photolackschicht, die zum Beispiel einen chemisch verstärkten Elektronenstrahlphotolack enthält, der etwa 60 bis etwa 200 nm dick ist, zum Beispiel 130 nm. Wird das Maskensubstrat 400 ohne Photolackschicht geliefert, so wird zunächst eine Photolackschicht auf der Hartmaskenschicht 430 abgeschieden. Die Photolackschicht kann mittels eines Elektronenstrahlschreibers oder eines anderen Werkzeugs, das eine andere Art geladener Partikel nutzt, strukturiert werden. Infolge der niedrigen Kernladungszahl der Hauptbestandteile der Hartmaskenschicht 430 wird gegenüber molybdän- oder tantalhaltigen Unterlagen das Rückstreuen von Elektronen reduziert. Da zurückgestreute Elektronen Abschnitte des Elektronenstrahlphotolacks außerhalb des Schreibstrahls belichten können, wird ein auf zurückgestreute Elektronen basierender Schleier-Effekt reduziert.
  • Die 4A zeigt ein Maskensubstrat 400 nach der Strukturierung der Elektronenstrahlphotolackschicht. Photolackstrukturen 440a, zum Beispiel Linien oder Flecken, werden durch Gräben 440b voneinander separiert, welche Abschnitte der Hartmaskenschicht 430 freilegen.
  • Entsprechend der 4B wird das Photolackmuster in die Hartmaskenschicht übertragen, wobei eine Hartmaske mit linien- oder fleckenförmigen Strukturen 430a, die durch Gräben 430b separiert werden, welche Abschnitte des Absorberstapel 420 freilegen, ausgebildet wird. Ein Nassätzprozess, der beispielsweise HF verwendet, kann benutzt werden, um das Photolackmuster in die Hartmaskenschicht 430 zu übertragen. Gemäß einer weiteren Ausführungsform kann anstelle des oder in Kombination mit dem Nassätzprozess ein auf Fluor basierender Trockenätzprozess ausgeführt werden. Wird eine flourbasierte Ätzchemie verwendet, so wird typischerweise ein 130 nm dicker Elektronenstrahlphotolack im Zuge der Ätzung einer 10 bis 30 nm dicken siliziumdioxidhaltigen Hartmaskenschicht 430 nicht vollständig aufgebraucht.
  • Wie in der 4B gezeigt, können nach der Formierung der Hartmaske noch Photolackresiduen 440c die Hartmaskenstrukturen 430a bedecken. Gemäß einer Ausführungsform des erfindungsgemäßen Verfahrens können die Photolackresiduen 440c im Folgenden mit einem auf Ozon basierenden Reinigungs- oder Ätzprozess entfernt (gestrippt) werden. Der Absorberstapel 420 schützt dabei die obere Lage des unterliegenden Basisabschnitts 410 während des Ozonreinigungsprozesses, so dass eine Schädigung der oberen Schicht des Basisabschnitts 410 vermieden werden kann. Alternativ dazu kann ein Nassstrippprozess, der auf H2SO4 und H2O2 basiert, Verwendung finden.
  • Entsprechend der 4C kann das Muster der Hartmaske in die Antireflexionsschicht 424 übertragen werden, wobei beispielsweise ein fluor- oder chlorbasierter Trockenätzprozess genutzt wird. Eine Hartmaske, die beispielsweise 30 nm dick ist, bietet dabei ausreichenden Schutz für eine tantalbasierte Antireflexionsschicht 424 mit einer typischen Schichtdicke im Bereich zwischen 12 und 18 nm.
  • Gemäß einer weiteren Ausführungsform, auf die sich die 4D bezieht, können verbleibende Photolackresiduen 440d nach der Strukturierung der Antireflexionsschicht 424 entfernt werden. Die 4D zeigt die Maske 400 mit strukturierter Antireflexionsschicht, die zum Beispiel linien- und/oder fleckenförmige Strukturen 424a aufweist, die durch die Hartmaskenstrukturen 430a geschützt und durch Gräben 424b separiert werden, wobei die Gräben 424b Abschnitte der Absorberschicht 422 freilegen.
  • Gemäß 4E wird das Muster dann unter der Verwendung zum Beispiel eines auf Fluor und Chlor basierenden Ätzprozesses in die Absorberschicht 422a übertragen. Im Falle von beispielsweise tantalhaltigen Absorberschichten 422 kann eine hohe Ätzrate der Absorberschicht 422 mit einer hohen Ätzselektivität gegenüber den Abschnitten der Antireflexionsschicht 424 und den Hartmaskenstrukturen 430a erreicht werden. Weiterhin kann eine fluor/chlorbasierte Ätzchemie einen Ätzstopp auf Materialien ermöglichen, die typischerweise die oberen Schichten von sowohl reflektiven als auch transmissiven Masken, zum Beispiel Ruthenium, Glas und Molybdänsilizidschichten, ausbilden.
  • Damit können der Strukturierungsprozess und die Absorberstapel/Hartmaskenkonfiguration sowohl für reflektive EUV-Masken als auch für transparente binäre und Phasenschiebermasken in gleicher Weise Verwendung finden. Die Hartmaske wird während des Ätzens des Absorberstapels 420 mindestens teilweise aufgebraucht.
  • Die 4E zeigt nur zum Teil verbrauchte Hartmaskenstrukturen 430c, die strukturierte Antireflexionsschicht 424a und die strukturierte Absorberschicht 422a, die Abschnitte des Basisabschnitts 410 bedeckt. Gräben 422b trennen die Absorberstrukturen und legen Abschnitte einer oberen Schicht 418 des unterliegenden Basisabschnitts 410 frei. Zwar ist in der 4E ein typischer Basisabschnitt einer reflektiven EUVL-Maske dargestellt, jedoch kann der Basisabschnitt 410 durch typische Basisabschnitte transparenter Photomasken ersetzt werden.
  • Entsprechend der 4F werden die Hartmaskenresiduen 430c unter Verwendung eines weiteren HF-basierten Nassätzprozesses entfernt. Ein solcher, auf HF basierender Nassätzprozess verschlechtert weder die Eigenschaften typischer Absorberstapel, die auf Tantalnitrid basieren, noch die typischer Glassubstrate, wie sie für binäre Masken Verwendung finden, noch die von Molybdänsilizidschichten, wie sie bei phasenschiebenden Masken Verwendung finden. Darüber hinaus können die optischen Eigenschaften der Antireflexionsschicht 424a bei einer typischen Inspektionswellenlänge von zum Beispiel 257 nm konserviert werden.
  • Die 4F zeigt die strukturierte Photomaske 400, die ein Absorbermuster mit Absorberstrukturen 420a aufweist, welche durch Gräben 420b separiert werden, die Abschnitte eines unterliegenden Basisabschnitts 410 freilegen. Da die Oberkanten der Absorberstrukturen 420a bis zum Ende des Absorberstrukturierungsprozesses mit Hartmaskenstrukturen 430c bedeckt bleiben können, tritt kein Abrunden der oberen Kanten auf. Der hoch-anisotrope Ätzprozess, der zur Strukturierung des Absorberstapels 420 Verwendung finden kann, gewährleistet steile Seitenwandwinkel und eine überragende Profilkontrolle.
  • Die 5 ist ein vereinfachtes Ablaufdiagram eines Verfahrens zur Herstellung einer Photomaske. Ein Maskensubstrat wird bereitgestellt, das eine Absorberschicht, eine auf der Absorberschicht angeordnete Antireflexionsschicht und eine über der Antireflexionsschicht angeordnete Hartmaskenschicht umfasst (502). Die Hartmaskenschicht wird strukturiert, wobei aus der Hartmaskenschicht eine Hartmaske hervorgeht (504), wozu, zum Beispiel, zunächst eine Photolackschicht vorgesehen und durch Elektronenstrahlschreiben strukturiert werden kann. Das Muster der Hartmaskenschicht wird in die Antireflexionsschicht übertragen (506). Darauf wird das Muster der Hartmaskenschicht bzw. Antireflexionsschicht in die Absorberschicht übertragen (508). Im Folgenden wird die Hartmaske entfernt.

Claims (14)

  1. Maskensubstrat (100, 101, 200, 201, 300, 301) umfassend: eine Absorberschicht (122, 222, 322), die bei einer Belichtungswellenlänge absorbierend und bei einer Inspektionswellenlänge, die größer ist als die Belichtungswellenlänge, reflektiv ist; eine Antireflexionsschicht (124, 224, 324), die über der Absorberschicht (122, 222, 322) angeordnet und bei der Inspektionswellenlänge schwach reflektiv ist; und eine Hartmaskenschicht (130, 230, 330) aus Kohlenstoff, die über der Antireflexionsschicht (124, 224, 324) angeordnet ist.
  2. Das Maskensubstrat nach Anspruch 1, gekennzeichnet durch eine die Hartmaskenschicht (130, 230, 330) bedeckende Photolackschicht (140, 240, 340).
  3. Das Maskensubstrat nach einem der Ansprüche 1 bis 2, dadurch gekennzeichnet, dass die Absorberschicht (122, 222, 322) ein Übergangsmetallnitrid enthält, wobei das Übergangsmetall eine flüchtige Fluor- oder Chlorverbindung zu bilden vermag.
  4. Das Maskensubstrat nach einem der Ansprüche 1 bis 3, dadurch gekennzeichnet, dass die Inspektionswellenlänge mindestens 193 nm und höchstens 800 nm beträgt.
  5. Das Maskensubstrat nach einem der Ansprüche 1 bis 4, gekennzeichnet durch einen unterhalb der Absorberschicht (122, 222, 322) angeordneten Mehrlagenspiegel.
  6. Das Maskensubstrat nach einem der Ansprüche 1 bis 5, gekennzeichnet durch ein Trägersubstrat (114, 214, 314), das unterhalb der Absorberschicht (122, 222, 322) angeordnet und bei einer Belichtungswellenlänge von mindestens 100 nm transparent ist, wobei das Maskensubstrat zum Gebrauch in der DUV- oder UV-Lithographie geeignet ist.
  7. Das Maskensubstrat nach Anspruch 6, gekennzeichnet durch eine Phasenschieberschicht (316), die zwischen dem Trägersubstrat (314) und der Absorberschicht (322) angeordnet ist.
  8. Eine transparente Photomaske umfassend: ein Trägersubstrat (214, 314), das bei einer Belichtungswellenlänge transparent ist; eine Absorberschicht (222, 322), die bei der Belichtungswellenlänge opak und bei einer Inspektionswellenlänge reflektiv ist, wobei die Inspektionswellenlänge größer der Belichtungswellenlänge ist, wobei die Absorberschicht (222, 322) ein Übergangsmetallnitrid enthält; eine Antireflexionsschicht (224, 324), die über der Absorberschicht (222, 322) angeordnet und bei der Inspektionswellenlänge schwach reflektiv ist; und eine Hartmaskenschicht (230, 330) aus Chrom oder Kohlenstoff, die über der Antireflexionsschicht (224, 324) angeordnet ist.
  9. Die Photomaske nach Anspruch 8, gekennzeichnet durch eine Photolackschicht (240, 340), die die Hartmaskenschicht (230, 330) bedeckt.
  10. Die Photomaske nach einem der Ansprüche 8 oder 9, gekennzeichnet durch eine Phasenschieberschicht (316), die zwischen dem Trägersubstrat (314) und der Absorberschicht (322) angeordnet ist.
  11. Die Photomaske nach einem der Ansprüche 8 bis 10, dadurch gekennzeichnet, dass die Antireflexionsschicht (224, 324) und die Absorberschicht (222, 322) strukturiert sind, wobei Abschnitte des Trägersubstrats (214, 314) freigelegt sind.
  12. Verfahren zur Herstellung einer Photomaske, wobei das Verfahren umfasst: Bereitstellen eines Maskensubstrats mit einer Absorberschicht (422), einer über der Absorberschicht (422) angeordneten Antireflexionsschicht (424) und einer über der Antireflexionsschicht (424) angeordneten Hartmaskenschicht (430) aus Kohlenstoff; Strukturieren der Hartmaskenschicht (430) zur Ausbildung einer Hartmaske (430a) aus der Hartmaskenschicht (430); Übertragen der Struktur der Hartmaske (430a) in die Antireflexionsschicht (424); und Übertragen der Struktur der Antireflexionsschicht (424) in die Absorptionsschicht (422), wobei Abschnitte einer Unterlage freigelegt werden.
  13. Das Verfahren nach Anspruch 12, dadurch gekennzeichnet, dass das Strukturieren der Hartmaskenschicht (430) das Übertragen einer Photolackstruktur in die Hartmaskenschicht (430) umfasst, wobei Residuen der Photolackstruktur vor der Übertragung der Struktur der Antireflexionsschicht (424) in die Absorberschicht (422) entfernt werden.
  14. Das Verfahren nach einem der Ansprüche 12 oder 13, gekennzeichnet durch Entfernen von Hartmaskenresiduen (430c) mittels eines Nassätzprozesses nach der Übertragung der Struktur der Antireflexionsschicht (424) in die Absorberschicht (422).
DE102007028800.1A 2007-06-22 2007-06-22 Maskensubstrat, Photomaske und Verfahren zur Herstellung einer Photomaske Active DE102007028800B4 (de)

Priority Applications (3)

Application Number Priority Date Filing Date Title
DE102007028800.1A DE102007028800B4 (de) 2007-06-22 2007-06-22 Maskensubstrat, Photomaske und Verfahren zur Herstellung einer Photomaske
JP2008158871A JP4961395B2 (ja) 2007-06-22 2008-06-18 マスクブランク、フォトマスク、及びフォトマスクの製造方法
US12/144,330 US20080318139A1 (en) 2007-06-22 2008-06-23 Mask Blank, Photomask and Method of Manufacturing a Photomask

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102007028800.1A DE102007028800B4 (de) 2007-06-22 2007-06-22 Maskensubstrat, Photomaske und Verfahren zur Herstellung einer Photomaske

Publications (2)

Publication Number Publication Date
DE102007028800A1 DE102007028800A1 (de) 2008-12-24
DE102007028800B4 true DE102007028800B4 (de) 2016-11-03

Family

ID=40030773

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102007028800.1A Active DE102007028800B4 (de) 2007-06-22 2007-06-22 Maskensubstrat, Photomaske und Verfahren zur Herstellung einer Photomaske

Country Status (3)

Country Link
US (1) US20080318139A1 (de)
JP (1) JP4961395B2 (de)
DE (1) DE102007028800B4 (de)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010050520A1 (ja) * 2008-10-30 2010-05-06 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク
WO2010050518A1 (ja) * 2008-10-30 2010-05-06 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク
JP5453855B2 (ja) * 2009-03-11 2014-03-26 凸版印刷株式会社 反射型フォトマスクブランク及び反射型フォトマスク
KR101096248B1 (ko) 2009-05-26 2011-12-22 주식회사 하이닉스반도체 극자외선 위상반전마스크의 제조 방법
JP5381441B2 (ja) * 2009-07-16 2014-01-08 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランクの製造方法
US8705027B2 (en) 2009-07-16 2014-04-22 Kla-Tencor Corporation Optical defect amplification for improved sensitivity on patterned layers
JP5333016B2 (ja) * 2009-07-31 2013-11-06 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク
JP5707696B2 (ja) * 2009-12-16 2015-04-30 大日本印刷株式会社 反射型マスクの製造方法
US20110159411A1 (en) * 2009-12-30 2011-06-30 Bennett Olson Phase-shift photomask and patterning method
JP5971122B2 (ja) 2011-02-01 2016-08-17 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク
US20130260289A1 (en) * 2012-04-02 2013-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a lithography mask
US8679707B2 (en) 2012-08-01 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a lithography mask
US9122175B2 (en) 2012-10-11 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Image mask film scheme and method
US8999611B2 (en) * 2013-03-07 2015-04-07 Taiwan Semiconductor Manufacturing Co. Ltd. Mask blank for scattering effect reduction
US9448491B2 (en) * 2013-09-20 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
JP6301127B2 (ja) * 2013-12-25 2018-03-28 Hoya株式会社 反射型マスクブランク及び反射型マスク、並びに半導体装置の製造方法
US9659824B2 (en) * 2015-04-28 2017-05-23 International Business Machines Corporation Graphoepitaxy directed self-assembly process for semiconductor fin formation
JP2020502786A (ja) * 2016-12-16 2020-01-23 ザ ガバメント オブ ザ ユナイテッド ステイツ オブ アメリカ,アズ リプレゼンテッド バイ ザ セクレタリー オブ ザ ネイビー 化合物半導体デバイス構造内の遷移金属窒化物層の選択的酸化
WO2018155047A1 (ja) * 2017-02-27 2018-08-30 Hoya株式会社 マスクブランク、転写用マスクの製造方法、及び半導体デバイスの製造方法
US10553428B2 (en) 2017-08-22 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflection mode photomask and fabrication method therefore
DE102019110706A1 (de) 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum herstellen von euv-fotomasken
US11106126B2 (en) 2018-09-28 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing EUV photo masks
WO2020176181A1 (en) * 2019-02-25 2020-09-03 Applied Materials, Inc. A film stack for lithography applications
US20220229357A1 (en) * 2019-06-20 2022-07-21 Hoya Corporation Reflective mask blank, reflective mask, and method for manufacturing reflective mask and semiconductor device
US20220187699A1 (en) * 2020-12-11 2022-06-16 AGC Inc. Reflective mask blank for euvl, reflective mask for euvl, and method of manufacturing reflective mask for euvl
WO2022164760A1 (en) * 2021-01-29 2022-08-04 The Regents Of The University Of California Mask absorber layers for extreme ultraviolet lithography
DE102021210243A1 (de) 2021-09-16 2023-03-16 Carl Zeiss Smt Gmbh Optische Anordnung für die DUV-Lithographie
JP7315128B1 (ja) 2021-09-28 2023-07-26 Agc株式会社 Euvリソグラフィ用反射型マスクブランクおよび導電膜付き基板
DE102022205302A1 (de) 2022-05-25 2023-11-30 Carl Zeiss Smt Gmbh Spiegel, insbesondere für eine mikrolithographische Projektionsbelichtungsanlage

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6472107B1 (en) * 1999-09-30 2002-10-29 Photronics, Inc. Disposable hard mask for photomask plasma etching
US6720118B2 (en) * 2001-03-30 2004-04-13 Intel Corporation Enhanced inspection of extreme ultraviolet mask
US20040131948A1 (en) * 2003-01-08 2004-07-08 Intel Corporation Reflective mask with high inspection contrast
US20040229136A1 (en) * 2003-05-16 2004-11-18 Shin-Etsu Chemical Co., Ltd. Photo mask blank and photo mask
US20050282072A1 (en) * 2004-06-18 2005-12-22 Hector Scott D Reflective mask useful for transferring a pattern using extreme ultra violet (EUV) radiation and method of making the same
US20060008749A1 (en) * 2004-07-08 2006-01-12 Frank Sobel Method for manufacturing of a mask blank for EUV photolithography and mask blank
US20070128528A1 (en) * 2005-09-27 2007-06-07 Gunter Hess Mask blank and photomask having antireflective properties
US20070138136A1 (en) * 2005-12-16 2007-06-21 Jason Plumhoff Method for etching photolithographic substrates

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09289149A (ja) * 1996-04-23 1997-11-04 Fujitsu Ltd X線マスク及びその製造方法
US6316167B1 (en) * 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
JP3974319B2 (ja) * 2000-03-30 2007-09-12 株式会社東芝 エッチング方法
JP4504622B2 (ja) * 2001-05-18 2010-07-14 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ デバイスを製造するリソグラフィック方法
JP3806702B2 (ja) * 2002-04-11 2006-08-09 Hoya株式会社 反射型マスクブランクス及び反射型マスク及びそれらの製造方法並びに半導体の製造方法
JP4212025B2 (ja) * 2002-07-04 2009-01-21 Hoya株式会社 反射型マスクブランクス及び反射型マスク並びに反射型マスクの製造方法
KR101029162B1 (ko) * 2003-02-03 2011-04-12 호야 가부시키가이샤 포토마스크 블랭크, 포토마스크 및 포토마스크를 이용한 패턴 전사 방법
KR100546365B1 (ko) * 2003-08-18 2006-01-26 삼성전자주식회사 블랭크 포토마스크 및 이를 사용한 포토마스크의 제조방법
JP4545426B2 (ja) * 2003-12-12 2010-09-15 ルネサスエレクトロニクス株式会社 パターン形成方法
JP4335729B2 (ja) * 2004-03-31 2009-09-30 信越化学工業株式会社 フォトマスクブランク及びフォトマスクブランクの反射率調整方法
JP2006078825A (ja) * 2004-09-10 2006-03-23 Shin Etsu Chem Co Ltd フォトマスクブランクおよびフォトマスクならびにこれらの製造方法
JP5178996B2 (ja) * 2005-06-23 2013-04-10 凸版印刷株式会社 反射型フォトマスクブランク、反射型フォトマスク、ならびにこれを用いたパターン転写方法
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
JP4509050B2 (ja) * 2006-03-10 2010-07-21 信越化学工業株式会社 フォトマスクブランク及びフォトマスク
JP2007250613A (ja) * 2006-03-14 2007-09-27 Toppan Printing Co Ltd 反射型マスクブランク、反射型マスク及び極端紫外線の露光方法
JP2008041740A (ja) * 2006-08-02 2008-02-21 Toppan Printing Co Ltd 反射型フォトマスクブランク、反射型フォトマスク及び極端紫外線の露光方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6472107B1 (en) * 1999-09-30 2002-10-29 Photronics, Inc. Disposable hard mask for photomask plasma etching
US6720118B2 (en) * 2001-03-30 2004-04-13 Intel Corporation Enhanced inspection of extreme ultraviolet mask
US20040131948A1 (en) * 2003-01-08 2004-07-08 Intel Corporation Reflective mask with high inspection contrast
US20040229136A1 (en) * 2003-05-16 2004-11-18 Shin-Etsu Chemical Co., Ltd. Photo mask blank and photo mask
US20050282072A1 (en) * 2004-06-18 2005-12-22 Hector Scott D Reflective mask useful for transferring a pattern using extreme ultra violet (EUV) radiation and method of making the same
US20060008749A1 (en) * 2004-07-08 2006-01-12 Frank Sobel Method for manufacturing of a mask blank for EUV photolithography and mask blank
US20070128528A1 (en) * 2005-09-27 2007-06-07 Gunter Hess Mask blank and photomask having antireflective properties
US20070138136A1 (en) * 2005-12-16 2007-06-21 Jason Plumhoff Method for etching photolithographic substrates

Also Published As

Publication number Publication date
JP4961395B2 (ja) 2012-06-27
US20080318139A1 (en) 2008-12-25
JP2009021582A (ja) 2009-01-29
DE102007028800A1 (de) 2008-12-24

Similar Documents

Publication Publication Date Title
DE102007028800B4 (de) Maskensubstrat, Photomaske und Verfahren zur Herstellung einer Photomaske
DE60221404T2 (de) Phasenschiebermaske für die euv-lithographie mit glatter oberfläche (damascene-struktur)
DE102009043145B4 (de) Maskenrohling und Verfahren zum Herstellen einer Übertragungsmaske
DE102009010855B4 (de) Fotomaskenrohling, Fotomaske und Verfahren zum Herstellen einer Fotomaske
DE102016114882B4 (de) Extrem-Ultraviolett-Fotomaske mit hoher Beständigkeit und Verfahren zu deren Herstellung
DE10164189B4 (de) Halbton-Phasenverschiebungsmaske und -maskenrohling
DE112005001588B4 (de) Fotomaskenrohling, Fotomaskenherstellungsverfahren und Halbleiterbausteinherstellungsverfahren
DE10392892T5 (de) Reflektierender Maskenrohling
DE112004000235B4 (de) Fotomasken-Rohling, Fotomaske und Muster-Übertragungsverfahren unter Verwendung einer Fotomaske
DE60224061T2 (de) In-situ-ausgleich für eine phasenschiebemaske
DE10223113B4 (de) Verfahren zur Herstellung einer photolithographischen Maske
DE10259331B4 (de) Herstellungsverfahren für eine Photomaske für eine integrierte Schaltung und entsprechende Photomaske
DE102004013459B4 (de) Verfahren zur Herstellung einer reflektierenden Maske und Verfahren zur Herstellung eines Halbleiterbauelements
DE112006003495B4 (de) Maskenrohling und Maske
DE112009000965T5 (de) Reflektive Maske, reflektiver Maskenrohling und Verfahren zum Herstellen einer reflektiven Maske
US8802334B2 (en) Surface treatment method for a mask blank, method of manufacturing a mask blank, and method of manufacturing a mask
DE4231312A1 (de) Antireflexschicht und Verfahren zur lithografischen Strukturierung einer Schicht
DE102013108872B4 (de) Fotomasken für extrem ultraviolettes Licht (EUV) sowie Herstellungsverfahren dieser
DE10156366B4 (de) Reflexionsmaske und Verfahren zur Herstellung der Reflexionsmaske
US20150079502A1 (en) Mask blank and method of manufacturing a transfer mask
DE102011006354A1 (de) Maskenrohling, Übertragungsmaske, Verfahren zu ihrer Herstellung und Verfahren zum Herstellen eines Halbleiterbauelements
US20150111134A1 (en) Mask blank and method of manufacturing a transfer mask
DE102008046985B4 (de) Verfahren zur Herstellung einer Maske
JP4020242B2 (ja) マスクブランク、及びマスク
DE60304335T2 (de) Methode zur herstellung einer photomaske unter einsatz einer amorphen kohlenstoffschicht

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: G03F0001080000

Ipc: G03F0001220000

R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: G03F0001080000

Ipc: G03F0001220000

Effective date: 20111212

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final