DE102013108872B4 - Fotomasken für extrem ultraviolettes Licht (EUV) sowie Herstellungsverfahren dieser - Google Patents

Fotomasken für extrem ultraviolettes Licht (EUV) sowie Herstellungsverfahren dieser Download PDF

Info

Publication number
DE102013108872B4
DE102013108872B4 DE102013108872.4A DE102013108872A DE102013108872B4 DE 102013108872 B4 DE102013108872 B4 DE 102013108872B4 DE 102013108872 A DE102013108872 A DE 102013108872A DE 102013108872 B4 DE102013108872 B4 DE 102013108872B4
Authority
DE
Germany
Prior art keywords
layer
absorber
forming
reflective layer
reflective
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102013108872.4A
Other languages
English (en)
Other versions
DE102013108872A1 (de
Inventor
Chih-Tsung Shih
Anthony Yen
Chia-Jen Chen
Hsin Chang Lee
Tao-Min HUANG
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/950,020 external-priority patent/US9310675B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102013108872A1 publication Critical patent/DE102013108872A1/de
Application granted granted Critical
Publication of DE102013108872B4 publication Critical patent/DE102013108872B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching

Abstract

Verfahren für die Herstellung einer Fotomaske (100, 200) für extrem ultraviolettes Licht, das aufweist:
Bereitstellen einer Maske (100, 200), die der Reihe nach ein Substrat (110, 210), eine reflektierende Schicht (112, 212) und eine Deckschicht (114, 214) aufweist;
Ausbilden einer Öffnung (220), die einen ersten Anteil in der Deckschicht (114, 214) und einen zweiten Anteil innerhalb zumindest eines Anteils der reflektierenden Schicht (112, 212) aufweist, wobei der erste Anteil eine Breite (W1) aufweist, die größer als eine Breite (W2) des zweiten Anteils ist;
Ausbilden einer Absorberschicht (122, 222) in der Öffnung (220) und über einer Oberfläche der Deckschicht (114, 214); und
Entfernen zumindest eines Anteils der Absorberschicht (122, 222), wobei ein anderer Anteil der Absorberschicht (122, 222) zurückbleibt, um einen Absorber (122, 222) auszubilden.

Description

  • Gebiet der Erfindung
  • Die vorliegende Offenbarung betrifft grundsätzlich das Gebiet der Fotomasken, wie sie bei der Herstellung von Halbleiterbauteilen verwendet werden, insbesondere Fotomasken für extrem ultraviolettes Licht (EUV) sowie Herstellungsverfahren dieser.
  • Hintergrund
  • Bei der Herstellung integrierter Schaltkreise (IC) oder Chips werden Strukturen, welche unterschiedliche Schichten des Chips repräsentieren, auf einer Reihe wiederverwendbarer Fotomasken (auch als Masken bezeichnet) erzeugt, um die Gestaltung jeder Chipschicht während des Herstellungsprozesses auf ein Halbleitersubstrat zu übertragen. Die Masken werden im Wesentlichen wie Fotonegative verwendet, um die Schaltkreisstrukturen jeder Schicht auf ein Halbleitersubstrat zu übertragen. Diese Schichten werden unter Verwendung einer Abfolge von Prozessschritten aufgebaut und in kleine Transistoren sowie elektrische Schaltkreise, welche jeweils einen fertigen Chip aufweisen, übertragen. Deshalb können jegliche Fehlstellen in der Maske auf den Chip übertragen werden, wodurch möglicherweise die Leistungsfähigkeit nachteilig beeinflusst wird. Fehlstellen, die besonders schwerwiegend sind, machen die Maske unbrauchbar. Typischerweise wird ein Satz von 10 bis 30 Masken dazu verwendet, einen Chip zu konstruieren, wobei dieser wiederholt verwendet werden kann.
  • Eine Maske weist im Wesentlichen ein transparentes Substrat auf, welches darauf eine lichtundurchlässige, lichtabsorbierende Schicht aufweist. Herkömmliche Masken umfassen typischerweise ein Glas oder ein Quarzsubstrat, welches eine Chromschicht auf einer Seite aufweist. Die Chromschicht ist mit einer Anti-Reflexbeschichtung und mit einem lichtempfindlichen Fotolack bedeckt. Während eines Strukturierungsprozesses wird das Schaltkreisdesign auf die Maske geschrieben, beispielsweise durch Belichten von Anteilen des Fotolacks mit einem Elektronenstrahl oder mit ultraviolettem Licht, wodurch die belichteten Bereiche in einer Entwicklungslösung löslich gemacht werden. Die löslichen Anteile des Fotolacks werden daraufhin entfernt, was es ermöglicht, das freigelegte, darunterliegende Chrom sowie die Anti-Reflexschichten zu ätzen (d.h., zu entfernen).
  • Mit der Verkleinerung der kritischen Abmessungen (critical dimensions - CD) nähert sich die existierende optische Lithografie einer technisch bedingten Grenze am 28 Nanometer (nm)-Technologieknotenpunkt. Es wird erwartet, dass die Lithografie der nächsten Generation (next generation lighography - NGL) die existierenden optischen Lithografieverfahren ersetzt, beispielsweise im Bereich des 22 nm-Technologieknotens und darüber hinaus. Es existieren verschiedene NGL-Kandidaten, etwa die Lithografie mit extrem ultraviolettem Licht (EUVL), die Elektronenprojektionslithografie (EPL), die Ionenprojektionslithografie (IPL), das Nanodrucken (nano imprint) und die Röntgenlithografie. Von diesen wird höchstwahrscheinlich die EUVL als Sieger hervorgehen, weil die EUVL die meisten Eigenschaften der optischen Lithografie aufweist, die im Vergleich zu den NGL-Verfahren eine auslaufende Technologie ist.
  • Dennoch müssen bei der EUV-Maskenherstellung immer noch technologische Hürden überwunden werden. Beispielsweise wird eine Abziehemulsionsschicht bei konventionellen Chrommasken dazu verwendet, um zu vermeiden, dass unerwünschter Staub auf der Maske auf den Chip übertragen wird. Die Abziehemulsionsschicht ist jedoch nicht praktikabel im Zusammenhang mit der Verwendung einer EUV-Maske, weil sie das EUV-Licht absorbiert. Es besteht daher ein Bedarf danach, die Oberfläche der EUV-Masken ohne die Verwendung einer darauf angeordneten Abziehemulsionsschicht zu reinigen. Darüber hinaus besteht ein Bedarf danach, die Staubentwicklung auf den Oberflächen der EUV-Masken zu überwachen. Es besteht daher Bedarf für verbesserte EUV-Masken sowie Herstellungsverfahren.
  • US 2012/0045712 A1 beschreibt Verfahren zur Bildung einer EUV-Photomaske. Das Verfahren umfasst das Bereitstellen eines Substrats, einer reflektierenden Schicht, einer Deckschicht, einer Hartmaskenschicht und Bilden einer Öffnung darin. Anschließend wird eine Absorberschicht in die Öffnung und über die Oberseite der Hartmaskenschicht gefüllt. Ein Planarisierungsprozess ist vorgesehen, um die Absorberschicht oberhalb der Oberseite der Hartmaskenschicht zu entfernen und einen Absorber in der Öffnung zu bilden, wobei der Absorber im Wesentlichen koplanar mit der Oberseite der Hartmaskenschicht ist.
  • EP 1 412 817 B1 beschreibt eine Photolithographiemaske zur Verwendung in der extremen Ultraviolettlithographie (EUVL). Die Maske umfasst einen Schichtstapel, welcher reflektierend für die EUVL-Strahlung ist, einen zusätzlichen Schichtstapel, der ebenfalls reflektierend für die EUVL-Strahlung ist, eine Ätzstoppschicht zwischen dem Schichtstapel und dem zusätzlichen Schichtstapel, und ein Absorbermaterial in Gräben, die in dem zusätzlichen Schichtstapel liegen, wobei das Absorbermaterial hinsichtlich der EUVL-Strahlung absorbierend ist.
  • Die Erfindung sieht ein Verfahren gemäß Anspruch 1, eine Fotomaske gemäß Anspruch 16 und ein Verfahren gemäß Anspruch 20 vor. Ausgestaltung der Erfindung sind in den abhängigen Ansprüchen angegeben.
  • Figurenliste
  • Die vorliegende Offenbarung wird am besten anhand der nachstehenden genauen Beschreibung verstanden, wenn diese im Zusammenhang mit den begleitenden Figuren gelesen wird. Es wird betont, dass gemäß der üblichen Praxis in der Industrie verschiedene Bauteile nicht maßstabsgetreu gezeichnet sind und lediglich zum Zwecke der Veranschaulichung verwendet werden. Tatsächlich können die Abmessungen verschiedener Bauteile zur Vereinfachung der Diskussion willkürlich vergrößert oder verkleinert sein.
    • Die 1 ist eine schematische Querschnittsansicht, welche eine beispielhafte EUV-Maske veranschaulicht;
    • die Fig. 2 - 8 sind verschiedene Querschnittsansichten von Ausführungsformen einer EUV-Maske während verschiedenen Herstellungsstadien;
    • die 9 ist ein Flussdiagramm für ein Verfahren für die Herstellung einer EUV-Maske gemäß Aspekten der vorliegenden Offenbarung; und
    • die 10 ist ein Flussdiagramm eines Verfahrens für die Herstellung eines integrierten Schaltkreisbauteils unter Verwendung einer EUV-Maske gemäß Aspekten der vorliegenden Offenbarung.
  • Genaue Beschreibung
  • Es sollte verstanden werden, dass die nachstehende Offenbarung viele unterschiedliche Ausführungsformen oder Beispiele für die Umsetzung unterschiedlicher Merkmale der Erfindung bereitstellt. Spezifische Beispiele für Komponenten und Anordnungen werden nachstehend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind selbstverständlich lediglich Beispiele und nicht dazu vorgesehen, zu beschränken. Darüber hinaus kann die vorliegende Offenbarung Bezugszeichen und -buchstaben bei verschiedenen Beispielen wiederholen. Diese Wiederholung dient lediglich der Vereinfachung und Klarheit und soll selbst keine Beziehung zwischen den verschiedenen Ausführungsformen und/oder den diskutierten Konfigurationen vorgeben. Darüber hinaus kann die Ausbildung eines Elementes auf, verbunden mit und/oder gekoppelt mit einem anderen Element bei der vorliegenden Offenbarung, die nun folgt, Ausführungsformen umfassen, bei welchen die Elemente in unmittelbarem Kontakt ausgebildet sind, und ebenso Ausführungsformen umfassen, bei welchen zusätzliche Elemente zwischen diesen Elementen ausgebildet sind, so dass die Elemente nicht in unmittelbarem Kontakt miteinander stehen. Darüber hinaus sollen räumlich relative Ausdrücke wie „untere“, „obere“, „horizontal“, „vertikal“, „oberhalb“, „unterhalb“, „oben“, „unten“, „Oberseite“, „Unterseite“ usw. sowie deren Abwandlungen (z.B. die Adverbien „horizontal“, „nach unten“, „nach oben“ usw.) zum Zwecke der vorliegenden Offenbarung verwendet werden, um die Beziehung eines Elementes zu einem anderen Element anzugeben. Diese räumlich relativen Ausdrücke sind dazu vorgesehen, verschiedene Ausrichtungen des Bauteils, welches das Element aufweist, abzudecken.
  • Die 1 ist eine schematische Querschnittsansicht, welche eine EUV-Fotomaske 100 veranschaulicht. Bei manchen Ausführungsformen umfasst die EUV-Fotomaske 100 ein Substrat 110, eine reflektierende Schicht 112 auf dem Substrat 110, eine Deckschicht 114 auf der reflektierenden Schicht 112 sowie einen Absorber 122 in einer Öffnung, welche sich durch die Deckschicht 114 und zumindest einen Teil der reflektierenden Schicht 112 hindurch erstreckt. Bei manchen Ausführungsformen weist der Absorber 122 eine Oberseite auf, die höher als eine Oberseite der Deckschicht 114 angeordnet ist. Der Absorber 122 umfasst beispielsweise einen oberen Anteil, der breiter als ein unterer Anteil ist. Bei manchen Ausführungsformen weist der Absorber 122 eine T-Form auf. Bei manchen Ausführungsformen weist der Absorber 122 einen breiten Anteil über der Deckschicht 114 sowie einen schmalen Anteil in der Deckschicht 114 auf.
  • Das Substrat 110 kann irgendeine Größe aufweisen, die für die Verwendung als Fotomaske geeignet ist. Gemäß einer Ausführungsform weist das Substrat 110 eine rechteckige Form, auf mit Seitenlängen zwischen ungefähr 5 und ungefähr 9 Zoll. Gemäß einer anderen Ausführungsform weist das Substrat 110 eine Dicke zwischen ungefähr 0,15 und ungefähr 0,25 Zoll auf. Bei einer anderen Ausführungsform ist das Substrat 110 ungefähr 0,25 Zoll dick. Bei manchen Ausführungsformen weist das Substrat 110 einen niedrigen thermischen Expansionskoeffizienten auf (insbesondere 0 + 0,05 × 10-7/°C , vorzugsweise 0 + 0,03 × 10-7/°C bei 20°C) und es sollte eine ausgezeichnete Glattheit, Flachheit sowie Beständigkeit bezüglich Reinigungslösungen, welche für die Reinigung des Maskenrohlings oder der Fotomaske nach der Ausbildung eines Musters verwendet werden, aufweisen. Das Substrat 110 weist typischerweise ein Silizium-basiertes Material auf, welches einen niedrigen thermischen Ausdehnungskoeffizienten aufweist, etwa Quarz (d.h. Siliziumdioxid, SiO2), und dergleichen.
  • Die reflektierende Schicht 112 kann eine hohe Reflektivität in Bezug auf EUV-Licht erreichen. Beispielsweise weist die reflektierende Schicht 112 eine Reflektierendität von bis zu 40% auf, wenn die Oberfläche der reflektierenden Schicht 112 mit EUV-Licht bestrahlt wird, welches eine Wellenlänge von ungefähr 13,5 nm aufweist. Bei der vorliegenden Ausführungsform wird ein mehrschichtiger reflektierender Film durch mehrfaches, abwechselndes Laminieren einer Schicht mit hohem Brechungsindex und einer Schicht mit niedrigem Brechungsindex ausgebildet und als reflektierende Schicht 112 verwendet. Bei manchen Ausführungsformen wird Mo für die Schicht mit niedrigem Brechungsindex und Si für die Schicht mit hohem Brechungsindex für die Ausbildung des mehrschichtigen, reflektierenden Films der reflektierenden Schicht 112 verwendet. Genauer wird ein mehrschichtiger, reflektierender Mo/Si-Film für die Ausbildung der reflektierenden Schicht 112 ausgebildet. Bei manchen Ausführungsformen kann die reflektierende Schicht 112 abwechselnde Mo- und Si-Schichten zwischen ungefähr 40 und 50 Paaren aufweisen. Jedes Paar einer Mo- und einer Si-Schicht kann eine Mo-Schicht mit einer Dicke von ungefähr 3 nm sowie eine Si-Schicht mit einer Dicke von ungefähr 4 nm aufweisen.
  • Bei alternativen Ausführungsformen ist der mehrschichtige reflektierende Film ein mehrschichtiger, reflektierender Ru/Si-Film, ein mehrschichtiger, reflektierender Mo/Be-Film, ein mehrschichtiger, reflektierender Film aus einer Mo-Verbindung und einer Si-Verbindung, ein mehrschichtiger, reflektierender Si/Mo/Ru-Film, ein mehrschichtiger, reflektierender Si/Mo/Ru/Mo-Film oder ein mehrschichtiger, reflektierender Si/Ru/Mo/Ru-Film.
  • Die Deckschicht 114 dient als Deckschicht und/oder Pufferschicht zwischen der reflektierenden Schicht 112 und einer Hartmaskenschicht, welche in einem Zwischenprozess für die Ausbildung der Hartmaske vorliegt. Bei manchen Ausführungsformen ist die Deckschicht 114 eine Siliziumschicht (Si), eine Rutheniumschicht (Ru) oder eine Ru-enthaltende Schicht. Die Deckschicht 114 weist beispielsweise eine Dicke zwischen ungefähr 1 und ungefähr 10 nm auf.
  • Der Absorber 122 umfasst beispielsweise einen oberen Anteil oberhalb der Deckschicht 114 sowie einen unteren Anteil innerhalb der reflektierenden Schicht 112 und der Deckschicht 114. Der obere Anteil des Absorbers 122 weist eine Breite W1 und eine Dicke T1 auf. Der untere Anteil des Absorbers 122 weist eine Breite W2 und eine Dicke T2 auf. Bei manchen Ausführungsformen weist der Absorber 122 eine T-Form mit einer Breite W1 auf, die größer als die Breite W2 ist. Bei der vorliegenden Ausführungsform liegt ein Verhältnis der Breite W1 zu der Breite W2 zwischen ungefähr 1 und ungefähr 2. Bei manchen Ausführungsformen ist die Dicke T1 kleiner als die Dicke T2. Bei der vorliegenden Ausführungsform liegt die Dicke T1 zwischen ungefähr 0 nm und ungefähr 10 nm. Bei einer Ausführungsform ist die Dicke T2 zumindest größer als 20 nm, um einen Lichtdurchtritt oder einen niedrigen Kontrast während des Wafer-Lithografieprozesses zu vermeiden. Bei einer alternativen Ausführungsform ist die Dicke T2 nicht größer als ungefähr 150 nm, um die mit der Durchführung der optischen Nahfeldkorrektur (optical proximity correction - OPC) verbundenen Schwierigkeiten bei der Ausbildung der Maske zu vermeiden. Bei einer anderen Ausführungsform ist die Dicke T2 dieselbe wie die Gesamtdicke der reflektierenden Schicht 112. Bei anderen Ausführungsformen ist die Dicke T2 dieselbe wie die Gesamtdicke der reflektierenden Schicht 112 und der Deckschicht 114. Bei der vorliegenden Ausführungsform liegt die Dicke T2 zwischen ungefähr 20 und ungefähr 150 nm.
  • Der Absorber 122 ist eine lichtundurchlässige, das Licht abschirmende Schicht. Bei einer Ausführungsform weist der Absorber 122 Tantal-basierte Materialien auf, die im Wesentlichen sauerstofffrei sind, etwa Tantal-Silizid-basierte Materialien (im Folgenden TaSi genannt), nitrierte Tantalborid-basierte Materialien (im Folgenden TaBN genannt) sowie Tantalnitrid-basierte Materialien (im Folgenden TaN genannt). Bei anderen Ausführungsformen weist der Absorber 122 Tantal- und Sauerstoff-basierte Materialien auf, etwa oxidierte Materialien (im Folgenden TaSiON genannt), Tantalboroxid-basierte Materialien (im Folgenden TaBO genannt) sowie oxidierte und nitrierte Tantal-basierte Materialien (im Folgenden TaON genannt).
  • Die 2 - 8 sind schematische Querschnittsansichten, welche einen beispielhaften Prozessablauf für die Ausbildung einer EUV-Fotomaske veranschaulichen. Die Merkmale der 2 - 8, welche dieselben wie in 1 sind, sind mit denselben Bezugszeichen bezeichnet, jedoch jeweils um 100 erhöht. Mit Bezug auf die 2 - 8 und 9 werden nachstehend eine EUV-Fotomaske 200 sowie ein Verfahren 300 gemeinschaftlich beschrieben.
  • Mit Bezug auf die 2 und 9 beginnt das Verfahren 300 mit dem Schritt 302, bei dem eine reflektierende Schicht 212 über einem Substrat 210 ausgebildet wird. Bei manchen Ausführungsformen wird eine Deckschicht 214 über der reflektierenden Schicht 212 ausgebildet. Bei manchen Ausführungsformen wird eine Hartmaskenschicht 216 über der Deckschicht 214 ausgebildet. Bei manchen Ausführungsformen weist das Substrat 210 eine rechteckige Form auf, mit Seitenlängen, die zwischen ungefähr 5 und 9 Zoll liegen, bei einer Dicke, die zwischen ungefähr 0,15 und 0,25 Zoll liegt. Bei manchen Ausführungsformen weist das Substrat 210 einen niedrigen thermischen Ausdehnungskoeffizienten auf (z.B. 0 ± 0,05 × 10-7/°C, insbesondere 0 ± 0,03 × 10-7/°C bei 20°C), wobei es eine ausgezeichnete Glattheit, Flachheit sowie Beständigkeit gegenüber Reinigungsflüssigkeiten, welche für die Reinigung des Maskenrohlings bzw. der Fotomaske nach der Ausbildung eines Musters verwendet werden, aufweist. Das Substrat 210 umfasst typischerweise ein Silizium-basiertes Material, welches einen niedrigen thermischen Ausdehnungskoeffizienten aufweist, etwa Quarz (d.h., Siliziumdioxid, SiO2) und dergleichen.
  • Die reflektierende Schicht 212 kann in Bezug auf EUV-Licht eine hohe Reflektivität erreichen. Beispielsweise kann die reflektierende Schicht 212 eine Reflektivität von bis zu 40% aufweisen, wenn die Oberfläche der reflektierenden Schicht 112 mit EUV-Licht bestrahlt wird, welches eine Wellenlänge von ungefähr 13,5 nm aufweist. Bei manchen Ausführungsformen ist die reflektierende Schicht 212 eine mehrere Materialien aufweisende Schicht. Bei manchen Ausführungsformen wird der mehrschichtige, reflektierende Film durch abwechselndes, wiederholtes Laminieren einer Schicht mit hohem Brechungsindex und einer Schicht mit niedrigem Brechungsindex ausgebildet. Bei der vorliegenden Offenbarung wird Mo für die Schicht mit niedrigem Brechungsindex und Si für die Schicht mit hohem Brechungsindex bei der Ausbildung des mehrschichtigen, reflektierenden Films der reflektierenden Schicht 112 verwendet. Genauer wird ein mehrschichtiger, reflektierender Mo/Si-Film für die Ausbildung der reflektierenden Schicht 112 ausgebildet. Bei manchen Ausführungsformen kann die reflektierende Schicht 212 zwischen ungefähr 40 und 50 Paaren sich abwechselnder Schichten von Mo und Si aufweisen. Jedes Paar aus einer Mo- und einer Si-Schicht weist eine Mo-Schicht mit einer Dicke von ungefähr 3 nm sowie eine Si-Schicht mit einer Dicke von ungefähr 4 nm auf.
  • Bei alternativen Ausführungsformen ist der mehrschichtige, reflektierende Film ein mehrschichtiger, reflektierender Ru/Si-Film, ein mehrschichtiger, reflektierender Mo/Be-Film, ein mehrschichtiger, reflektierender Film aus einer Mo-Verbindung sowie einer Si-Verbindung, ein mehrschichtiger, reflektierender Si/Mo/Ru-Film, ein mehrschichtiger, reflektierender Si/Mo/Ru/Mo-Film oder ein mehrschichtiger, reflektierender Si/Ru/Mo/Ru-Film. Bei manchen Ausführungsformen wird die reflektierende Schicht 212 mit Hilfe eines Abscheidungsprozesses ausgebildet, einschließlich chemischer Dampfabscheidung (CVD), physikalischer Dampfabscheidung (PVD), atomarer Schichtabscheidung (ALD) und/oder mit Hilfe anderer geeigneter Prozesse.
  • Die Abdeckschicht 214 kann als Deckschicht und/oder als Pufferschicht dienen, welche zwischen der reflektierenden Schicht 212 und der Hartmaskenschicht 216 angeordnet ist. Bei der vorliegenden Ausführungsform ist die Deckschicht 214 eine Siliziumschicht (Si), eine Rutheniumschicht (Ru) oder eine Ruthenium-enthaltende Schicht. Bei manchen Ausführungsformen weist die Deckschicht 214 eine Dicke zwischen ungefähr 1 und ungefähr 10 nm auf. Bei alternativen Ausführungsformen weist die Deckschicht 214 eine Dicke von ungefähr 2,5 nm auf. Bei manchen Ausführungsformen wird die Deckschicht 214 mit Hilfe eines Abscheidungsprozesses, einschließlich CVD, PVD, ALD und/oder mit Hilfe anderer geeigneter Prozesse ausgebildet.
  • Bei manchen Ausführungsformen umfasst die Hartmaskenschicht 216 Ruthenium (Ru), RuSi oder eine Kombination dieser. Bei einer Ausführungsform weist die Hartmaskenschicht 216 eine Dicke zwischen ungefähr 2 und ungefähr 15 nm auf. Bei einer anderen Ausführungsform beträgt die Dicke der Hartmaskenschicht 216 ungefähr 5 nm. Bei manchen Ausführungsformen wird die Hartmaskenschicht 216 mit Hilfe von CVD, PVD, ALS und/oder mit Hilfe anderer geeigneter Prozesse ausgebildet.
  • Mit Bezug auf die 3 - 5 und 9 setzt das Verfahren 300 mit dem Schritt 304 fort, bei dem die reflektierende Schicht 212 einem Strukturierungsprozess zur Ausbildung einer Öffnung 220 in dieser unterzogen wird. Bei der vorliegenden Ausführungsform ist die Öffnung 220 innerhalb der Hartmaskenschicht 216, in der Deckschicht 214 sowie in der reflektierenden Schicht 212 angeordnet (5). Der Strukturierungsprozess kann das Ausbilden von Fotolackelementen 218 über der Hartmaskenschicht 216 und daraufhin das Entfernen der Anteile der Hartmaskenschicht 216, welche nicht von den Fotolackelementen 218 bedeckt sind, umfassen.
  • Bei manchen Ausführungsformen umfasst der Prozess für die Ausbildung der Fotolackelemente 218 das Ausbilden einer Fotolackschicht (nicht dargestellt) über der Hartmaskenschicht 216 mit Hilfe eines geeigneten Prozesses, etwa mit Hilfe von Spin-on-Coating, und daraufhin das Belichten und Entwickeln der Fotolackschicht, um die Fotolackelemente 218 unter einem Abstand S voneinander beabstandet auszubilden (3). Die Fotolackelemente 218 legen die darunterliegende Hartmaskenschicht 216 teilweise frei. Darüber hinaus kann eine Anti-Reflexbeschichtung (ARC) (nicht dargestellt) optional zwischen der Hartmaskenschicht 216 und der Fotolackschicht ausgebildet werden, um den Strukturierungsprozess zu verbessern. Mit Bezug auf die 4 wird ein Entfernungsprozess durchgeführt, um die Anteile der Hartmaskenschicht 216, welche nicht von den Fotolackelementen 218 bedeckt sind, zu entfernen, wodurch die Muster der Fotolackelemente 218 auf die darunterliegende Hartmaskenschicht 216 übertragen werden. Bei manchen Ausführungsformen umfasst der Entfernungsprozess einen Ätzprozess, welcher unter Verwendung von Halogen-basierten Gasen wie Cl2, CHF3, CH3F, C4F8, CF4, SF6, CF3Cl oder Mischungen dieser durchgeführt wird, um die unbedeckten Anteile der Hartmaskenschicht 216 zu entfernen. Dadurch endet der Ätzprozess auf der darunterliegenden Deckschicht 214 und legt einen Anteil der Deckschicht 214 frei.
  • Mit Bezug auf die 5 wird daraufhin ein Anteil der Deckschicht 214 sowie der reflektierenden Schicht 212 mit Hilfe eines einfachen oder eines mehrfachen Entfernungsprozesses strukturiert, um die Öffnung 220 auszubilden. Die Öffnung 220 weist innerhalb der strukturierten, reflektierenden Schicht 212 eine Breite W2 und innerhalb der strukturierten Deckschicht 214 eine Breite W1 auf. Bei manchen Ausführungsformen ist die Breite W1 größer als die Breite W2. Bei der vorliegenden Ausführungsform beträgt ein Verhältnis der Breite W1 zu der Breite W2 zwischen ungefähr 1 und ungefähr 2.
  • Bei der vorliegenden Ausführungsform wird die Öffnung 220 mit Hilfe eines einfachen Trockenätzprozesses ausgebildet. Eine Ätzselektivität zwischen der Deckschicht 214 sowie der reflektierenden Schicht 212 während des Trockenätzprozesses führt beispielsweise dazu, dass die Ätzrate in Bezug auf die Deckschicht 214 größer als die Ätzrate in Bezug auf die reflektierende Schicht 212 ist. Daher wird die Öffnung 220 durch den Trockenätzprozess derart ausgebildet, dass die Breite W1 größer als die Breite W2 ist. Bei manchen Ausführungsformen wird der Ätzprozess unter Verwendung Chlor-basierter Gase, z.B. Cl2 oder CCl4, durchgeführt, um den Anteil der Deckschicht 214, welcher nicht von der strukturierten Hartmaskenschicht 216 bedeckt ist, zu entfernen, um einen Anteil der darunterliegenden reflektierenden Schicht 212 freizulegen. Bei einer Ausführungsform wird zumindest ein Anteil der darunterliegenden reflektierenden Schicht 212 während des Trockenätzprozesses unmittelbar im Anschluss an die Entfernung der Deckschicht entfernt. Bei anderen Ausführungsformen wird zumindest ein Anteil der darunterliegenden reflektierenden Schicht 212 mit Hilfe eines separaten Ätzprozesses entfernt, welcher sich von dem zweiten Ätzprozess unterscheidet. Bei manchen Ausführungsformen wird die reflektierende Schicht 212 unter Verwendung von Cl2, F2 oder Mischungen dieser entfernt. Bei einer Ausführungsform wird die reflektierende Schicht 212 bis auf eine Dicke zwischen ungefähr 50 und ungefähr 300 nm teilweise entfernt.
  • Bei einer anderen Ausführungsform wird die reflektierende Schicht 212 vollständig entfernt, wobei der Entfernungsschritt auf der Oberfläche des Substrates 210 endet.
  • Die Fotolackelemente 218 können nach dem Ätzprozess der Hartmaskenschicht, nach dem Ätzprozess der Deckschicht 214 oder nach dem Ätzprozess der reflektierenden Schicht 212 entfernt werden. Bei manchen Ausführungsformen wird die Entfernung der Fotolackelemente 218 durch Anwendung eines Nass-Abziehprozesses und/oder eines Nass-Veraschungsprozesses, wie sie aus dem Stand der Technik bekannt sind, durchgeführt. Beispielsweise kann eine Sauerstoffplasmaveraschung angewendet werden, um die Fotolackelemente 218 zu entfernen.
  • Mit Bezug auf die 6 und 9 setzt das Verfahren 300 mit dem Schritt 306 fort, bei dem eine Absorberschicht 222 in die Öffnung 220 gefüllt wird, oberhalb der reflektierenden Schicht sowie oberhalb der Oberfläche der Hartmaskenschicht 216. Die Absorberschicht 222 ist eine lichtundurchlässige, das Licht abschirmende Schicht, und sie kann eine Dicke zwischen ungefähr 20 und ungefähr 500 nm aufweisen. Bei einer Ausführungsform weist die Absorberschicht 222 im Wesentlichen sauerstofffreie Tantal-basierte Materialien auf, etwa Tantalsilizid-basierte Materialien (im Folgenden TaSi genannt), nitrierte Tantalborid-basierte Materialien (im Folgenden TaBN genannt) sowie Tantalnitrid-basierte Materialien (im Folgenden TaN genannt). Bei einer anderen Ausführungsform weist die Absorberschicht 222 Tantal- und Sauerstoff-basierte Materialien auf, etwa oxidiertes und nitriertes Tantal, sowie Siliziumbasierte Materialien (im Folgenden TaSiON genannt), Tantalboroxid-basierte Materialien (im Folgenden TaBO genannt) sowie oxidierte und nitrierte Tantal-basierte Materialien (im Folgenden TaON genannt). Die Absorberschicht 222 kann unter Verwendung von PVD abgeschieden werden, etwa mittels Sputter-Deposition sowie Verdampfung; Plattieren; CVD, etwa Plasma-verstärktes CVD (PECVD), PVD bei Atmosphärendruck (APCVD), Niederdruck-CVD (LPCVD), CVD mit hochdichtem Plasma (HDPCVD), PVD atomarer Schichten (AL-CVD), andere geeignete Abscheidungsprozesse und/oder Kombinationen dieser.
  • Mit Bezug auf die 7 und 8 setzt das Verfahren 300 mit dem Schritt 308 fort, bei dem ein Entfernungsprozess auf die Absorberschicht 222 angewendet wird. Der Anteil der Absorberschicht 222, welcher oberhalb der Öffnung 220 und der Hartmaskenschicht 216 angeordnet ist, wird entfernt, um einen Absorber 222' in der Öffnung 220 auszubilden. Bei manchen Ausführungsformen weist der Absorber 222' eine Oberfläche auf, welche im Wesentlichen koplanar mit der Oberfläche der Hartmaskenschicht 216 ist. Bei alternativen Ausführungsformen weist der Absorber 222' eine Oberfläche auf, welche im Wesentlichen niedriger als die Oberfläche der Hartmaskenschicht 216, jedoch höher als die Bodenfläche der Hartmaskenschicht 216 liegt. Bei einer Ausführungsform kann der Entfernungsprozess einen chemisch-mechanischen Polierprozess (CMP) umfassen, bei dem ein Fluor-basierter Schleifschlamm verwendet wird. Bei anderen Ausführungsformen kann der Entfernungsprozess einen Trockenätzprozess unter Verwendung von CF4, Cl2 oder einer Mischung dieser umfassen. Bei anderen Ausführungsformen wird der Entfernungsprozess mit Hilfe eines CMP-Prozesses durchgeführt, zunächst, um einen Anteil der Absorberschicht 222 zu entfernen, wobei ein Anteil der Absorberschicht 222 oberhalb der Öffnung 220 und der Hartmaskenschicht 216 zurückgelassen wird. Daraufhin wird ein Trockenätzprozess angewendet, um die weitere Absorberschicht 222 über der Öffnung 220 zu entfernen, um den Absorber 222' auszubilden. Während des CMP- oder des Trockenätzprozesses kann die Hartmaskenschicht 216 als eine Stoppschicht dienen, um den darauf angewendeten CMP- oder den Trockenätzprozess zu beenden.
  • Mit Bezug auf die 8 wird die Hartmaskenschicht 216 nach der Ausbildung des Absorbers 222' entfernt. Bei manchen Ausführungsformen umfasst der Entfernungsprozess einen Trockenätzprozess. Der Trockenätzprozess wird beispielsweise unter Verwendung eines Halogen-basierten Ätzgases, einschließlich eines F-enthaltenden Gases, z.B. CF4, SF6, CHF3, eines Cl-enthaltenden Gases, z.B. Cl2, CCl4, oder eines Br-enthaltenden Gases, z.B. HBr oder Br2, durchgeführt. Ein Verdünnungsgas, etwa He oder Ar, kann dem Ätzgas während des Ätzprozesses zugegeben werden. Bei alternativen Ausführungsformen ist der Entfernungsprozess ein Nassätzprozess oder ein CMP-Prozess.
  • Mit Bezug auf die 10 wird nachstehend ein Ablaufdiagramm eines Verfahrens 400 für die Herstellung eines integrierten Schaltkreisbauteils unter Verwendung einer EUV-Maske beschrieben. Das Verfahren 400 beginnt mit dem Schritt 402, bei dem ein Halbleitersubstrat bereitgestellt wird, welches eine Materialschicht aufweist. Das Verfahren 400 setzt mit dem Schritt 404 fort, um eine Fotolackschicht über der Materialschicht auszubilden. Daraufhin setzt das Verfahren 400 mit dem Schritt 406 fort, um die Fotolackschicht unter Verwendung einer EUV-Maske der zuvor beschriebenen Art in einem Lithografieprozess zu strukturieren. Das Verfahren 400 setzt mit dem Schritt 408 fort, um die Materialschicht unter Verwendung der strukturierten Fotolackschicht als eine Ätzmaske zu strukturieren.
  • Es wird darauf hingewiesen, dass die EUV-Masken mit ihrer planarisierten Oberfläche einfach zu reinigen sind. Darüber hinaus kann auf die EUV-Masken, welche die planarisierte Oberfläche aufweisen, auf einfache Weise ein Staubüberwachungsprozess angewendet werden. Darüber hinaus kann die optische Leistungsfähigkeit, z.B. der Kontrast, mit Hilfe des T-förmigen Absorbers verbessert werden, wobei der Ätzprozess für die Herstellung des T-förmigen Absorbers auf einfache Weise zu steuern ist.
  • Es wird darauf hingewiesen, dass die unter Verwendung der EUV-Masken hergestellten integrierten Schaltkreisbauteile es vermeiden, dass unerwünschter Staub auf der Maske auf den Chip übertragen wird.
  • Es wird darauf hingewiesen, dass das zuvor mit Bezug auf die 2 - 8 beschriebene Verfahren lediglich beispielhaft ist. Der Fachmann kann den Ablauf des Verfahrens abändern, um die gewünschte EUV-Fotomaske zu erhalten. Beispielsweise kann der Entfernungsprozess für das Entfernen von Anteilen der Absorberschicht 222 einen Absorber 222' ausbilden, welcher eine Oberfläche aufweist, die geringfügig niedriger oder höher als die Oberflächen der Hartmaskenschicht 216 angeordnet sind.
  • Bei noch anderen Ausführungsformen kann die Hartmaskenschicht 216 während eines Entfernungsprozesses derart entfernt werden, dass die Oberfläche des Absorbers 222' im Wesentlichen mit der Oberfläche der Deckschicht 214 fluchtet.
  • Bei einer Ausführungsform umfasst ein Verfahren für die Herstellung einer Fotomaske für extrem ultraviolettes Licht das Bereitstellen einer Maske, die der Reihe nach ein Substrat, eine reflektierende Schicht sowie eine Deckschicht aufweist; das Ausbilden einer Öffnung, welche einen ersten Anteil in der Deckschicht und einen zweiten Anteil innerhalb zumindest eines Anteils der reflektierenden Schicht aufweist, wobei der erste Anteil eine Breite aufweist, die größer als eine Breite des zweiten Anteils ist; das Ausbilden einer Absorberschicht in der Öffnung oberhalb einer Oberseite der Deckschicht; sowie das Entfernen zumindest eines Anteils der Absorberschicht, wobei ein anderer Anteil der Absorberschicht zurückbleibt, um einen Absorber auszubilden.
  • Bei anderen Ausführungsformen umfasst eine Fotomaske für extrem ultraviolettes Licht eine reflektierende Schicht über einem Substrat, eine Deckschicht über der reflektierenden Schicht sowie einen Absorber in der Deckschicht und der reflektierenden Schicht. Ein Anteil des Absorbers in der Deckschicht ist breiter als ein Anteil des Absorbers innerhalb der reflektierenden Schicht.
  • Bei noch einer anderen Ausführungsform umfasst ein Verfahren für die Ausbildung eines integrierten Schaltkreises das Ausbilden einer Fotomaske durch Ausbilden einer reflektierenden Schicht über einem Substrat, das Ausbilden einer Deckschicht über der reflektierenden Schicht und das Ausbilden eines Absorbers in der Deckschicht sowie der reflektierenden Schicht, weiterhin das Ausbilden einer Schicht auf einem Substrat sowie das Strukturieren der Schicht unter Verwendung der Fotomaske in einem Fotolithografieschritt. Ein Anteil des Absorbers in der Deckschicht ist breiter als ein Anteil des Absorbers innerhalb der reflektierenden Schicht.

Claims (20)

  1. Verfahren für die Herstellung einer Fotomaske (100, 200) für extrem ultraviolettes Licht, das aufweist: Bereitstellen einer Maske (100, 200), die der Reihe nach ein Substrat (110, 210), eine reflektierende Schicht (112, 212) und eine Deckschicht (114, 214) aufweist; Ausbilden einer Öffnung (220), die einen ersten Anteil in der Deckschicht (114, 214) und einen zweiten Anteil innerhalb zumindest eines Anteils der reflektierenden Schicht (112, 212) aufweist, wobei der erste Anteil eine Breite (W1) aufweist, die größer als eine Breite (W2) des zweiten Anteils ist; Ausbilden einer Absorberschicht (122, 222) in der Öffnung (220) und über einer Oberfläche der Deckschicht (114, 214); und Entfernen zumindest eines Anteils der Absorberschicht (122, 222), wobei ein anderer Anteil der Absorberschicht (122, 222) zurückbleibt, um einen Absorber (122, 222) auszubilden.
  2. Verfahren nach Anspruch 1, das weiterhin das Ausbilden einer Hartmaskenschicht (216) über der Deckschicht (114, 214) aufweist.
  3. Verfahren nach Anspruch 2, bei dem die Hartmaskenschicht (216) Ruthenium (Ru), RuSi oder eine Kombination dieser ist.
  4. Verfahren nach Anspruch 2 oder 3, das weiterhin vor dem Ausbilden einer Öffnung (220) in der Deckschicht (114, 214) und zumindest einem Anteil der reflektierenden Schicht (112, 212) das Ausbilden einer Öffnung (220) in der Hartmaskenschicht (216) aufweist.
  5. Verfahren nach einem der Ansprüche 2 bis 4, das weiterhin das Ausbilden einer Öffnung (220) in der Hartmaskenschicht (216) unter Verwendung von Fluor-basiertem Gas aufweist.
  6. Verfahren nach einem der Ansprüche 2 bis 5, das weiterhin das Entfernen der Hartmaskenschicht (216) nach dem Ausbilden des Absorbers (122, 222) aufweist.
  7. Verfahren nach einem der vorangegangenen Ansprüche, bei dem ein Verhältnis der Breite (W1) des ersten Anteils zu der Breite (W2) des zweiten Anteils zwischen 1 und 2 liegt.
  8. Verfahren nach einem der vorangegangenen Ansprüche, bei dem die Ausbildung einer Öffnung (220) in der Deckschicht (114, 214) und in zumindest einem Anteil der reflektierenden Schicht (112, 212) mittels eines Trockenätzprozesses unter Verwendung von Chlor-basiertem Gas durchgeführt wird.
  9. Verfahren nach einem der vorangegangenen Ansprüche, bei dem die Deckschicht (114, 214) Silizium (Si) ist.
  10. Verfahren nach einem der vorangegangenen Ansprüche, bei dem die Absorberschicht (122, 222) TaSi, TaBN, TaN, TaSiON, TaBO oder TaON ist.
  11. Verfahren nach einem der vorangegangenen Ansprüche, bei dem die reflektierende Schicht (112, 212) eine Molybdän- und Silizium-enthaltende Schicht ist.
  12. Verfahren nach einem der vorangegangenen Ansprüche, bei dem die reflektierende Schicht (112, 212) 40 bis 50 Paare sich abwechselnder Schichten von Mo und Si aufweist.
  13. Verfahren nach einem der vorangegangenen Ansprüche, bei dem sich die Öffnung (220) in die reflektierende Schicht (112, 212) zwischen 50 nm und 300 nm hinein erstreckt.
  14. Verfahren nach einem der vorangegangenen Ansprüche, bei dem das Entfernen einen CMP-Prozess und/oder einen Trockenätzprozess umfasst.
  15. Verfahren nach Anspruch 14, das weiterhin das Durchführen eines Plasmaätzprozesses nach dem CMP-Prozess aufweist.
  16. Fotomaske (100, 200) für extrem ultraviolettes Licht, die aufweist: eine reflektierende Schicht (112, 212) über einem Substrat (110, 210); eine Deckschicht (114, 214) über der reflektierenden Schicht (112, 212); und einen Absorber (122, 222) in der Deckschicht (114, 214) und der reflektierenden Schicht (112, 212), wobei ein Anteil des Absorbers (122, 222) in der Deckschicht (114, 214) breiter als ein Anteil des Absorbers (122, 222) innerhalb der reflektierenden Schicht (112, 212) ist.
  17. Fotomaske (100, 200) nach Anspruch 16, bei der der Absorber (122, 222) eine Dicke zwischen 50 nm und 300 nm aufweist.
  18. Fotomaske (100, 200) nach Anspruch 16 oder 17, bei der die reflektierende Schicht (112, 212) eine Molybdän- und Silizium-enthaltende Schicht ist.
  19. Fotomaske (100, 200) nach einem der Ansprüche 16 bis 18, bei der der Absorber (122, 222) eine Oberfläche aufweist, die höher als eine Oberfläche der Deckschicht (114, 214) liegt.
  20. Verfahren für die Ausbildung eines integrierten Schaltkreises, das aufweist: Ausbilden einer Fotomaske (100, 200) durch Ausbilden einer reflektierenden Schicht (112, 212) über einem Substrat (110, 210); Ausbilden einer Deckschicht (114, 214) über der reflektierenden Schicht (112, 212) ; und Ausbilden eines Absorbers (122, 222) in der Deckschicht (114, 214) und der reflektierenden Schicht (112, 212), wobei ein Anteil des Absorbers (122, 222) in der Deckschicht (114, 214) breiter als ein Anteil des Absorbers (122, 222) innerhalb der reflektierenden Schicht (112, 212) ist; Ausbilden einer Schicht auf einem Substrat (110, 210); und Strukturieren der Schicht unter Verwendung der Fotomaske (100, 200) in einem Fotolithografieschritt.
DE102013108872.4A 2013-03-15 2013-08-16 Fotomasken für extrem ultraviolettes Licht (EUV) sowie Herstellungsverfahren dieser Active DE102013108872B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361788014P 2013-03-15 2013-03-15
US61/788,014 2013-03-15
US13/950,020 US9310675B2 (en) 2013-03-15 2013-07-24 Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US13/950,020 2013-07-24

Publications (2)

Publication Number Publication Date
DE102013108872A1 DE102013108872A1 (de) 2014-09-18
DE102013108872B4 true DE102013108872B4 (de) 2018-05-09

Family

ID=51418651

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102013108872.4A Active DE102013108872B4 (de) 2013-03-15 2013-08-16 Fotomasken für extrem ultraviolettes Licht (EUV) sowie Herstellungsverfahren dieser

Country Status (2)

Country Link
CN (1) CN104049455B (de)
DE (1) DE102013108872B4 (de)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104298068A (zh) * 2014-09-26 2015-01-21 中国科学院长春光学精密机械与物理研究所 一种用于大数值孔径的极紫外光刻掩模结构
CN105446071A (zh) * 2015-12-21 2016-03-30 中国科学院长春光学精密机械与物理研究所 用于高na极紫外光刻物镜的掩模结构
US20180299765A1 (en) * 2017-04-12 2018-10-18 Globalfoundries Inc. Extreme ultraviolet lithography (euvl) reflective mask
EP3454120B1 (de) * 2017-09-09 2024-05-01 IMEC vzw Verfahren zur herstelling von euv retikeln und retikel für euv-lithographie
CN110797257A (zh) * 2019-11-15 2020-02-14 上海集成电路研发中心有限公司 一种图形传递方法
CN112612177B (zh) * 2020-12-16 2024-01-23 上海华力微电子有限公司 掩模版及其制备方法、光刻机

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1412817B1 (de) 2001-07-31 2010-06-09 Intel Corporation Damaszen-fotomaske für die extrem-ultraviolett-lithographie (euvl) und herstellungsverfahren
US20120045712A1 (en) 2010-08-17 2012-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (euv) photomasks, and fabrication methods thereof

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6607862B2 (en) * 2001-08-24 2003-08-19 Intel Corporation Damascene extreme ultraviolet lithography alternative phase shift photomask and method of making
WO2011157643A1 (en) * 2010-06-15 2011-12-22 Carl Zeiss Smt Gmbh Mask for euv lithography, euv lithography system and method for optimising the imaging of a mask
JP5648558B2 (ja) * 2011-03-30 2015-01-07 凸版印刷株式会社 反射型マスクブランク、及び反射型マスクブランクの製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1412817B1 (de) 2001-07-31 2010-06-09 Intel Corporation Damaszen-fotomaske für die extrem-ultraviolett-lithographie (euvl) und herstellungsverfahren
US20120045712A1 (en) 2010-08-17 2012-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (euv) photomasks, and fabrication methods thereof

Also Published As

Publication number Publication date
DE102013108872A1 (de) 2014-09-18
CN104049455B (zh) 2017-12-19
CN104049455A (zh) 2014-09-17

Similar Documents

Publication Publication Date Title
DE102013108872B4 (de) Fotomasken für extrem ultraviolettes Licht (EUV) sowie Herstellungsverfahren dieser
DE102007028800B4 (de) Maskensubstrat, Photomaske und Verfahren zur Herstellung einer Photomaske
US9869928B2 (en) Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
DE102013104390B4 (de) Verfahren für die Herstellung einer Lithografiemaske
DE60221404T2 (de) Phasenschiebermaske für die euv-lithographie mit glatter oberfläche (damascene-struktur)
DE112004000591B4 (de) Herstellungsverfahren für Photomaske
DE112012005734B4 (de) Lithographieprozess und Lithographische Struktur mit doppelter Hartmaske
DE112004000235B4 (de) Fotomasken-Rohling, Fotomaske und Muster-Übertragungsverfahren unter Verwendung einer Fotomaske
DE102009014610A1 (de) Fotomaskenrohling, Fotomaske und Verfahren zu ihrer Herstellung
DE102016114882A1 (de) Extrem-Ultraviolett-Fotomaske mit hoher Beständigkeit
DE10223113B4 (de) Verfahren zur Herstellung einer photolithographischen Maske
DE102015116964B4 (de) Verfahren zur Lithografie-Strukturierung
DE112009000965T5 (de) Reflektive Maske, reflektiver Maskenrohling und Verfahren zum Herstellen einer reflektiven Maske
DE102006008734A1 (de) Verfahren zum Herstellen eines transparenten Maskenrohlingsubstrats, Verfahren zum Herstellen eines Maskenrohlings und Verfahren zum Herstellen einer Belichtungsmaske
DE102009015589A1 (de) Phasenverschiebungsmaskenrohling und Verfahren zum Herstellen einer Phasenverschiebungsmaske
DE102015108569B4 (de) Reflektierende Fotomaske und Reflexionstyp-Maskenrohling
DE102005027697A1 (de) EUV-Reflexionsmaske und Verfahren zu deren Herstellung
KR20120087186A (ko) 위상-시프트 포토마스크 및 패터닝 방법
DE102011006354A1 (de) Maskenrohling, Übertragungsmaske, Verfahren zu ihrer Herstellung und Verfahren zum Herstellen eines Halbleiterbauelements
DE102015104473A1 (de) Retikel und verfahren zu seiner herstellung
DE112006003495T5 (de) Maskenrohling und Maske
DE102020102450B4 (de) EUV-Fotomasken und Herstellungsverfahren von diesen
KR101096270B1 (ko) 스페이서 패터닝을 이용한 반도체소자의 미세패턴 형성방법
DE10206143B4 (de) Reflektierender Maskenrohling und reflektierende Maske für EUV-Belichtung und Verfahren zum Herstellen der Maske
DE102016209765B4 (de) Fotomasken für die Extrem-Ultraviolett-Lithografie sowie deren Herstellungsverfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: G03F0007200000

Ipc: G03F0001240000

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final